KR101992569B1 - 반복적인 셀프얼라인 패터닝 - Google Patents

반복적인 셀프얼라인 패터닝 Download PDF

Info

Publication number
KR101992569B1
KR101992569B1 KR1020180085587A KR20180085587A KR101992569B1 KR 101992569 B1 KR101992569 B1 KR 101992569B1 KR 1020180085587 A KR1020180085587 A KR 1020180085587A KR 20180085587 A KR20180085587 A KR 20180085587A KR 101992569 B1 KR101992569 B1 KR 101992569B1
Authority
KR
South Korea
Prior art keywords
spacer layer
spacers
mandrel
layer
type
Prior art date
Application number
KR1020180085587A
Other languages
English (en)
Other versions
KR20180089339A (ko
Inventor
데-팡 첸
후안-주스트 린
춘헝 리
차오-청 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180089339A publication Critical patent/KR20180089339A/ko
Application granted granted Critical
Publication of KR101992569B1 publication Critical patent/KR101992569B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Abstract

셀프얼라인 패터닝 방법은, 기판을 제공하는 단계와, 복수의 맨드릴 특징부를 포함하는 패터닝된 맨드릴층을 기판 상에 형성하는 단계와, 제1 타입의 재료를 포함하는 제1 스페이서층을 맨드릴층 위에 적층하는 단계와, 제1 스페이서층을 이방성 에칭하여 맨드릴 특징부의 측벽 상에 제1 스페이서 세트를 남겨두는 단계와, 맨드릴층을 제거하는 단계와, 제1 스페이서 세트의 남아있는 부분 위에 제2 스페이서층을 적층하는 단계와, 제2 스페이서층을 이방성 에칭하여 제1 스페

Description

반복적인 셀프얼라인 패터닝{ITERATIVE SELF-ALIGNED PATTERNING}
집적 회로 제조 시에, 금속 라인 등의 다양한 특징부들이 반도체 기판 내에 형성된다. 이들 특징부를 형성하기 위해, 포토마스크를 이용하여 포토레스지트층에 패턴을 형성한다. 포토레지스트층이 제거되는 영역은 에칭 공정에서 하부 기판을 노출하는데, 이 에칭 공정은 후속해서 금속이 배치되는 트렌치를 형성하는데 이용된다.
포토레지스트층에 형성된 패턴이 점차 고밀도화됨에 따라, 포토레지스트가 노광되는 광원의 분해능보다 나노미터 범위 내의 특징부가 더 작기 때문에 단일 포토마스크를 사용해서 포토레지스트층에 패턴을 형성하는 것이 어려워진다. 일부 경우에는 고밀도로 특징부를 형성하기 위해 셀프얼라인 다중 패터닝(self-aligned multiple patterning) 기술이 사용되고 있다.
셀프얼라인 다중 패터닝 기술은 일반적으로 맨드릴층(mandrel layer) 위에 스페이서 재료를 적층하는 것을 수반한다. 그런 다음, 맨드릴층이 제거되고 남아있는 스페이서 재료는 하드 마스크로서 사용된다. 하부층은 스페이서 재료로 된 하드 마스크를 이용해 패터닝되는 다른 맨드릴층을 형성하는데 사용되는 과도층(transient layer)일 수 있다. 이 공정은 고밀도의 패턴을 형성하기 위해 반복될 수 있으며, 각 단계는 추가 과도층을 사용한다. 비용 효율적으로 그리고 실효적으로 행해질 수 있는 다중 패터닝 기술을 이용하는 것이 바람직하다.
본 개시의 양태들은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준적 실무에 따라, 다양한 특징부를 실척으로 도시하지는 않는다. 사실상, 다양한 특징부의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1a 내지 도 1m은 본 명세서에 설명하는 원리의 일례에 따른, 반복적인 셀프얼라인 패터닝을 위한 예시적인 공정을 도시하는 도면이다.
도 2a와 도 2b는 본 명세서에 설명하는 원리의 일례에 따른, 셀프얼라인 패터닝 기술에 대한 패턴을 도시하는 도면이다.
도 3은 본 명세서에 설명하는 원리의 일례에 따른, 반복적인 셀프얼라인 패터닝의 예시적인 방법을 나타내는 흐름도이다.
이하의 설명에서는 제공하는 주제의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 예를 제공한다. 본 개시를 단순화하기 위해 구성요소 및 구성의 특정 실시예에 대해 후술한다. 물론 이들은 예시일뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 이어지는 설명에 있어서 제2 특징부 위(over) 또는 상(on)의 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉으로 형성되는 실시형태를 포함할 수도 있고, 또한 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 및 제2 특징부 사이에 추가 특징부가 형성될 수 있는 실시형태도 또한 포함할 수 있다. 또한, 본 개시는 다양한 실시예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순화 및 명확화를 위한 것이며, 그 자체가 설명하는 다양한 실시형태 및/또는 구성 간의 관계를 지시하지 않는다.
또한, "아래(beneath)", "밑(below)", "하위(lower)" , "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 특징부의 다른 요소(들) 또는 특징부(들)에 대한 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 방위와 함께, 사용 또는 동작 시의 장치의 상이한 방위를 포함하는 것을 의도한다. 장치는 다른 식으로 지향(90도 또는 다른 방위로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다.
전술한 바와 같이, 셀프얼라인 패터닝 기술의 효율성을 증대시키는 것이 바람직하다. 여기에 설명하는 원리의 일 실시형태에 따르면, 제1 타입의 재료로 이루어진 스페이서층이 패터닝된 맨드릴층 위에 형성된다. 그리고, 맨드릴 특징부가 노출되어 제거된다. 남아있는 스페이서층 특징부는 이어서 제1 스페이서층 특징부 위에 형성되는 제2 스페이서층에 대한 맨드릴로서 사용된다. 제2 스페이서층은 제1 타입의 재료에 대해 에칭 선택도(etch selectivity)를 가진 제2 타입의 재료로 이루어진다. 이 패터닝 기술은 제1 타입의 재료로 이루어진 스페이서층과 제2 타입의 재료로 이루어진 스페이서층을, 패턴이 원하는 밀도가 될 때까지, 교대로 반복해서 형성할 수 있다. 그런 다음, 패턴은 하부 기판에 전사될 수 있다.
도 1a 내지 도 1m은 반복적인 셀프얼라인 패터닝을 위한 예시적인 공정을 도시하는 도면이다. 본 예에 따르면, 도 1a는 기판(102) 상에 형성된 맨드릴층(140)을 도시하고 있다. 일례에 있어서, 기판(102)은 반도체 웨이퍼 등의 반도체 기판이다. 기판(102)은 실리콘 등의 반도체 재료로 이루어질 수 있다. 일부 예에서는, 게르마늄 또는 III-V 반도체 재료 등의 다른 재료가 기판(102)에 이용될 수도 있다. 기판은 패턴이 형성되는 추가 층을 포함할 수 있다.
맨드릴층(104)은 다양한 리소그래피 기술을 이용하여 패터닝될 수 있다. 패터닝된 맨드릴층(104)은 제1 피치(108)를 가진 특징부(106)를 포함한다. 맨드릴층(104)은 통상 맨드릴층에 이용되는 표준 재료로 이루어질 수 있다.
도 1b는 맨드릴 특징부 위에서의 제1 스페이서층(110)의 형성을 도시하고 있다. 제1 스페이서층(110)은 하부의 맨드릴 특징부(106)에 등각이 되도록 적층된다. 이에, 제1 스페이서는 맨드릴 특징부(106)의 상단뿐만 아니라 맨드릴 특징부(106)의 측벽 상에도 형성된다. 제1 스페이서층(110)은 제1 타입의 스페이서층 재료로 이루어진다. 사용되는 스페이서층 재료에 관한 상세한 설명은 이하에 제공될 것이다.
일례에 있어서, 제1 스페이서층(110)은 화학적 기상 증착(CVD) 공정을 이용해 형성된다. CVD 공정은 기판 상에 적층된 층을 형성하기 위해 반응 및 분해하는 휘발성 전구체에 기판을 노출시키는 것을 수반한다. 일례에 있어서, 제1 스페이서층(110)을 적층하는데 사용된 CVD 공정은 저압 CVD(LPCVD) 공정이다. 이러한 CVD 공정은 대기압 미만(sub-atmospheric pressure)과 같이, 반응실 내에서 저압을 사용한다. 일례에 있어서, 제1 스페이서층(110)을 적층하는데 사용된 CVD 공정은 플라즈마 강화 CVD(PECVD) 공정이다. PECVD 공정은 전구체의 반응률을 상승시키기 위해 플라즈마를 사용한다. 이에, CVD 공정이 저온에서 행해질 수 있다.
도 1c는 제1 스페이서층(110)의 일부를 제거하여 맨드릴 특징부(106)의 상단을 노출시킴으로써, 맨드릴 특징부(106)의 측벽 상에 제1 스페이서 세트(114)를 남기는 제거 공정(112)을 도시하고 있다. 일례에 있어서, 제거 공정(112)은 건식 에칭 공정 등의 이방성 에칭 공정이다. 이방성 에칭 공정은 에칭이 한 방향으로 우세하게 일어나는 것을 의미한다. 이방성 에칭 공정 중에, 맨드릴 특징부(106) 및 기판(102) 상에 있는 제1 스페이서층(110)의 부분은 실질적으로 제거되고, 맨드릴 특징부(106)의 측벽 상의 부분은 실질적으로 그대로 유지된다. 건식 에칭은 재료를 이온 충격에 노출시켜 재료를 제거하는 공정이다. 건식 에칭 공정은 플루오르카본, 산소, 염소, 삼염화붕소 및 기타 가스 등의 반응성 가스의 플라즈마를 사용한다. 이온 충격은 노출면의 부분을 제거한다. 제거 공정(112)은 또한 기판(102)이 노출되도록 제1 스페이서층(110)을 제거한다.
도 1d는 맨드릴 특징부(106)를 제거하는 제거 공정(116)을 도시하고 있다. 제거 공정(116) 후에는, 맨드릴 특징부(106)가 있었던 곳에 보이드(118)가 존재한다. 제거 공정(116)은 건식 에칭 공정 등의 에칭 공정일 수 있다. 일부 예에서는, 제거 공정(116)이 습식 에칭 공정일 수 있다. 습식 에칭 공정은 통상, 재료의 노출 부분이 화학적으로 제거되도록 화학 에칭액 속에 재료를 침지하는 것을 수반한다. 습식 에칭 공정은 일반적으로 등방성인데, 이것은 모든 방향으로 에칭되는 것을 의미한다. 제거 공정은 제1 스페이서층(110)과, 맨드릴 특징부(106)의 재료 사이에서 선택적이도록 설계된다. 이것은, 에칭 공정(116)이 제1 스페이서층(110)은 실질적으로 그대로 남겨두면서 맨드릴 특징부(106)는 제거하는 것을 의미한다.
맨드릴 특징부(106)의 제거 후에, 제1 스페이서층(110)의 남아있는 부분은 남아있는 측벽 스페이서(114)로부터 특징부(114)를 형성한다. 이들 제1 스페이서층 특징부(114)는 맨드릴 특징부(106)의 폭과 맨드릴 특징부(106)의 피치(108)에 의해 영향을 받는 피치(120)를 갖는다. 이하에 더 상세하게 설명하겠지만, 제1 스페이서층 특징부(114)는 추가 패터닝 단계 동안 맨드릴층으로서 사용될 수 있다.
도 1e는 제1 스페이서층(110)의 남아있는 부분에 의해 생성된 제1 스페이서층 특징부(114) 위에서의 제2 스페이서층(122)의 형성을 도시하고 있다. 제2 스페이서층(122)은 제1 스페이서층 특징부(114)에 등각이 되도록 적층된다. 이에, 제2 스페이서층(122)은 제1 스페이서층 특징부(114)의 프로파일과 같은 프로파일을 갖는다. 제2 스페이서층(122)은 LPCVD 또는 PECVD 공정 등의 CVD 공정을 이용하여 형성될 수 있다. 이에, 제2 스페이서층(122)은 제1 스페이서층 특징부(114)의 측벽과 상단 양쪽 상에 형성된다. 제2 스페이서층(122)은 실질적으로 두께가 균일할 수 있다.
제2 스페이서층(122)은 제1 스페이서층(110)의 재료 타입에 대해 에칭 선택도를 갖는 재료로 형성될 수 있다. 본 명세서에 설명하는 원리에 따르면, 제1 스페이서층은 제1 재료 그룹에서 선택된 재료로 이루어지고, 제2 스페이서층은 제2 재료 그룹에서 선택된 재료로 이루어진다. 제2 재료 그룹 내의 재료는 제1 재료 그룹 내의 재료에 대해 에칭 선택도를 갖는다. 설명을 위해서, 제1 재료 그룹 내의 재료를 재료 타입 A라고 칭하고, 제2 재료 그룹 내의 재료를 재료 타입 B라고 칭하기로 한다. 일례에 있어서, 재료 타입 A는 산화물, 질화실리콘(SiN), 산질화실리콘(SiON), 탄화실리콘(SiC), 탄질화실리콘(SiCN), 또는 실리콘 산질화물 탄소(SiONC) 등의 유전체 재료를 포함한다. 재료 타입 B는 비정질 실리콘 또는 비정질 탄소 등의 도전성 재료를 포함한다.
이 경우에 있어서, 재료 타입 A의 재료와 재료 타입 B의 재료는 각각의 스페이서를 형성하기 위해 이방성 에칭 중에 높은 에칭 선택도를 갖는 것이 선택된다. 일례에 있어서, 플루오르계 가스를 사용하는 이방성 에칭 공정은 재료 타입 A를 제거하는데 사용될 수 있다. 이 공정은 실질적으로 재료 타입 B는 그대로 남겨두고 재료 타입 A를 제거할 것이다. 또한, 염소계 가스를 사용하는 이방성 에칭 공정은 재료 타입 B를 제거하는데 사용될 수 있다. 이 공정은 실질적으로 재료 타입 A는 그대로 남겨두고 재료 타입 B를 제거할 것이다.
일례에 있어서, 제1 스페이서층(110)은 재료 타입 A로 이루어지고, 제2 스페이서층(122)은 재료 타입 B로 이루어진다. 일부 예에서는, 그러나, 제1 스페이서층(110)이 재료 타입 B로 이루어지고, 제2 스페이서층(122)이 재료 타입 A로 이루어진다. 재료 타입 A와 재료 타입 B는 모두 하부의 특징부와 등각으로 적층될 수 있도록 양호한 등각 특성(conforming property)을 갖는다.
도 1f는 제2 스페이서층(122)의 일부를 제거하여 제1 스페이서층 특징부(114)의 상단면을 노출시키는 제거 공정(124)을 도시하고 있다. 제거 공정(124)은 건식 에칭 공정 등의 이방성 에칭 공정일 수 있다. 이 공정은 제1 스페이서층 특징부(114)의 측벽 상에 제2 측벽 스페이서 세트(126)를 남긴다. 스페이서층들 간의 공간은 제2 스페이서층(122)의 두께에 기반한다. 일례에 있어서, 제2 스페이서층(122)이 유전체 재료로 이루어지면, 플루오르계 에칭 공정이 제거 공정(124)에 사용된다. 그러나, 제2 스페이서층(122)이 도전성 재료로 이루어지면, 염소계 건식 에칭 공정이 제거 공정(124)으로서 사용된다. 또한 제거 공정(124)은 제1 스페이서층 특징부(114)에 의해 보호되지 않는 영역에서 하부의 기판(102)을 노출시킬 수 있다.
도 1g는 제2 스페이서층(122)의 일부는 실질적으로 그대로 남겨두고 노출된 제1 스페이서층 특징부(114)를 제거하는 제거 공정(128)을 도시하고 있다. 제2 스페이서층(122)의 남아있는 부분은 이후에 제2 스페이서층 특징부(126)를 형성할 것이다. 제거 공정(128)은 제1 스페이서층 특징부(114)가 있었던 곳에 보이드(130)를 생성한다. 제2 스페이서층 특징부(126)는 특징부(114)의 폭과 피치(120)에 기반한 피치(132)를 갖는다. 제2 스페이서층(126)의 폭은 제1 스페이서층 특징부(114)의 두께에 부분적으로 기반한다.
제거 공정(128)은 제1 스페이서층(110)에 사용된 재료의 타입만을 제거하도록 선택적이다. 예를 들어, 제1 스페이서층(110)이 재료 타입 A로 이루어지고 제2 스페이서층(122)이 재료 타입 B로 이루어지면, 재료 타입 A와 연관된 이방성 에칭 공정은 재료 타입 B로 이루어진 제2 스페이서층을 실질적으로 그대로 유지하는데 이용된다.
도 1h는 제2 스페이서층 특징부(126)의 남아있는 부분 위에서의 제3 스페이서층(134)의 적층을 도시하고 있다. 제3 스페이서층(134)은 특징부 위에서 특징부에 등각이 되도록 형성된다. 이에, 제3 스페이서층(134)의 프로파일은 제2 스페이서층 특징부(126)의 프로파일과 같다. 또한, 제3 스페이서층(134)은 특징부(126)의 측벽과 상단 양쪽 상에 형성된다. 제3 스페이서층(134)은 LPCVD 공정 또는 PECVD 공정 등의 CVD 공정을 이용하여 형성될 수 있다.
제3 스페이서층(134)은 제1 스페이서층(110)과 동일한 재료 타입 중에서, 그래서 제2 스페이서층(122)과는 상이한 재료 타입 중에서 선택된 재료로 이루어진다. 예를 들어, 제1 스페이서층(110)이 재료 타입 A의 재료 중에서 선택되면, 제3 스페이서층(134) 역시 재료 타입 A의 재료 중에서 선택된다. 반면에, 제1 스페이서층(110)이 재료 타입 B의 재료 중에서 선택되면, 제3 스페이서층(134) 역시 재료 타입 B의 재료 중에서 선택된다. 더 구체적으로, 제1 스페이서층(110)이 유전체 재료이면, 제3 스페이서층 역시 유전체 재료이다. 이에, 제3 스페이서층(134) 역시 제2 스페이서층(122)에 사용된 재료에 대해 에칭 선택도를 갖는다.
도 1i는 제3 스페이서층(134) 상에 유전체층(136)이 형성되는 일 실시형태를 도시하고 있다. 유전체층(136)은 제3 스페이서층(134)으로 덮인 제2 스페이서층 특징부들(126) 사이의 공간에 충전된다. 이에, 유전체층(136)은 제2 스페이서층 특징부들(126) 간의 갭을 충전하는 필러층(filler layer)으로서 역할한다.
도 1j는 유전체층(136)의 상단부와 제3 스페이서층(136)의 상단부를 제거하여 특징부(126)의 상단을 노출시키는 평탄화 공정(138)을 도시하고 있다. 일례에 있어서, 평탄화 공정은 화학적 기계 연마(CMP) 공정이다. CMP 공정은 기판 상에 슬러리를 도포하는 것을 수반한다. 슬러리는 고체 입자와 함께 화학 에칭액을 포함한다. 그런 다음 연마 툴이 기판의 표면을 연마한다. 이에, 표면은 화학 에칭액으로부터의 에칭 효과와 함께 고체 입자로부터의 기계적 효과 양쪽에 의해 연마된다.
도 1k는 유전체층(136) 및 제2 스페이서층 특징부(126) 양쪽을 제거하는 제거 공정(140)을 도시하고 있다. 일례에 있어서, 단일 에칭 단계는 제3 스페이서층 특징부(144)는 실질적으로 그대로 남겨 두면서 유전체층(136)과 제2 스페이서층 특징부(126) 양쪽은 제거하므로 선택적이다. 일례에 있어서, 제거 공정(140)은 2 단계 공정이다. 예를 들어, 제1 단계는 제3 스페이서층 특징부(144)와 제2 스페이서층 특징부(126)는 실질적으로 그대로 남겨두면서 유전체 재료(136)를 제거할 수 있다. 그리고, 제2 단계는 제3 스페이서층 특징부(144)는 실질적으로 그대로 남겨두면서 제2 스페이서층 특징부(126)를 제거한다.
제3 스페이서층 특징부(144)는 제2 스페이서층 특징부(126)의 피치와 제2 스페이서층 특징부(126)의 폭에 기반한 피치(142)를 갖는다. 제3 스페이서층 특징부(144)의 폭은 제3 스페이서층의 두께에 기반한다. 제3 스페이서층 특징부(144)는 전술한 단계와 같은 추가 단계에 대해 맨드릴로서 사용될 수 있다. 구체적으로, 이 공정은 현재 스페이서층에 대한 맨드릴로서 이전에 적층된 스페이서층 특징부를 이용하여 재료 타입 A와 재료 타입 B로 된 스페이서층을 교대로 형성할 수 있다.
일부 예에 있어서, 맨드릴층(104)은 제2 스페이서층과 동일한 재료 타입으로 이루어질 수 있다. 예를 들어, 제1 스페이서층(110)이 재료 타입 A의 재료로 이루어지고 제2 스페이서층(122)이 재료 타입 B의 재료로 이루어지면, 맨드릴층(104)은 재료 타입 B에서 선택된 재료로 이루어질 수 있다. 반면에, 제1 스페이서층(110)이 재료 타입 B의 재료로 이루어지고 제2 스페이서층(122)이 재료 타입 A의 재료로 이루어지면, 맨드릴층(104)은 재료 타입 A에서 선택된 재료로 이루어질 수 있다.
도 1l은 제3 스페이서층 특징부(144)의 패턴을 하부의 기판(102)에 전사하는데 이용되는 에칭 공정(146)을 도시하고 있다. 패턴이 그것의 목표 밀도 또는 최종 형태에 도달하였으면, 그 패턴은 하부 기판(102)에 전사될 수 있다. 본 예에 따르면, 제3 스페이서층 특징부(144)는 에칭 공정(146)에 대해 하드 마스크로서 역할한다. 이에, 제3 스페이서층 특징부(144)에 의해 덮이지 않은 영역만이 에칭 공정(146)에 의해 영향을 받는다. 이에, 제3 스페이서층 특징부(144)의 패터닝과 등각의 특징부(148)가 기판(102) 내에 형성된다.
도 1m은 제3 스페이서층 특징부(144)를 제거하는 제거 공정(150)을 도시하고 있다. 제거 공정(150)은 제3 스페이서층 특징부(144)에 대해 선택적인 에칭 공정이므로, 기판(102)의 재료를 실질적으로 그대로 남겨둘 수 있다. 일례에 있어서, 제거 공정(150)은 습식 에칭 공정 또는 건식 에칭 공정일 수 있다.
도 2a와 도 2b는 셀프얼라인 패터닝 기술에 대한 패턴을 도시하는 도면이다. 구체적으로, 도 2a와 도 2b는 원하는 최종 패턴을 달성하기 위해 맨드릴층 및 스페이서층 두께의 패터닝이 어떻게 선택될 수 있는지를 도시하고 있다. 소정의 설명하는 예에 따르면, 최종 패턴에 특정 특징부를 형성하기 위해 맨드릴층(202) 내의 맨트릴 특징부들 간의 공간이 설정될 수 있다. 일부 경우에 있어서, 최종 패턴은 각 라인의 폭이 같은 라인 세트를 포함한다. 그러나, 일부 경우에는, 일부 라인이 인접한 라인보다 폭이 더 큰 것이 바람직하다. 이것은 맨드릴층(202) 내의 맨드릴 특징부 간의 간격을 조정함으로써 달성될 수 있다.
본 예에 있어서, 맨드릴층(202)은 제1 특징부(201)가 인접한 제2 특징부(203)의 폭(210)과 같은 폭(210)을 갖도록 되어 있다. 제1 스페이서층(204)은 두께(214)를 갖는다. 제2 스페이서층(206)은 제1 스페이서층(204)의 두께(214) 미만인 두께(216)를 갖는다. 제3 스페이서층(208)은 제2 스페이서층(206)과 동일한 두께(216)를 갖는다.
제1 특징부(201)와 제2 특징부(203)는 결국에는 최종 패턴에 병합 특징부(214)가 형성되도록 간격(212)을 갖는다. 이 예에 있어서, 병합 특징부는 최종 패턴 내에 형성된 다른 특징부의 두께(218)의 대략 두배의 두께(214)를 갖는다. 그 간격(212)은 병합 특징부(214)에 대해 원하는 폭을 생성하도록 원하는 대로 조절될 수 있다.
일부 예에 있어서, 특정 위치에 병합 특징부를 형성하기 위해 다른 인자(factor)가 조정될 수도 있다. 예를 들어, 병합 특징부는 제1 스페이서층(204)의 두께(214)를 조절함으로써 형성될 수 있다. 추가적으로 또는 대안적으로, 병합 특징부는 제2 스페이서층(206) 또는 제3 스페이서층(208)의 두께를 조절함으로써 생성될 수 있다.
도 2b는 병합 특징부(222)를 생성하기 위하여 맨드릴 특징부들 중 하나가 상이한 사이즈를 갖는 실시형태를 도시하고 있다. 구체적으로, 맨드릴층(202)은 제1 폭(210)을 갖는 제1 특징부(201)와 제2 폭(220)을 갖는 제2 특징부(205)를 갖는다. 제2 특징부(205)의 폭(220)의 축소로 제2 스페이서층(206)의 인접한 2개의 특징부들이 병합할 수 있다. 이에, 최종 패턴에 병합 특징부(222)가 생긴다.
도 3은 반복적인 셀프얼라인 패터닝을 위한 예시적인 공정을 나타내는 흐름도이다. 본 예에 따르면, 방법(300)은 기판 상에 맨드릴층을 형성하는 단계 302를 포함한다. 맨드릴층의 재료가 기판 상에 적층될 수 있다. 그런 다음 맨드릴층은 포토리소그래피 등의 리소그래피 기술을 이용하여 패터닝될 수 있다. 일부 예에 있어서, 맨드릴층은 제2 스페이서층과 동일한 재료 타입으로 이루어질 수 있다. 예를 들어, 제1 스페이서층이 재료 타입 A의 재료로 이루어지고 제2 스페이서층이 재료 타입 B의 재료로 이루어지면, 맨드릴층은 재료 타입 B에서 선택된 재료로 이루어질 수 있다. 본 예에서는, 재료 타입 B를 사용하여 맨드릴층이 형성된다.
방법(300)은 재료 타입 A를 사용하여 현재의 구조 위에 스페이서층을 형성하는 단계 304를 더 포함한다. 제1 반복에서는, 맨드릴 특징부가 현재의 구조이다. 스페이서층은 현재의 구조에 등각이다. 스페이서층은 LPCVD 또는 PECVD 공정 등의 CVD 공정을 이용하여 적층될 수 있다. 일례에 있어서, 단계 304는 도 1b에 도시하는 단계에 상응한다. 구체적으로, 현재의 구조는 맨드릴 특징부(106)에 상응하고, 스페이서층은 제1 스페이서층(110)에 상응한다.
방법(300)은 현재의 구조를 에칭하여 노출하는 단계 306를 더 포함한다. 에칭은 건식 에칭 공정 등의 이방성 에칭 공정일 수 있다. 에칭 공정은 제1 반복에서는 맨드릴 특징부인 하부의 현재 구조를 노출시킨다. 일례에 있어서, 단계 306은 도 1c에 상응한다. 구체적으로, 에칭 공정은 제거 공정(112)에 상응한다.
방법(300)은 제1 반복에서는 맨드릴 특징부인 현재의 구조를 에칭하여 제거하는 단계 308을 더 포함한다. 에칭 공정은 하부의 구조를 노출시킨다. 일례에 있어서, 단계 308은 도 1d에 상응한다. 구체적으로, 에칭 공정은 제거 공정(116)에 상응한다.
방법(300)은 재료 타입 B를 사용하여 추가 스페이서층을 형성하는 단계 310을 더 포함한다. 추가 스페이서층은 이전에 형성된 스페이서층의 남아있는 특징부에 등각이다. 일례에 있어서, 단계 310은 도 1e에 상응한다. 구체적으로, 추가 스페이서층은 제2 스페이서층(122)에 상응한다.
방법(300)은 이 경우에서는 이전에 형성된 스페이서층으로부터의 남아있는 특징부인 현재의 구조를 에칭하여 노출하는 단계 312를 더 포함한다. 에칭은 건식 에칭 공정 등의 이방성 에칭 공정일 수 있다. 일례에 있어서, 단계 312는 도 1f에 상응한다. 구체적으로, 에칭 공정은 제거 공정(124)에 상응한다.
방법(300)은 이전에 형성된 스페이서층으로부터의 남아있는 특징부를 에칭하여 제거하는 단계 314를 더 포함한다. 에칭 공정은 하부의 구조를 노출시킨다. 일례에 있어서, 단계 314는 도 1g에 상응한다. 구체적으로, 에칭 공정은 제거 공정(128)에 상응한다.
단계 316에서는 형성될 임의의 추가 스페이서층이 있는지의 여부가 판정된다. 구체적으로, 현재 패턴이 최종 패턴이면, 형성될 추가 층은 없고 방법은 다음 단계로 이행한다. 그러나, 현재 패턴이 최종 패턴이 아니면, 방법은 단계 304로 되돌아간다.
단계 304의 제2 반복은 도 1h에 상응할 수 있다. 이 반복에서는, 형성되는 스페이서층이 제3 스페이서층(134)에 상응한다. 이 경우의 현재의 구조는 제2 스페이서층 특징부(126)에 상응한다. 그리고 공정은 최종 패턴이 달성될 때까지 원하는 만큼 다수회 반복된다. 일부 경우에 있어서, 현재 패턴이 최종 패턴인지의 여부가 단계 308 후에 판정될 수 있다. 그렇다면, 방법은 단계 318로 이행한다.
방법(300)은 기판에 패턴을 전사하는 단계 318을 더 포함한다. 이것은 현재 패턴이 최종 패턴이면 행해진다. 단계 318은 도 1l 내지 도 1m에서 설명한 단계에 상응한다. 기판에 전사된 최종 패턴은 각종 용도에 사용될 수 있다. 예를 들어, 패턴이 금속 라인 형성용이면, 기판에 형성된 패턴은 금속 재료로 충전될 수 있다. 그런 다음, 과잉 금속 재료를 제거하기 위해 웨이퍼에 CMP 공정이 적용될 수 있다.
본 예에 따르면, 셀프얼라인 패터닝 방법은, 기판을 제공하는 단계와, 복수의 맨드릴 특징부를 포함하는 패터닝된 맨드릴층을 기판 상에 형성하는 단계와, 제1 타입의 재료를 포함하는 제1 스페이서층을 맨드릴층 위에 적층하는 단계와, 제1 스페이서층을 이방성 에칭하여 맨드릴 특징부의 측벽 상에 제1 스페이서 세트를 남겨두는 단계와, 맨드릴층을 제거하는 단계와, 제1 스페이서 세트의 남아있는 부분 위에 제2 스페이서층을 적층하는 단계와, 제2 스페이서층을 이방성 에칭하여 제1 스페이서 세트의 측벽 상에 제2 스페이서 세트를 형성하는 단계를 포함한다.
반복적인 셀프얼라인 패터닝 방법은, 기판을 제공하는 단계와, 패터닝된 맨드릴층을 기판 상에 형성하는 단계와, 제1 타입의 재료를 포함하는 제1 스페이서층을 맨드릴층 위에, 제1 스페이서층이 맨드릴층에 등각이 되도록 적층하는 단계와, 제1 에칭액을 사용하여 제1 스페이서층에 대해 제1 이방성 에칭 공정을 수행함으로써, 맨드릴층의 측벽 상에 제1 스페이서 세트를 남겨두는 단계와, 맨드릴층을 제거하는 단계와, 제1 재료 타입과는 상이한 제2 재료 타입을 포함하는 제2 스페이서층을 제1 스페이서 세트 위에, 제2 스페이서층이 제1 스페이서 세트에 등각이 되도록 형성하는 단계와, 제1 에칭액과는 상이한 제2 에칭액을 사용하여 제2 스페이서층에 대해 제2 이방성 에칭 공정을 수행함으로써 제1 스페이서 세트의 측벽 상에 제2 스페이서 세트를 형성하는 단계를 포함한다.
반복적인 셀프 얼라인 패터닝 방법은, 기판을 제공하는 단계와, 패터닝된 맨드릴층을 기판 상에 형성하는 단계와, 제1 타입의 재료를 포함하는 제1 스페이서 세트를 맨드릴층의 측벽 상에 형성하는 단계와, 제2 타입의 재료를 포함하는 제2 스페이서 세트를 제2 스페이서 세트의 측벽 상에 형성하는 단계와, 제1 스페이서 세트를 제거하는 단계와, 제1 타입의 재료를 포함하는 제3 스페이서 세트를 제2 스페이서 세트의 측벽 상에 형성하는 단계와, 제2 스페이서 세트를 제거하는 단계를 포함한다.
이상은 당업자가 본 개시의 양태를 더 잘 이해할 수 있도록 여러 실시형태의 특징을 개관한 것이다. 당업자라면 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들의 동일한 효과를 달성하기 위한 기본으로서 본 개시를 용이하게 이용할 수 있다고 생각할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.

Claims (10)

  1. 셀프얼라인 패터닝 방법에 있어서,
    기판을 제공하는 단계와,
    상기 기판 상에 복수의 맨드릴 특징부(mandrel feature)를 포함하는 패터닝된 맨드릴층을 형성하는 단계와,
    상기 맨드릴층 위에, 제1 타입의 재료를 포함하는 제1 스페이서층을 적층하는 단계와,
    상기 제1 스페이서층을 제1 에칭액(etchant)으로 이방성 에칭하여 상기 맨드릴 특징부의 측벽 상에 제1 세트의 스페이서를 남겨두는 단계와,
    상기 맨드릴층을 제거하는 단계와,
    상기 제1 세트의 스페이서의 남아있는 부분 위에, 상기 제1 타입의 재료에 대해 에칭 선택도(etch selectivity)를 가지는 제2 타입의 재료를 포함하는 제2 스페이서층을 적층하는 단계와,
    상기 제2 스페이서층을 상기 제1 에칭액과 상이한 제2 에칭액으로 이방성 에칭하여 상기 제1 세트의 스페이서의 측벽 상에 제2 세트의 스페이서를 형성하는 단계
    를 포함하고,
    상기 제1 스페이서층 및 상기 제2 스페이서층의 특징부들 중 적어도 하나는 2개의 인접한 스페이서들의 병합에 의해 형성되는 병합 특징부(merge feature)를 포함하도록 상기 복수의 맨드릴 특징부 중 적어도 하나는 상이한 폭을 갖는 것인, 셀프얼라인 패터닝 방법.
  2. 청구항 1에 있어서, 상기 제1 스페이서층의 상기 제1 세트의 스페이서를 제거하는 단계를 더 포함하는 셀프얼라인 패터닝 방법.
  3. 셀프얼라인 패터닝 방법에 있어서,
    기판을 제공하는 단계와,
    상기 기판 상에 복수의 맨드릴 특징부(mandrel feature)를 포함하는 패터닝된 맨드릴층을 형성하는 단계와,
    상기 맨드릴층 위에, 제1 타입의 재료를 포함하는 제1 스페이서층을 적층하는 단계와,
    상기 제1 스페이서층을 제1 에칭액으로 이방성 에칭하여 상기 맨드릴 특징부의 측벽 상에 제1 세트의 스페이서를 남겨두는 단계와,
    상기 맨드릴층을 제거하는 단계와,
    상기 제1 세트의 스페이서의 남아있는 부분 위에, 상기 제1 타입의 재료에 대해 에칭 선택도(etch selectivity)를 가지는 제2 타입의 재료를 포함하는 제2 스페이서층을 적층하는 단계와,
    상기 제2 스페이서층 위에 유전체층을 형성하는 단계와,
    상기 제1 스페이서층을 노출시키고 상기 제1 세트의 스페이서의 측벽 상에 제2 세트의 스페이서를 형성하기 위해 상기 제1 에칭액과 상이한 제2 에칭액을 포함하는 화학적 기계 연마(CMP) 공정을 수행하는 단계와,
    상기 제1 스페이서층과 상기 유전체층을 제거하는 단계
    를 포함하고,
    상기 제1 스페이서층 및 상기 제2 스페이서층의 특징부들 중 적어도 하나는 2개의 인접한 스페이서들의 병합에 의해 형성되는 병합 특징부(merge feature)를 포함하도록 상기 복수의 맨드릴 특징부 중 적어도 하나는 상이한 폭을 갖는 것인, 셀프얼라인 패터닝 방법.
  4. 청구항 1에 있어서, 상기 제1 타입의 재료는 유전체 재료를 포함하는 것인 셀프얼라인 패터닝 방법.
  5. 청구항 4에 있어서, 상기 유전체 재료는, 산화물, 질화실리콘(SiN), 산질화실리콘(SiON), 탄화실리콘(SiC), 탄질화실리콘(SiCN), 또는 실리콘 산탄소 질화물(SiOCN, silicon oxycarbon nitride) 중 적어도 하나를 포함하는 것인 셀프얼라인 패터닝 방법.
  6. 청구항 1에 있어서, 상기 제2 타입의 재료는 도전성 재료를 포함하는 것인 셀프얼라인 패터닝 방법.
  7. 청구항 1에 있어서, 상기 제2 타입의 재료는 비정질 실리콘 또는 비정질 카본 중 적어도 하나를 포함하는 것인 셀프얼라인 패터닝 방법.
  8. 청구항 1에 있어서, 상기 병합 특징부를 생성하기 위해 상기 제1 스페이서층의 폭 및 상기 제2 스페이서층의 폭이 선택되는 셀프얼라인 패터닝 방법.
  9. 반복적인 셀프얼라인 패터닝 방법에 있어서,
    기판을 제공하는 단계와,
    복수의 맨드릴 특징부를 포함하는 패터닝된 맨드릴층을 상기 기판 상에 형성하는 단계와,
    제1 타입의 재료를 포함하는 제1 스페이서층을 상기 맨드릴층 위에, 상기 제1 스페이서층이 상기 맨드릴층에 등각이 되도록 적층하는 단계와,
    제1 에칭액을 사용하여 상기 제1 스페이서층에 대해 제1 이방성 에칭 공정을 수행함으로써, 상기 맨드릴층의 측벽 상에 제1 세트의 스페이서를 남겨두는 단계와,
    상기 맨드릴층을 제거하는 단계와,
    상기 제1 타입의 재료에 대해 에칭 선택도를 가지는 제2 타입의 재료를 포함하는 제2 스페이서층을 상기 제1 세트의 스페이서 위에, 상기 제2 스페이서층이 상기 제1 세트의 스페이서에 등각이 되도록 형성하는 단계와,
    상기 제1 에칭액과는 상이한 제2 에칭액을 사용하여 상기 제2 스페이서층에 대해 제2 이방성 에칭 공정을 수행함으로써, 상기 제1 세트의 스페이서의 측벽 상에 제2 세트의 스페이서를 형성하는 단계
    를 포함하고,
    상기 제1 스페이서층 및 상기 제2 스페이서층의 특징부들 중 적어도 하나는 2개의 인접한 스페이서들의 병합에 의해 형성되는 병합 특징부(merge feature)를 포함하도록 상기 복수의 맨드릴 특징부 중 적어도 하나는 상이한 폭을 갖는 것인, 반복적인 셀프얼라인 패터닝 방법.
  10. 반복적인 셀프얼라인 패터닝 방법에 있어서,
    기판을 제공하는 단계와,
    복수의 맨드릴 특징부를 포함하는 패터닝된 맨드릴층을 상기 기판 상에 형성하는 단계와,
    제1 타입의 재료를 포함하는 제1 세트의 스페이서를 상기 맨드릴층의 측벽 상에 형성하는 단계와,
    상기 맨드릴층을 제거하는 단계와,
    상기 제1 타입의 재료에 대해 에칭 선택도를 가지는 제2 타입의 재료를 포함하는 제2 세트의 스페이서를 상기 제1 세트의 스페이서의 측벽 상에 형성하는 단계와,
    제1 에칭액으로 상기 제1 세트의 스페이서를 제거하는 단계와,
    상기 제1 타입의 재료를 포함하는 제3 세트의 스페이서를 상기 제2 세트의 스페이서의 측벽 상에 형성하는 단계와,
    상기 제1 에칭액과 상이한 제2 에칭액으로 상기 제2 세트의 스페이서를 제거하는 단계
    를 포함하고,
    상기 제1 세트의 스페이서, 상기 제2 세트의 스페이서 및 상기 제3 세트의 스페이서의 특징부들 중 적어도 하나는 2개의 인접한 스페이서들의 병합에 의해 형성되는 병합 특징부(merge feature)를 포함하도록 상기 복수의 맨드릴 특징부 중 적어도 하나는 상이한 폭을 갖는 것인, 반복적인 셀프얼라인 패터닝 방법.
KR1020180085587A 2014-10-17 2018-07-23 반복적인 셀프얼라인 패터닝 KR101992569B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,252 US9685332B2 (en) 2014-10-17 2014-10-17 Iterative self-aligned patterning
US14/517,252 2014-10-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170032419A Division KR20170033830A (ko) 2014-10-17 2017-03-15 반복적인 셀프얼라인 패터닝

Publications (2)

Publication Number Publication Date
KR20180089339A KR20180089339A (ko) 2018-08-08
KR101992569B1 true KR101992569B1 (ko) 2019-06-24

Family

ID=55749610

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140182989A KR20160045527A (ko) 2014-10-17 2014-12-18 반복적인 셀프얼라인 패터닝
KR1020170032419A KR20170033830A (ko) 2014-10-17 2017-03-15 반복적인 셀프얼라인 패터닝
KR1020180085587A KR101992569B1 (ko) 2014-10-17 2018-07-23 반복적인 셀프얼라인 패터닝

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020140182989A KR20160045527A (ko) 2014-10-17 2014-12-18 반복적인 셀프얼라인 패터닝
KR1020170032419A KR20170033830A (ko) 2014-10-17 2017-03-15 반복적인 셀프얼라인 패터닝

Country Status (4)

Country Link
US (1) US9685332B2 (ko)
KR (3) KR20160045527A (ko)
CN (2) CN112542377A (ko)
TW (1) TWI561460B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9449880B1 (en) * 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9997369B2 (en) 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
CN108010966B (zh) * 2016-10-28 2020-08-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
US10483108B2 (en) * 2017-04-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
KR20200083981A (ko) 2017-11-30 2020-07-09 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN108511330A (zh) * 2018-03-29 2018-09-07 上海华力集成电路制造有限公司 掩模图案的形成方法、半导体器件和集成电路
US20220221636A1 (en) * 2021-01-08 2022-07-14 LabForInvention Energy-efficient window coatings transmissible to wireless communication signals and methods of fabricating thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20060281266A1 (en) 2005-06-09 2006-12-14 Wells David H Method and apparatus for adjusting feature size and position

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100310257B1 (ko) 1999-08-07 2001-09-29 박종섭 반도체소자의 미세 패턴의 제조방법
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR100866723B1 (ko) 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
US7972959B2 (en) 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
KR20120019917A (ko) * 2010-08-27 2012-03-07 삼성전자주식회사 반도체 장치의 제조방법
US8461053B2 (en) * 2010-12-17 2013-06-11 Spansion Llc Self-aligned NAND flash select-gate wordlines for spacer double patterning
US9384962B2 (en) * 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
JP5710436B2 (ja) * 2011-09-26 2015-04-30 株式会社東芝 パターン形成方法
US20130244437A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Inc. Methods of forming features on an integrated circuit product using a novel compound sidewall image transfer technique
US8836049B2 (en) * 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
CN103779191B (zh) * 2012-10-26 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20060281266A1 (en) 2005-06-09 2006-12-14 Wells David H Method and apparatus for adjusting feature size and position

Also Published As

Publication number Publication date
TW201615535A (zh) 2016-05-01
TWI561460B (en) 2016-12-11
KR20170033830A (ko) 2017-03-27
US9685332B2 (en) 2017-06-20
KR20180089339A (ko) 2018-08-08
CN112542377A (zh) 2021-03-23
US20160111297A1 (en) 2016-04-21
KR20160045527A (ko) 2016-04-27
CN106158600A (zh) 2016-11-23

Similar Documents

Publication Publication Date Title
KR101992569B1 (ko) 반복적인 셀프얼라인 패터닝
US10840097B2 (en) Semiconductor methods and devices
KR101576335B1 (ko) 집적 회로 패터닝 방법
US9153478B2 (en) Spacer etching process for integrated circuit design
JP4945740B2 (ja) フォトリソグラフィー構造よりも狭いピッチを有するパターン
US9136106B2 (en) Method for integrated circuit patterning
TWI423388B (zh) 利用雙重圖樣化製造方法形成細緻圖案之方法
US20150162205A1 (en) Self-Aligned Double Spacer Patterning Process
US9698015B2 (en) Method for patterning a semiconductor substrate
CN107731666B (zh) 双重图形化的方法
CN108573865B (zh) 半导体器件及其形成方法
US9564342B2 (en) Method for controlling etching in pitch doubling
US9741567B2 (en) Method of forming multiple patterning spacer structures
US9348230B2 (en) Method of manufacturing semiconductor device
CN107785252B (zh) 双重图形化的方法
US8329522B2 (en) Method for fabricating semiconductor device
CN104124143A (zh) 栅极侧壁层的形成方法
KR101103809B1 (ko) 반도체 소자의 제조 방법
CN114446770A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant