TW201538548A - Block copolymer - Google Patents

Block copolymer Download PDF

Info

Publication number
TW201538548A
TW201538548A TW103142782A TW103142782A TW201538548A TW 201538548 A TW201538548 A TW 201538548A TW 103142782 A TW103142782 A TW 103142782A TW 103142782 A TW103142782 A TW 103142782A TW 201538548 A TW201538548 A TW 201538548A
Authority
TW
Taiwan
Prior art keywords
block
block copolymer
group
chain
formula
Prior art date
Application number
TW103142782A
Other languages
Chinese (zh)
Other versions
TWI596125B (en
Inventor
Jung-Keun Kim
Je-Gwon Lee
No-Jin Park
Sung-Soo Yoon
Original Assignee
Lg Chemical Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lg Chemical Ltd filed Critical Lg Chemical Ltd
Publication of TW201538548A publication Critical patent/TW201538548A/en
Application granted granted Critical
Publication of TWI596125B publication Critical patent/TWI596125B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F297/00Macromolecular compounds obtained by successively polymerising different monomer systems using a catalyst of the ionic or coordination type without deactivating the intermediate polymer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • C08F293/005Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule using free radical "living" or "controlled" polymerisation, e.g. using a complexing agent
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C217/00Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton
    • C07C217/78Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton
    • C07C217/80Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings
    • C07C217/82Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring
    • C07C217/84Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring the oxygen atom of at least one of the etherified hydroxy groups being further bound to an acyclic carbon atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C35/00Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a ring other than a six-membered aromatic ring
    • C07C35/48Halogenated derivatives
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/20Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring
    • C07C43/215Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring having unsaturation outside the six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/20Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring
    • C07C43/225Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring containing halogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/02Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom condensed with one carbocyclic ring
    • C07D209/44Iso-indoles; Hydrogenated iso-indoles
    • C07D209/48Iso-indoles; Hydrogenated iso-indoles with oxygen atoms in positions 1 and 3, e.g. phthalimide
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/16Halogens
    • C08F12/20Fluorine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/22Oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/26Nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/32Monomers containing only one unsaturated aliphatic radical containing two or more rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/08Styrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/12Monomers containing a branched unsaturated aliphatic radical or a ring substituted by an alkyl radical
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/16Halogens
    • C08F212/20Fluorine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/26Nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/32Monomers containing only one unsaturated aliphatic radical containing two or more rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F216/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical
    • C08F216/12Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical by an ether radical
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • C08F220/301Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety and one oxygen in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/0427Coating with only one layer of a composition containing a polymer binder
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/12Chemical modification
    • C08J7/123Treatment by wave energy or particle radiation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/12Chemical modification
    • C08J7/14Chemical modification with acids, their salts or anhydrides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D153/00Coating compositions based on block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Coating compositions based on derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00428Etch mask forming processes not provided for in groups B81C1/00396 - B81C1/0042
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/16Systems containing only non-condensed rings with a six-membered ring the ring being unsaturated
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2438/00Living radical polymerisation
    • C08F2438/03Use of a di- or tri-thiocarbonylthio compound, e.g. di- or tri-thioester, di- or tri-thiocarbamate, or a xanthate as chain transfer agent, e.g . Reversible Addition Fragmentation chain Transfer [RAFT] or Macromolecular Design via Interchange of Xanthates [MADIX]
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2353/00Characterised by the use of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Derivatives of such polymers

Abstract

The present application provides the block copolymers and their application. The block copolymer has an excellent self assembling property and phase separation and various required functions can be freely applied thereto as necessary.

Description

嵌段共聚物 Block copolymer

本發明關於嵌段共聚物。 This invention relates to block copolymers.

嵌段共聚物具有分子結構,其中具有在化學上彼此不同的結構之聚合物子單元係以共價鍵連結。嵌段共聚物能夠經由相分離而形成週期性對準之結構,諸如球體、圓柱體或片層。由嵌段共聚物之自組裝所形成的結構之結構域大小可在寬廣的範圍內調整,且可製備各種形狀的結構。因此,該等可被利用於以微影術之圖案形成方法、各種磁性記錄媒體或新一代奈米裝置中,諸如金屬點、量子點或奈米線、高密度磁性儲存媒體、及類似者。 The block copolymer has a molecular structure in which polymer subunits having a structure different from each other chemically are linked by a covalent bond. The block copolymer is capable of forming a periodically aligned structure, such as a sphere, cylinder or sheet, via phase separation. The domain size of the structure formed by self-assembly of the block copolymer can be adjusted over a wide range, and structures of various shapes can be prepared. Thus, these can be utilized in lithography patterning methods, various magnetic recording media or next generation nanodevices such as metal dots, quantum dots or nanowires, high density magnetic storage media, and the like.

本發明提供嵌段共聚物及其應用。 The present invention provides block copolymers and uses thereof.

如本文所使用之術語〝烷基〞可指具有1至20,1至16,1至12,1至8,或1至4個碳原子之烷基,除非另有其他定義。烷基可具有線性、分枝或環狀結構,且可隨意地經至少一個取代基取代。 The term "alkyl" as used herein may refer to an alkyl group having from 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms, unless otherwise defined. The alkyl group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

如本文所使用之術語〝烷氧基〞可指具有1至20,1至16,1至12,1至8,或1至4個碳原子之烷氧基,除非另有其他定義。烷氧基可具有線性、分枝或環狀結構,且可隨意地經至少一個取代基取代。 The term "decyloxy" as used herein may refer to an alkoxy group having from 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms, unless otherwise defined. The alkoxy group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

如本文所使用之術語〝烯基或炔基〞可指具有2至20,2至16,2至12,2至8,或2至4個碳原子之烯基或炔基,除非另有其他定義。烯基或炔基可具有線性、分枝或環狀結構,且可隨意地經至少一個取代基取代。 The term "decenyl or alkynyl" as used herein may mean an alkenyl or alkynyl group having 2 to 20, 2 to 16, 2 to 12, 2 to 8, or 2 to 4 carbon atoms unless otherwise definition. The alkenyl or alkynyl group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

如本文所使用之術語〝伸烷基〞可指具有1至20,1至16,1至12,1至8,或1至4個碳原子之伸烷基,除非另有其他定義。伸烷基可具有線性、分枝或環狀結構,且可隨意地經至少一個取代基取代。 The term "alkyl" as used herein may refer to an alkylene group having 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms unless otherwise defined. The alkylene group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

如本文所使用之術語〝伸烯基或伸炔基〞可指具有2至20,2至16,2至12,2至8,或2至4個碳原子之伸烯基或伸炔基,除非另有其他定義。伸烯基或伸炔基可具有線性、分枝或環狀結構,且可隨意地經至少一個取代基取代。 The term stilbene or alkynyl hydrazide as used herein may mean an alkenyl or alkynyl group having 2 to 20, 2 to 16, 2 to 12, 2 to 8, or 2 to 4 carbon atoms. Unless otherwise defined. The alkenyl or alkynyl group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

如本文所使用之術語〝芳基或伸芳基〞可為自包括一個苯環結構或其中至少兩個苯環以共享一或兩個 碳原子或以隨意的連結基(linker)連結之結構的化合物,或化合物的衍生物所衍生之單價或二價結構,除非另有其他定義。芳基或伸芳基可為具有6至30,6至25,6至21,6至18,或6至13個碳原子之芳基,除非另有其他定義。 The term "aryl" or aryl aryl as used herein may be self-contained in a benzene ring structure or in which at least two benzene rings are shared to share one or two A monovalent or divalent structure derived from a carbon atom or a compound having a structure linked by a random linker, or a derivative of a compound, unless otherwise defined. The aryl or extended aryl group may be an aryl group having 6 to 30, 6 to 25, 6 to 21, 6 to 18, or 6 to 13 carbon atoms unless otherwise defined.

如本文所使用之術語〝芳族結構〞可指芳基或伸芳基。 The term "inden aromatic structure" as used herein may mean aryl or aryl.

如本文所使用之術語〝脂環狀結構〞可指不為芳族環狀結構的環狀烴結構。脂環狀結構可為具有3至30,3至25,3至21,3至18,或3至13個碳原子之結構,除非另有其他定義。 The term "resin ring structure" as used herein may refer to a cyclic hydrocarbon structure that is not an aromatic cyclic structure. The lipid ring structure may be a structure having 3 to 30, 3 to 25, 3 to 21, 3 to 18, or 3 to 13 carbon atoms unless otherwise defined.

如本文所使用之術語〝單鍵〞可指其中沒有原子於對應位置上之例子。例如,在其中〝B〞為以〝A-B-C〞表示的結構中之單鍵的例子意指在〝B〞位置上沒有原子,且因此以〝A-C〞表示的結構係由〝A〞直接連接至〝C〞而形成。 The term 〝 single bond 如 as used herein may refer to an example in which no atom is present at a corresponding position. For example, an example in which 〝B〞 is a single bond in a structure represented by 〝ABC〞 means that there is no atom at the 〝B〞 position, and thus the structure represented by 〝AC〞 is directly connected to 〝A〞 C〞 formed.

可隨意地取代烷基、烯基、炔基、伸烷基、伸烯基、伸炔基、烷氧基、芳基、伸芳基、鏈、芳族結構及類似者的取代基可為羥基、鹵素原子、羧基、縮水甘油基、丙烯醯基、甲基丙烯醯基、丙烯醯氧基、甲基丙烯醯氧基、硫醇基、烷基、烯基、炔基、伸烷基、伸烯基、伸炔基、烷氧基或芳基,但不限於此。 The substituent which may optionally be substituted for an alkyl group, an alkenyl group, an alkynyl group, an alkylene group, an alkenyl group, an alkynyl group, an alkoxy group, an aryl group, an aryl group, a chain, an aromatic structure or the like may be a hydroxyl group. , halogen atom, carboxyl group, glycidyl group, acryl fluorenyl group, methacryl fluorenyl group, acryloxy group, methacryloxy group, thiol group, alkyl group, alkenyl group, alkynyl group, alkylene group, stretching Alkenyl, alkynyl, alkoxy or aryl, but is not limited thereto.

在一個實施態樣中,可提供如以下式1表示之單體,其具有新穎結構且能夠形成嵌段共聚物。 In one embodiment, a monomer represented by Formula 1 below having a novel structure and capable of forming a block copolymer can be provided.

在式1中,R氫或烷基,且X為單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-。在上式中,X1可為氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,且Y可為包括與具有8或更多個形成鏈的原子之鏈連結的環狀結構之單價取代基。 In Formula 1, R hydrogen or alkyl, and X is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, an alkylene group, an alkenyl group, an alkynyl group, -C(= O)-X 1 - or -X 1 -C(=O)-. In the above formula, X 1 may be an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an extended alkenyl group or an alkynyl group, and Y may be included and have 8 or more forming chains. A monovalent substituent of a cyclic structure linked by a chain of atoms.

在另一實施態樣中,在式1中,X可為單鍵、氧原子、羰基、-C(=O)-O-或-O-C(=O)-;或X可為-C(=O)-O-,但不受限於此。 In another embodiment, in Formula 1, X may be a single bond, an oxygen atom, a carbonyl group, -C(=O)-O- or -OC(=O)-; or X may be -C(= O)-O-, but is not limited to this.

在式1中,單價取代基Y包括由至少8個形成鏈的原子所形成之鏈結構。 In Formula 1, the monovalent substituent Y includes a chain structure formed of at least 8 atoms forming a chain.

如本文所使用之術語〝形成鏈的原子〞係指形成特定鏈之線性結構的原子。鏈可具有線性或分枝結構;然而,形成鏈的原子之數量僅以形成最長直鏈的原子之數量計算。因此,在其中形成鏈的原子為碳原子之例子中,未將其他原子計算為形成鏈的原子之數量,諸如連結至碳原子之氫原子及類似者。再者,在分枝鏈之例子中,形成鏈的原子之數量為形成最長鏈的原子之數量。例如,鏈為正戊基,所有形成鏈的原子為碳原子且其數量為5。若鏈為2-甲基戊基,則所有形成鏈的原子亦為碳原子且其 數量為5。形成鏈的原子可為碳、氧、硫或氮及類似者,且適當的形成鏈的原子可為碳、氧或氮;或碳或氧。形成鏈的原子之數量可為8或更多,9或更多,10或更多,11或更多,或12或更多。形成鏈的原子之數量可為30或更少,25或更少,20或更少,或16或更少。 As used herein, the term "an atom of a hydrazine to form a chain" refers to an atom that forms a linear structure of a particular chain. The chains may have a linear or branched structure; however, the number of atoms forming the chain is calculated only by the number of atoms forming the longest straight chain. Therefore, in the case where the atom in which the chain is formed is a carbon atom, the other atoms are not counted as the number of atoms forming the chain, such as a hydrogen atom bonded to a carbon atom and the like. Furthermore, in the case of a branched chain, the number of atoms forming a chain is the number of atoms forming the longest chain. For example, the chain is n-pentyl, all of the atoms forming the chain are carbon atoms and the number is 5. If the chain is 2-methylpentyl, all of the atoms forming the chain are also carbon atoms and The number is 5. The atoms forming the chain may be carbon, oxygen, sulfur or nitrogen and the like, and the appropriate chain forming atoms may be carbon, oxygen or nitrogen; or carbon or oxygen. The number of atoms forming the chain may be 8 or more, 9 or more, 10 or more, 11 or more, or 12 or more. The number of atoms forming the chain may be 30 or less, 25 or less, 20 or less, or 16 or less.

當式1化合物形成嵌段共聚物時,嵌段共聚物可由於鏈的存在而顯示極佳的自組裝性質。 When the compound of Formula 1 forms a block copolymer, the block copolymer can exhibit excellent self-assembly properties due to the presence of the chain.

在一個實施態樣中,鏈可為線性烴鏈,諸如線性烷基。在此例子中,烷基可為具有8或更多,8至30,8至25,8至20,或8至16個碳原子之烷基。烷基中之至少一個碳原子可隨意地經氧原子取代,且烷基中之至少一個氫原子可隨意地經另一取代基取代。 In one embodiment, the chain can be a linear hydrocarbon chain, such as a linear alkyl group. In this example, the alkyl group may be an alkyl group having 8 or more, 8 to 30, 8 to 25, 8 to 20, or 8 to 16 carbon atoms. At least one carbon atom in the alkyl group may be optionally substituted with an oxygen atom, and at least one hydrogen atom in the alkyl group may be optionally substituted with another substituent.

在式1中,Y可包括環狀結構。鏈可連結至環狀結構。由化合物所形成的嵌段共聚物之自組裝性質可由於環狀結構而進一步改進。環狀結構可為芳族結構或脂環狀結構。 In Formula 1, Y may include a ring structure. The chain can be attached to the ring structure. The self-assembling properties of the block copolymer formed from the compound can be further improved due to the cyclic structure. The cyclic structure may be an aromatic structure or a lipid ring structure.

鏈可直接連結至環狀結構或可經由連結基連結至環狀結構。氧原子、硫原子、-NR1-、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-可經例證為連結基。在上式中,R1可為氫、烷基、烯基、炔基、烷氧基或芳基,且X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,且在上式中,R2可為氫、烷基、烯基、炔基、烷氧基或芳基。適當的連結基可為氧原子或氮原子。例如,鏈可經由氧原子 或氮原子連結至芳族結構。在此例子中,連結基可為氧原子或-NR1-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基。 The chain may be directly attached to the ring structure or may be attached to the ring structure via a linker. Oxygen atom, sulfur atom, -NR 1 -, -S(=O) 2 -, carbonyl, alkylene, alkenyl, alkynyl, -C(=O)-X 1 - or -X 1 -C (=O)- can be exemplified by a linking group. In the above formula, R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X1 may be a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O 2 - an alkylene group, an alkenyl group or an alkynyl group, and in the above formula, R 2 may be hydrogen, an alkyl group, an alkenyl group, an alkynyl group, an alkoxy group or an aryl group. A suitable linking group can be an oxygen atom or a nitrogen atom. For example, the chain can be attached to the aromatic structure via an oxygen or nitrogen atom. In this example, the linking group can be an oxygen atom or -NR 1 -, wherein R 1 can be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl.

在一個實施態樣中,式1之Y可以下式2表示。 In one embodiment, Y of Formula 1 can be represented by Formula 2.

[式2]-P-Q-Z [Formula 2] -PQZ

在式2中,P可為伸芳基,Q可為單鍵、氧原子或-NR3-,其中R3可為氫、烷基、烯基、炔基、烷氧基或芳基,且Z可為具有至少8個形成鏈的原子之鏈。在其中式1之Y為式2之取代基的例子中,式2之P可直接連結至式1之X。 In Formula 2, P may be an extended aryl group, and Q may be a single bond, an oxygen atom or -NR 3 -, wherein R 3 may be hydrogen, an alkyl group, an alkenyl group, an alkynyl group, an alkoxy group or an aryl group, and Z can be a chain of atoms having at least 8 chains forming a chain. In the example in which Y of Formula 1 is a substituent of Formula 2, P of Formula 2 may be directly bonded to X of Formula 1.

在式2中,適當的P可為具有6至12個碳原子之伸芳基,諸如伸苯基,但不限於此。 In Formula 2, a suitable P may be an extended aryl group having 6 to 12 carbon atoms, such as a phenyl group, but is not limited thereto.

在式2中,適當的Q可為氧原子或-NR1-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基。 In Formula 2, a suitable Q may be an oxygen atom or -NR 1 -, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl.

其中R為氫原子或烷基;或氫原子或具有1至4個碳原子之烷基,X為-C(=O)-O-,且Y為式2之取代基,其中P為具有6至12個碳原子之伸芳基或伸苯基,Q為氧原子,且Z為具有8或更多個形成鏈的原子之鏈的式1之單體可經例證為式1之單體適當的實施態樣。 Wherein R is a hydrogen atom or an alkyl group; or a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, X is -C(=O)-O-, and Y is a substituent of the formula 2, wherein P is 6 A monomer of formula 1 up to a aryl group of 12 carbon atoms or a phenyl group, Q being an oxygen atom, and Z being a chain of 8 or more chain-forming atoms may be exemplified by the monomer of formula 1 The implementation of the situation.

因此,下式3之單體可經例證為適當的實施態樣。 Therefore, the monomer of the following formula 3 can be exemplified as a suitable embodiment.

在式3中,R為氫原子或具有1至4個碳原子之烷基,X為-C(=O)-O-,P為具有6至12個碳原子之伸芳基,Q為氧原子,且Z為具有8或更多個形成鏈的原子之上述鏈。 In Formula 3, R is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, X is -C(=O)-O-, P is an extended aryl group having 6 to 12 carbon atoms, and Q is oxygen. An atom, and Z is the above chain having 8 or more atoms forming a chain.

本發明的另一實施態樣關於一種用於製備嵌段共聚物之方法,其包含藉由將單體聚合來形成嵌段之步驟。 Another embodiment of the present invention is directed to a method for preparing a block copolymer comprising the step of forming a block by polymerizing a monomer.

用於製備嵌段共聚物之特定方法未受到特別的限制,只要其包含藉由使用上述單體來形成嵌段共聚物的至少一個嵌段之步驟。 The specific method for producing the block copolymer is not particularly limited as long as it comprises a step of forming at least one block of the block copolymer by using the above monomers.

例如,嵌段共聚物可藉由使用單體之活性自由基聚合反應(LRP)來製備。例如,有以下方法:諸如陰離子聚合反應,其中嵌段共聚物係在無機酸鹽(諸如鹼金屬或鹼土金屬之鹽)的存在下使用有機稀土金屬錯合物或有機鹼金屬化合物作為聚合引發劑而合成;陰離子聚合反應,其中嵌段共聚物係在有機鋁化合物的存在下使用有機鹼金屬化合物作為聚合引發劑而合成;使用原子轉移自由基聚合劑作為聚合控制劑的原子轉移自由基聚合反應(ATRP);以電子轉移再生之活化劑(ATGET)進行的ATRP,其係在產生電子之有機或無機還原劑的存在下使 用原子轉移自由基聚合劑作為聚合控制劑進行聚合反應;以連續活化劑再生之引發劑(ICAR)進行的ATRP;使用無機還原劑可逆式加成-開環鏈轉移劑之可逆式加成-開環鏈轉移(RAFT)聚合反應;及使用有機鉈化合物作為引發劑之方法,且可在上述方法之中選擇適當的方法。 For example, block copolymers can be prepared by living radical polymerization (LRP) using monomers. For example, there are methods such as anionic polymerization in which a block copolymer is an organic rare earth metal complex or an organic alkali metal compound as a polymerization initiator in the presence of a mineral acid salt such as a salt of an alkali metal or an alkaline earth metal. Synthesis; anionic polymerization in which a block copolymer is synthesized using an organic alkali metal compound as a polymerization initiator in the presence of an organoaluminum compound; atom transfer radical polymerization using an atom transfer radical polymerization agent as a polymerization control agent (ATRP); ATRP by electron transfer regeneration activator (ATGET) in the presence of an organic or inorganic reducing agent that produces electrons Polymerization using an atom transfer radical polymerization agent as a polymerization control agent; ATRP by a continuous activator regeneration initiator (ICAR); reversible addition using an inorganic reducing agent-reversible addition of a ring-opening chain transfer agent - Open-loop chain transfer (RAFT) polymerization; and a method using an organic ruthenium compound as an initiator, and an appropriate method can be selected among the above methods.

在一個實施態樣中,嵌段共聚物可藉由以下方法製備:包括將包含能夠在自由基引發劑及活性自由基聚合試劑的存在下形成嵌段之單體的材料以活性自由基聚合反應聚合。 In one embodiment, the block copolymer can be prepared by a method comprising living a free radical polymerization of a material comprising a monomer capable of forming a block in the presence of a free radical initiator and a living radical polymerization reagent. polymerization.

在嵌段共聚物的製備中,用於形成與由上述單體所形成之嵌段一起包括在嵌段共聚物中的其他嵌段之方法未受到特別的限制,且其他單體可藉由考慮到欲形成之嵌段種類而選擇適當的單體來形成。 In the preparation of the block copolymer, the method for forming the other block included in the block copolymer together with the block formed of the above monomer is not particularly limited, and other monomers can be considered It is formed by selecting an appropriate monomer to the type of the block to be formed.

用於製備嵌段共聚物之方法可另外包括在非溶劑中沉澱出由上述方法所製造之聚合產物。 The method for preparing the block copolymer may additionally include precipitating the polymerization product produced by the above method in a non-solvent.

自由基引發劑的種類可考慮到聚合效率而予以適當地選擇,沒有特別的限制,且可使用偶氮化合物,諸如偶氮雙異丁腈(AIBN)或2,2’-偶氮雙-(2,4-二甲基戊腈),或過氧化物化合物,諸如苯甲醯基過氧化物(BPO)或二-三級丁基過氧化物(DTBP)。 The kind of the radical initiator can be appropriately selected in consideration of the polymerization efficiency, and is not particularly limited, and an azo compound such as azobisisobutyronitrile (AIBN) or 2,2'-azobis-( 2,4-Dimethylvaleronitrile), or a peroxide compound such as benzhydryl peroxide (BPO) or di-tertiary butyl peroxide (DTBP).

LRP可在溶劑中進行,諸如二氯甲烷、1,2-二氯乙烷、氯苯、二氯苯、苯、甲苯、丙酮、氯仿、四氫呋喃、二噁烷、乙二醇二甲醚(monoglyme)、二甘醇二甲醚、二甲基甲醯胺、二甲基亞碸或二甲基乙醯胺。 LRP can be carried out in a solvent such as dichloromethane, 1,2-dichloroethane, chlorobenzene, dichlorobenzene, benzene, toluene, acetone, chloroform, tetrahydrofuran, dioxane, ethylene glycol dimethyl ether (monoglyme ), diglyme, dimethylformamide, dimethylhydrazine or dimethylacetamide.

可使用例如醇(諸如甲醇、乙醇、正丙醇或異丙醇)、二醇(諸如乙二醇)或醚化合物(諸如正己烷、環己烷、正庚烷或石油醚)作為非溶劑,但是沒有限制。 As the non-solvent, for example, an alcohol such as methanol, ethanol, n-propanol or isopropanol, a diol such as ethylene glycol or an ether compound such as n-hexane, cyclohexane, n-heptane or petroleum ether can be used. But there is no limit.

本發明的又另一實施態樣關於包括使用單體所形成的嵌段(在下文可稱為第一嵌段)之嵌段共聚物。 Still another embodiment of the present invention is directed to a block copolymer comprising a block formed using a monomer (hereinafter may be referred to as a first block).

嵌段可以例如式4表示。 The block can be represented, for example, by Formula 4.

在式4中,R、X和Y可分別與關於式1之R、X和Y所述者相同。 In Formula 4, R, X and Y may be the same as those described for R, X and Y of Formula 1, respectively.

因此,在式4中,R可為氫或具有1至4個碳原子之烷基,X可為單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1可為氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,且Y可為包括與具有8或更多個形成鏈的原子之鏈連結的環狀結構之單價取代基。上文說明可以相同的方式應用為上述各取代基之特定類型。 Therefore, in Formula 4, R may be hydrogen or an alkyl group having 1 to 4 carbon atoms, and X may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, an alkylene group, An alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 may be an oxygen atom, a sulfur atom, -S(=O) 2 -, An alkyl group, an alkenyl group or an alkynyl group, and Y may be a monovalent substituent including a cyclic structure linked to a chain having 8 or more chains forming an atom. The above description can be applied in the same manner to the specific type of each of the above substituents.

在一個實施態樣中,第一嵌段可為式4之嵌段,其中R為氫或烷基;或氫或具有1至4個碳原子之烷 基,X為-C(=O)-O-,且Y為以式2表示之取代基。此種嵌段可稱為1A嵌段,但不受限於此。此嵌段可以下式5表示。 In one embodiment, the first block can be a block of formula 4 wherein R is hydrogen or an alkyl group; or hydrogen or an alkane having from 1 to 4 carbon atoms A group, X is -C(=O)-O-, and Y is a substituent represented by Formula 2. Such a block may be referred to as a 1A block, but is not limited thereto. This block can be represented by the following formula 5.

在式5中,R可為氫原子或具有1至4個碳原子之烷基,X可為單鍵、氧原子、-C(=O)-O-或-O-C(=O)-、P可為伸芳基,Q可為氧原子或-NR3-,其中R3可為氫、烷基、烯基、炔基、烷氧基或芳基,Z為具有8或更多個形成鏈的原子之鏈。在另一實施態樣中,式5之Q可為氧原子。 In Formula 5, R may be a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, and X may be a single bond, an oxygen atom, -C(=O)-O- or -OC(=O)-, P. It may be an aryl group, Q may be an oxygen atom or -NR 3 -, wherein R 3 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and Z has 8 or more chains The chain of atoms. In another embodiment, Q of Formula 5 can be an oxygen atom.

在另一實施態樣中,第一嵌段可為以式6表示之嵌段。此種第一嵌段在本文可稱為1B嵌段。 In another embodiment, the first block can be a block represented by Formula 6. Such a first block may be referred to herein as a 1B block.

在式6中,R1和R2可各自獨立為氫或具有1至4個碳原子之烷基,X可為單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1可為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,T可為單鍵或伸芳基,Q可為單鍵或羰基,且Y可為具有至少8個形成鏈的原子之鏈。 In Formula 6, R 1 and R 2 may each independently be hydrogen or an alkyl group having 1 to 4 carbon atoms, and X may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, An alkyl group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 may be a single bond, an oxygen atom, a sulfur atom, or a -S (=O) 2 -, alkyl, alkenyl or alkynyl, T may be a single bond or an aryl group, Q may be a single bond or a carbonyl group, and Y may be an atom having at least 8 chains forming a chain chain.

在式6之1B嵌段中,X可為單鍵、氧原子、羰基、-C(=O)-O-或-O-C(=O)-。 In the block of 1B of Formula 6, X may be a single bond, an oxygen atom, a carbonyl group, -C(=O)-O- or -O-C(=O)-.

關於式1之上文說明可以相同的方式應用為1B嵌段中的鏈Y之特別的實施態樣。 The above description regarding Formula 1 can be applied in the same manner as a special embodiment of the chain Y in the 1B block.

在另一實施態樣中,第一嵌段可為以式4至6中之至少一者表示之嵌段,其中具有8或更多個形成鏈的原子之鏈的至少一個形成鏈的原子之電負度為3或更大。在另一實施態樣中,形成鏈的原子之電負度可為3.7或更小。此種嵌段在本文可稱為1C嵌段。氮原子或氧原子可經例證為具有3或更大的電負度之原子,但不受限於此。 In another embodiment, the first block may be a block represented by at least one of Formulas 4 to 6, wherein at least one of the chains having 8 or more chain-forming atoms forms a chain of atoms The electronegativity is 3 or more. In another embodiment, the electrons forming the atoms of the chain may have an electronegativity of 3.7 or less. Such a block may be referred to herein as a 1C block. A nitrogen atom or an oxygen atom may be exemplified as an atom having an electronegativity of 3 or more, but is not limited thereto.

與第一嵌段(諸如1A、1B或1C嵌段)一起包括在嵌段共聚物中之另一嵌段的種類(在下文可稱為第二嵌段)未受到特別的限制。 The kind of another block included in the block copolymer together with the first block such as the 1A, 1B or 1C block (hereinafter may be referred to as a second block) is not particularly limited.

例如,第二嵌段可為聚乙烯基吡咯啶酮嵌段、聚乳酸嵌段、聚乙烯基吡啶嵌段、聚苯乙烯嵌段(諸如聚苯乙烯嵌段或聚三甲基矽基苯乙烯)、聚環氧烷嵌段(諸如聚環氧乙烷嵌段)或聚烯烴嵌段(諸如聚乙烯嵌段或聚異戊二醇嵌段或聚丁二烯嵌段)。在本文所使用的此種嵌段可稱為2A嵌段。 For example, the second block can be a polyvinylpyrrolidone block, a polylactic acid block, a polyvinylpyridine block, a polystyrene block (such as a polystyrene block or a polytrimethyldecyl styrene). a polyalkylene oxide block (such as a polyethylene oxide block) or a polyolefin block (such as a polyethylene block or a polyisoprene block or a polybutadiene block). Such a block as used herein may be referred to as a 2A block.

在一個實施態樣中,與第一嵌段(諸如1A、1B或1C嵌段)一起包括在嵌段共聚物中之第二嵌段可為包括芳族結構之嵌段,該芳族結構包含至少一個鹵素原子。 In one embodiment, the second block included in the block copolymer together with the first block (such as the 1A, 1B or 1C block) may be a block comprising an aromatic structure comprising At least one halogen atom.

此種第二嵌段可以例如下式7表示且可稱為2B嵌段。 Such a second block may be represented, for example, by the following formula 7 and may be referred to as a 2B block.

在式7中,B可為具有包括至少一個鹵素原子之芳族結構的單價取代基。 In Formula 7, B may be a monovalent substituent having an aromatic structure including at least one halogen atom.

此種第二嵌段可與上述第一嵌段有效地交互作用,使得嵌段共聚物可具有極佳的自組裝特徵。 Such a second block can effectively interact with the first block described above such that the block copolymer can have excellent self-assembly characteristics.

式7之芳族結構可為例如具有6至18或6至 12個碳原子之芳族結構。 The aromatic structure of Formula 7 can be, for example, from 6 to 18 or 6 to An aromatic structure of 12 carbon atoms.

再者,在式7中所包括的鹵素原子可為但不限於氟原子或氯原子,且適合為氟原子。 Further, the halogen atom included in the formula 7 may be, but not limited to, a fluorine atom or a chlorine atom, and is preferably a fluorine atom.

在一個實施態樣中,式7之B可為具有6至12個碳原子之芳族結構的單價取代基,該芳族結構經1或更多,2或更多,3或更多,4或更多,或5或更多個鹵素原子取代。鹵素原子之數量的上限未受到特別的限制,但是其可為10或更少,9或更少,8或更少,7或更少,或6或更少個鹵素原子。 In one embodiment, B of Formula 7 may be a monovalent substituent having an aromatic structure of 6 to 12 carbon atoms, the aromatic structure being 1 or more, 2 or more, 3 or more, 4 Or more, or substituted with 5 or more halogen atoms. The upper limit of the number of halogen atoms is not particularly limited, but it may be 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

例如,以式7表示之嵌段(其為2B嵌段)可以下式8表示。 For example, the block represented by Formula 7 (which is a 2B block) can be represented by the following Formula 8.

在式8中,X2可為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,且W可為經至少一個鹵素原子取代之芳基。在上式中,W可為經至少一個鹵素原子取代之芳基,例如具有6至12個碳原子且經2或更多,3或更多,4或更多,或5或更多個鹵素原子取代之芳基。 In Formula 8, X 2 may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an extended alkenyl group or an alkynyl group, and W may be An aryl group substituted with at least one halogen atom. In the above formula, W may be an aryl group substituted with at least one halogen atom, for example, having 6 to 12 carbon atoms and having 2 or more, 3 or more, 4 or more, or 5 or more halogens An atom-substituted aryl group.

2B嵌段可以例如下式9表示。 The 2B block can be represented, for example, by the following formula 9.

在式9中,X2可為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,且R1至R5可各自獨立為氫、烷基、鹵烷基或鹵素原子。在R1至R5中所包括的鹵素原子之數量為1或更多。 In Formula 9, X 2 may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and R 1 to R 5 may each independently be a hydrogen, an alkyl group, a haloalkyl group or a halogen atom. The number of halogen atoms included in R 1 to R 5 is 1 or more.

在式9中,在另一實施態樣中,X2可為單鍵、氧原子、伸烷基、-C(=O)-O-或-O-C(=O)-。 In Formula 9, in another embodiment, X 2 may be a single bond, an oxygen atom, an alkylene group, -C(=O)-O- or -OC(=O)-.

在式9中,R1至R5可各自獨立為氫、烷基、鹵烷基或鹵素原子,及R1至R5可包括1或更多,2或更多,3或更多,4或更多,或5或更多個鹵素原子,諸如氟原子。在R1至R5中所包括的鹵素原子(諸如氟原子)之數量可為例如10或更少,9或更少,8或更少,7或更少,6或更少。 In Formula 9, R 1 to R 5 may each independently be a hydrogen, an alkyl group, a haloalkyl group or a halogen atom, and R 1 to R 5 may include 1 or more, 2 or more, 3 or more, 4 Or more, or 5 or more halogen atoms, such as a fluorine atom. The number of halogen atoms (such as fluorine atoms) included in R 1 to R 5 may be, for example, 10 or less, 9 or less, 8 or less, 7 or less, 6 or less.

在一個實施態樣中,第二嵌段可為以式10表示之嵌段。在本文所使用的此種嵌段可稱為2C嵌段。 In one embodiment, the second block can be a block represented by Formula 10. Such a block as used herein may be referred to as a 2C block.

在式10中,T和K可各自獨立為氧原子或單鍵,及U可為伸烷基。 In Formula 10, T and K may each independently be an oxygen atom or a single bond, and U may be an alkylene group.

在一個實施態樣中,在2C嵌段中,式10之U可為具有1至20,1至16,1至12,1至8,或1至4個碳原子之伸烷基。 In one embodiment, in the 2C block, U of Formula 10 can be an alkylene group having from 1 to 20, from 1 to 16, from 1 to 12, from 1 to 8, or from 1 to 4 carbon atoms.

在另一實施態樣中,2C嵌段可為式10之嵌段,其中式10之T和K中之一者為單鍵,而式10之T和K中之另一者為氧原子。在上述嵌段中,U可為具有1至20,1至16,1至12,1至8,或1至4個碳原子之伸烷基。 In another embodiment, the 2C block can be a block of formula 10, wherein one of T and K of formula 10 is a single bond, and the other of T and K of formula 10 is an oxygen atom. In the above block, U may be an alkylene group having 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms.

在又另一實施態樣中,2C嵌段可為式10之嵌段,其中式10之T和K中之二者為氧原子。在上述嵌段中,U可為具有1至20,1至16,1至12,1至8,或1至4個碳原子之伸烷基。 In yet another embodiment, the 2C block can be a block of formula 10 wherein both T and K of formula 10 are oxygen atoms. In the above block, U may be an alkylene group having 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms.

在又另一實施態樣中,第二嵌段可為包括至少一個金屬原子或類金屬原子之嵌段。此種嵌段可稱為2D嵌段。當關於例如包括自組裝之嵌段共聚物的膜進行蝕刻方法時,此嵌段可改進蝕刻選擇性。 In yet another embodiment, the second block can be a block comprising at least one metal atom or metalloid. Such a block can be referred to as a 2D block. This block can improve the etch selectivity when an etching method is performed with respect to, for example, a film including a self-assembled block copolymer.

在2D嵌段中的金屬原子或類金屬原子可為矽原子、鐵原子或硼原子,但是未受到特別的限制,只要其可由於與嵌段共聚物中的另一原子不同而展現適合的蝕刻選擇性。 The metal atom or metalloid atom in the 2D block may be a germanium atom, an iron atom or a boron atom, but is not particularly limited as long as it exhibits a suitable etching due to being different from another atom in the block copolymer. Selectivity.

2D嵌段可包括1或更多,2或更多,3或更多,4或更多,或5或更多個與金屬或類金屬原子一起的鹵素原子,例如氟原子。2D嵌段可包括10或更少,9或更少,8或更少,7或更少,或6或更少個鹵素原子,諸如氟原子。 The 2D block may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms together with a metal or metalloid atom, such as a fluorine atom. The 2D block may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms such as a fluorine atom.

2D嵌段可以式11表示。 The 2D block can be represented by Formula 11.

在式11中,B可為具有包括鹵素原子之芳族結構的單價取代基及具有金屬原子或類金屬原子之取代基。 In Formula 11, B may be a monovalent substituent having an aromatic structure including a halogen atom and a substituent having a metal atom or a metalloid atom.

式11之芳族結構可為具有6至12個碳原子之芳族結構,例如芳基或伸芳基。 The aromatic structure of Formula 11 may be an aromatic structure having 6 to 12 carbon atoms, such as an aryl group or an aryl group.

式11之2D嵌段可以下式12表示。 The 2D block of Formula 11 can be represented by Formula 12 below.

在式12中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1為氫、烷基、烯基、炔基、烷氧基或芳基,且X1為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,且W可為包括至少一個鹵素原子及包括金屬原子或類金屬原子之取代基的芳基。 In Formula 12, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O) -X 1 - or -X 1 -C(=O)-, wherein R 1 is hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 is a single bond, an oxygen atom, a sulfur atom , -NR 2 -, -S(=O) 2 -, alkylene, alkenyl or alkynyl, and W may be an aryl group including at least one halogen atom and a substituent including a metal atom or a metalloid atom .

在上式中,W可為具有6至12個碳原子且包括至少一個鹵素原子及包括金屬原子或類金屬原子之取代基的芳基。 In the above formula, W may be an aryl group having 6 to 12 carbon atoms and including at least one halogen atom and a substituent including a metal atom or a metalloid atom.

芳基可包括至少1個或1至3個包括金屬原子或類金屬原子之取代基及1或更多,2或更多,3或更多,4或更多,或5或更多個鹵素原子。 The aryl group may include at least 1 or 1 to 3 substituents including a metal atom or a metalloid atom and 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogens atom.

其中可包括10或更少,9或更少,8或更少,7或更少,或6或更少個鹵素原子。 These may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

式12之2D嵌段可以下式13表示。 The 2D block of Formula 12 can be represented by Formula 13 below.

在式13中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基,且X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,R1至R5可各自獨立為氫、烷基、鹵烷基、鹵素原子或包括金屬或類金屬原子之取代基,其先決條件為R1至R5中之至少一者包括鹵素原子,且R1至R5中之至少一者為包括金屬或類金屬原子之取代基。 In Formula 13, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O) -X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and each of R 1 to R 5 may independently be hydrogen, an alkyl group, a haloalkyl group, a halogen atom or A substituent including a metal or metalloid atom is presupposed that at least one of R 1 to R 5 includes a halogen atom, and at least one of R 1 to R 5 is a substituent including a metal or a metalloid atom.

在式13中,R1至R5中之1或更多個,1至3個或1至2個可為包括金屬或類金屬原子之取代基。 In Formula 13, one or more of R 1 to R 5 , 1 to 3 or 1 to 2 may be a substituent including a metal or a metalloid atom.

在式13中,1或更多,2或更多,3或更多,4或更多,或5或更多個鹵素原子可包括在R1至R5中。在R1至R5中所包括的鹵素原子之數量可為10或更少,9或更少,8或更少,7或更少,或6或更少。 In Formula 13, 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms may be included in R 1 to R 5 . The number of halogen atoms included in R 1 to R 5 may be 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less.

上述包括金屬或類金屬原子之取代基可為碳 硼烷基(carboranyl group)或倍半矽氧烷基(諸如多面體寡聚合倍半矽氧烷基)、二茂鐵基或三烷基矽氧基。然而,該等未受到特別的限制,只要經選擇的該等包括至少一個金屬或類金屬原子,以便獲得蝕刻選擇性。 The above substituent including a metal or metalloid atom may be carbon A carboranyl group or a sesquiterpoxyalkyl group (such as a polyhedral oligomeric sesquiterpoxyalkyl group), a ferrocenyl group or a trialkyldecyloxy group. However, these are not particularly limited as long as the selected ones include at least one metal or metalloid atom in order to obtain etching selectivity.

在又另一實施態樣中,第二嵌段可為包括原子之嵌段,該原子為具有3或更大的電負度之原子且為非鹵素原子之原子(在下文稱為非鹵素原子)。此種嵌段可稱為2E嵌段。在另一實施態樣中,在2E嵌段中的非鹵素原子之電負度可為3.7或更小。 In still another embodiment, the second block may be a block including an atom which is an atom having an electronegativity of 3 or more and which is a non-halogen atom (hereinafter referred to as a non-halogen atom) ). Such a block can be referred to as a 2E block. In another embodiment, the non-halogen atom in the 2E block may have an electronegativity of 3.7 or less.

在2E嵌段中的非鹵素原子可為但不限於氮原子或氧原子。 The non-halogen atom in the 2E block may be, but not limited to, a nitrogen atom or an oxygen atom.

2E嵌段可包括具有3或更大的電負度之非鹵素原子與1或更多,2或更多,3或更多,4或更多,或5或更多個鹵素原子,例如氟原子。在2E嵌段中的鹵素原子(諸如氟原子)之數量可包括10或更少,9或更少,8或更少,7或更少,或6或更少。 The 2E block may include a non-halogen atom having an electronegativity of 3 or more and 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms, such as fluorine. atom. The number of halogen atoms (such as fluorine atoms) in the 2E block may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less.

2E嵌段可以式14表示。 The 2E block can be represented by Formula 14.

在式14中,B可為具有芳族結構之單價取代基,該芳族結構包括具有3或更大的電負度之非鹵素原子的取代基及包括鹵素原子。 In Formula 14, B may be a monovalent substituent having an aromatic structure including a substituent having a non-halogen atom having an electronegativity of 3 or more and including a halogen atom.

式14之芳族結構可為具有6至12個碳原子之芳族結構,例如芳基或伸芳基。 The aromatic structure of Formula 14 may be an aromatic structure having 6 to 12 carbon atoms, such as an aryl group or an aryl group.

在另一實施態樣中,式14之嵌段可以下式15表示。 In another embodiment, the block of Formula 14 can be represented by Formula 15.

在式15中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基,且X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,且W可為包括取代基及至少一個鹵素原子之芳基,該取代基包括具有3或更大的電負度之非鹵素原子。 In Formula 15, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O) -X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and W may be an aryl group including a substituent and at least one halogen atom, the substituent including A non-halogen atom of 3 or greater electronegativity.

在上式中,W可為具有6至12個碳原子、包括取代基及包括至少一個鹵素原子的之芳基,該取代基包括具有3或更大的電負度之非鹵素原子。 In the above formula, W may be an aryl group having 6 to 12 carbon atoms, including a substituent and including at least one halogen atom, and the substituent includes a non-halogen atom having an electronegativity of 3 or more.

此種芳基可包括至少1個或1至3個取代基,該取代基包括具有3或更大的電負度之非鹵素原子。另外,芳基可包括1或更多,2或更多,3或更多,4或更多,或5或更多個鹵素原子。在上式中,芳基可包括 10或更少,9或更少,8或更少,7或更少,或6或更少個鹵素原子。 Such an aryl group may include at least 1 or 1 to 3 substituents including a non-halogen atom having an electronegativity of 3 or more. Further, the aryl group may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms. In the above formula, the aryl group may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

在另一實施態樣中,式15之嵌段可以式16表示。 In another embodiment, the block of Formula 15 can be represented by Formula 16.

在式16中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基,且X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,且R1至R5可各自獨立為氫、烷基、鹵烷基、鹵素原子和包括具有3或更大的電負度之非鹵素原子的取代基。在上式中,R1至R5中之至少一者為鹵素原子,且R1至R5中之至少一者為包括具有3或更大的電負度之非鹵素原子之取代基。 In Formula 16, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, a -C(=O) group. -X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and each of R 1 to R 5 may independently be a hydrogen, an alkyl group, a haloalkyl group or a halogen atom. And a substituent including a non-halogen atom having an electronegativity of 3 or more. In the above formulas, R 1 to R 5 is at least one of a halogen atom, and R 1 to R 5 is at least one of which is 3 include a halogen atom, or a non-negative power of the larger substituents.

在式16中,R1至R5中之至少1個,1至3個,或1至2個可為上述包括具有3或更大的電負度之非 鹵素原子的取代基。 In Formula 16, at least one of R 1 to R 5 , 1 to 3, or 1 to 2 may be the above-mentioned substituent including a non-halogen atom having an electronegativity of 3 or more.

在式16中,R1至R5可包括1或更多,2或更多,3或更多,4或更多,或5或更多個鹵素原子。R1至R5可包括10或更少,9或更少,8或更少,7或更少,或6或更少個鹵素原子。 In Formula 16, R 1 to R 5 may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms. R 1 to R 5 may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

上述包括具有3或更大的電負度之非鹵素原子的取代基可為但不限於羥基、烷氧基、羧基、醯胺基、環氧乙烷基、腈基、吡啶基或胺基。 The above substituent including a non-halogen atom having an electronegativity of 3 or more may be, but not limited to, a hydroxyl group, an alkoxy group, a carboxyl group, a decylamino group, an oxiranyl group, a nitrile group, a pyridyl group or an amine group.

在另一實施態樣中,第二嵌段可包括具有雜環取代基之芳族結構。此種第二嵌段在本文可稱為2F嵌段。 In another embodiment, the second block can include an aromatic structure having a heterocyclic substituent. Such a second block may be referred to herein as a 2F block.

2F嵌段可以式17表示。 The 2F block can be represented by Formula 17.

在式17中,B可為具有芳族結構之單價取代基,該芳族結構具有6至12個碳原子且經雜環取代基取代。 In Formula 17, B may be a monovalent substituent having an aromatic structure having 6 to 12 carbon atoms and substituted with a heterocyclic substituent.

若必要時,式17之芳族結構可包括至少一個鹵素原子。 The aromatic structure of Formula 17 may include at least one halogen atom, if necessary.

式17之嵌段可以式18表示。 The block of Formula 17 can be represented by Formula 18.

在式18中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基,且X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,且W可為具有6至12個碳原子及具有雜環取代基之芳基。 In Formula 18, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, a -C(=O) group. -X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, A sulfur atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and W may be an aryl group having 6 to 12 carbon atoms and having a heterocyclic substituent.

式18之嵌段可以式19表示。 The block of Formula 18 can be represented by Formula 19.

在式19中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1可為氫、烷基、烯基、炔基、烷氧 基或芳基,且X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,且R1至R5可各自獨立為氫、烷基、鹵烷基、鹵素原子或雜環取代基。在上式中,R1至R5中之至少一者為雜環取代基。 In Formula 19, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O) -X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and each of R 1 to R 5 may independently be a hydrogen, an alkyl group, a haloalkyl group or a halogen atom. Or a heterocyclic substituent. In the above formula, at least one of R 1 to R 5 is a heterocyclic substituent.

在式19中,R1至R5中之至少一者(例如,1至3個或1至2個)可為雜環取代基,而其他可為氫原子、烷基或鹵素原子;或氫原子或鹵素原子;或氫原子。 In Formula 19, at least one of R 1 to R 5 (for example, 1 to 3 or 1 to 2) may be a heterocyclic substituent, and the other may be a hydrogen atom, an alkyl group or a halogen atom; or hydrogen An atom or a halogen atom; or a hydrogen atom.

上述雜環取代基可為但不限於自酞醯亞胺所衍生之取代基、自噻吩(thiopene)所衍生之取代基、自噻唑所衍生之取代基、自咔唑所衍生之取代基或自咪唑所衍生之取代基。 The above heterocyclic substituent may be, but not limited to, a substituent derived from a quinone imine, a substituent derived from thiopene, a substituent derived from a thiazole, a substituent derived from a carbazole or a self-derived group. Substituents derived from imidazole.

本發明的嵌段共聚物可包括上述第一嵌段中之至少一者和上述第二嵌段中之至少一者。此種嵌段共聚物可包括2或3個嵌段,或3或更多個嵌段。在一個實施態樣中,嵌段共聚物可為包括第一嵌段中之任一者和第二嵌段中之任一者的二嵌段共聚物。 The block copolymer of the present invention may include at least one of the above first blocks and at least one of the above second blocks. Such block copolymers may include 2 or 3 blocks, or 3 or more blocks. In one embodiment, the block copolymer can be a diblock copolymer comprising any of the first block and the second block.

此種嵌段共聚物基本上可顯示極佳的自組裝性質或相分離性質。再者,若為了使嵌段共聚物滿足下述參數中之至少一個參數而進行嵌段的選擇及組合,則可進一步改進自組裝性質或相分離性質。 Such block copolymers can exhibit substantially excellent self-assembly properties or phase separation properties. Further, if the block is selected and combined in order to satisfy the block copolymer with at least one of the following parameters, the self-assembly property or the phase separation property can be further improved.

嵌段共聚物可經相分離,因為其包含二或多個彼此經由共價鍵連結之聚合物鏈。本發明的嵌段共聚物顯示極佳的相分離性質,若必要時可以微相分離形成奈米級結構。奈米級結構的形狀或大小可由嵌段共聚物的大小 (分子量及類似者)或嵌段的相對比率來控制。由相分離所形成的結構可包括球體、圓柱體、五角二十四面體(gyroid)、片層和倒置結構,且形成上述結構之能力可稱為自組裝性質。在上述具有各種結構的各種嵌段共聚物之中,本發明者確認滿足下述參數中之至少一個參數的嵌段共聚物可顯示進一步改進之嵌段共聚物基本上具有的自組裝性質。嵌段共聚物可滿足下述參數中之一個參數或下述參數中之二或多個參數。尤其確認有可能藉由滿足適當的參數之嵌段共聚物而使嵌段共聚物顯示垂直對準性質。如本文所使用之術語〝垂直對準性質〞可指嵌段共聚物之對準性質且可指其中由嵌段共聚物所形成之奈米級結構係垂直對準基板的方向之例子。控制嵌段共聚物之自組裝結構相對於各種基板垂直或平行對準的技術為嵌段共聚物之實際應用的重大部分。嵌段共聚物層中的奈米級結構之對準按慣例係取決於形成嵌段共聚物的嵌段之中的何種嵌段暴露於表面或空氣。一般而言,因為許多基板為極性及空氣為非極性,所以具有比嵌段共聚物中的其他嵌段更高極性之嵌段在基板上濕潤及具有比嵌段共聚物中的其他嵌段更低極性之嵌段濕潤關於空氣之間的界面。因此,提出許多為了使嵌段共聚物之彼此具有不同性質的嵌段同時濕潤基板的技術,且最典型的方法係藉由製備中性表面來控制對準。然而,在一個實施態樣中,藉由控制下述參數可使嵌段共聚物相對於基板垂直對準,而不進行達成垂直對準之按慣例已知的處理,包括中性表面處理。再者,在另外 的實施態樣中,關於大面積的垂直對準可藉由熱退火而於短時間內達成。 The block copolymer can be phase separated because it comprises two or more polymer chains joined to each other via a covalent bond. The block copolymer of the present invention exhibits excellent phase separation properties, and if necessary, can be microphase-separated to form a nano-scale structure. The shape or size of the nanostructure can be determined by the size of the block copolymer (Molecular weight and similar) or the relative ratio of blocks is controlled. The structures formed by phase separation may include spheres, cylinders, gyroids, sheets, and inverted structures, and the ability to form the above structures may be referred to as self-assembly properties. Among the various block copolymers having various structures described above, the inventors have confirmed that a block copolymer satisfying at least one of the following parameters can exhibit substantially self-assembled properties of the block copolymer which is further improved. The block copolymer may satisfy one of the following parameters or two or more of the following parameters. In particular, it has been confirmed that it is possible to exhibit a vertical alignment property of a block copolymer by satisfying a block copolymer of an appropriate parameter. The term "vertical alignment property" as used herein may refer to the alignment properties of a block copolymer and may refer to an example in which the nanostructure formed by the block copolymer is oriented perpendicular to the substrate. Techniques for controlling the vertical or parallel alignment of self-assembled structures of block copolymers with respect to various substrates are a significant part of the practical application of block copolymers. The alignment of the nanoscale structure in the block copolymer layer is conventionally dependent on which of the blocks forming the block copolymer is exposed to the surface or air. In general, because many substrates are polar and air is non-polar, blocks having a higher polarity than other blocks in the block copolymer are wet on the substrate and have more blocks than other blocks in the block copolymer. The low polarity block wets the interface between the air. Accordingly, many techniques have been proposed for simultaneously wetting substrates with blocks having different properties of the block copolymers, and the most typical method is to control alignment by preparing a neutral surface. However, in one embodiment, the block copolymer can be vertically aligned relative to the substrate by controlling the following parameters without conventionally known processing to achieve vertical alignment, including neutral surface treatment. Furthermore, in addition In the embodiment, the vertical alignment with respect to a large area can be achieved in a short time by thermal annealing.

在一個實施態樣中,嵌段共聚物可在疏水性表面上形成以掠角入射小角度X射線散射(grazing incidence small angle X ray scattering)(GISAXS)顯示面內相位繞射圖案的層。嵌段共聚物可在親水性表面上形成以掠角入射小角度X射線散射(GISAXS)顯示面內相位繞射圖案的層。 In one embodiment, the block copolymer can form a layer on the hydrophobic surface that exhibits an in-plane phase diffraction pattern at a grazing incidence small angle X ray scattering (GISAXS). The block copolymer can form a layer on the hydrophilic surface that exhibits an in-plane phase diffraction pattern at a grazing angle incidence small angle X-ray scattering (GISAXS).

如本文所使用之術語〝以掠角入射小角度X射線散射(GISAXS)顯示面內相位繞射圖案〞可指其中當進行GISAXS分析時在GISAXS繞射圖案上觀察到垂直於X座標之峰的例子。此種峰可藉由嵌段共聚物之垂直對準性質來確定。因此,顯示面內相位繞射圖案的嵌段共聚物顯示垂直對準性質。在另一實施態樣中,可在GISAXS繞射圖案的X座標上觀察到二或更多個峰。在其中觀察到二或更多個峰的例子中,可以具有恆定的比率確認散射向量(q值),且可在上述例子中進一步改進相分離效率。 As used herein, the term "grain angle incident small angle X-ray scattering (GISAXS) shows in-plane phase diffraction pattern 〞 may refer to a peak perpendicular to the X coordinate observed on the GISAXS diffraction pattern when performing GISAXS analysis. example. Such peaks can be determined by the vertical alignment properties of the block copolymer. Therefore, the block copolymer showing the in-plane phase diffraction pattern exhibits a vertical alignment property. In another embodiment, two or more peaks can be observed on the X coordinate of the GISAXS diffraction pattern. In the example in which two or more peaks are observed, the scattering vector (q value) can be confirmed with a constant ratio, and the phase separation efficiency can be further improved in the above examples.

如本文所使用之術語〝垂直〞為考慮到誤差之術語,且例如其可包括在±10度,±8度,±6度,±4度,或±2度內的誤差。 The term "vertical" as used herein is a term that takes into account error and may, for example, include errors within ±10 degrees, ±8 degrees, ±6 degrees, ±4 degrees, or ±2 degrees.

能夠形成在疏水性及親水性表面二者上顯示面內相位繞射圖案的層之嵌段共聚物可在未進行任何誘導垂直對準之處理的各種表面上顯示垂直對準性質。如本文所使用之術語〝疏水性表面〞可指純化水之濕潤角係在從 5度至20度之範圍內的表面。疏水性表面的實例可包括以食人魚溶液(piranha solution)、硫酸或氧電漿處理之聚矽氧(silicone)的表面,但不受限於此。如本文所使用之術語〝親水性表面〞可指純化水之濕潤角係在從50度至70度之範圍內的表面。親水性表面的實例可包括以氟化氫處理之聚矽氧、以六甲基二矽氮烷處理之聚矽氧或以氧電漿處理之聚二甲基矽氧烷的表面,但不受限於此。 A block copolymer capable of forming a layer exhibiting an in-plane phase diffraction pattern on both hydrophobic and hydrophilic surfaces can exhibit vertical alignment properties on various surfaces that are not subjected to any process that induces vertical alignment. The term "hydrophobic surface" as used herein may refer to a wetted angle of purified water in the Surfaces in the range of 5 to 20 degrees. Examples of the hydrophobic surface may include, but are not limited to, the surface of a silicone treated with a piranha solution, sulfuric acid or oxygen plasma. The term "hydrophilic surface" as used herein may refer to a surface having a wet angle of purified water ranging from 50 degrees to 70 degrees. Examples of the hydrophilic surface may include, but are not limited to, the surface of polyfluorinated oxygen treated with hydrogen fluoride, polyfluorene treated with hexamethyldioxane or polydimethylsiloxane treated with oxygen plasma. this.

在本文件中,可根據溫度改變的性質(諸如濕潤角)係在室溫下測量,除非另有其他定義。如本文所使用之術語〝室溫〞可指未加熱及冷卻的其自然狀態之溫度,且可指在從約10℃至30℃之範圍內,或約25℃或約23℃之溫度。 In this document, properties that vary according to temperature, such as wetting angle, can be measured at room temperature unless otherwise defined. The term "room temperature" as used herein may refer to the temperature of its natural state that is not heated and cooled, and may refer to a temperature ranging from about 10 ° C to 30 ° C, or about 25 ° C or about 23 ° C.

形成於疏水性或親水性表面上且在GISAXS上顯示面內相位繞射圖案的層可為進行熱退火的層。在一個實施態樣中,用於測量GISAXS的層係例如藉由以下方式製備:將藉由以嵌段共聚物於溶劑(例如,氟苯)中稀釋至約0.7重量%之濃度而製得的塗佈溶液塗佈於對應之疏水性或親水性表面上,以便使塗層具有約25奈米厚度及約2.25平方公分面積(寬度:1.5公分,長度:1.5公分),且接著對其進行熱退火。熱退火可藉由將層在160℃之溫度下維持約1小時來進行。GISAXS可藉由以入射角在從0.12至0.23度之範圍內的X射線照射上述製備之層來測量。自層散射之繞射圖案可以習知的測量裝置(例如,2D marCCD)獲得。在本技術領域中已知自上述獲得 的繞射圖案確認面內相位繞射圖案存在的技術。 The layer formed on the hydrophobic or hydrophilic surface and exhibiting the in-plane phase diffraction pattern on the GISAXS may be a layer that is thermally annealed. In one embodiment, the layer for measuring GISAXS is prepared, for example, by: diluting to a concentration of about 0.7% by weight of the block copolymer in a solvent (eg, fluorobenzene). The coating solution is applied to the corresponding hydrophobic or hydrophilic surface so that the coating has a thickness of about 25 nm and an area of about 2.25 square centimeters (width: 1.5 cm, length: 1.5 cm), and then heats it. annealing. Thermal annealing can be carried out by maintaining the layer at a temperature of 160 ° C for about 1 hour. GISAXS can be measured by irradiating the above prepared layer with X-rays having an incident angle in the range of from 0.12 to 0.23 degrees. The diffraction pattern from the layer scattering can be obtained by a conventional measuring device (for example, 2D marCCD). It is known in the art to obtain from the above The diffraction pattern confirms the existence of an in-plane phase diffraction pattern.

在GISAXS中顯示上述峰之嵌段共聚物可顯示極佳的自組裝性質,且可根據目標有效地控制該性質。 The block copolymer showing the above peaks in GISAXS can exhibit excellent self-assembly properties, and can be effectively controlled according to the target.

當進行X射線繞射(XRD)分析時,嵌段共聚物可顯示至少一個在特定的散射向量(q值)範圍內的峰。 When performing X-ray diffraction (XRD) analysis, the block copolymer can exhibit at least one peak within a particular scattering vector (q value).

在一個實施態樣中,當進行XRD時,嵌段共聚物可顯示至少一個在從0.5奈米-1至10奈米-1之散射向量(q值)範圍內的峰。在其他的實施態樣中,在其中觀察到至少一個峰的散射向量(q值)範圍可為從0.7奈米-1或更大,0.9奈米-1或更大,1.1奈米-1或更大,1.3奈米-1或更大,或1.5奈米-1或更大。在其他的實施態樣中,在其中觀察到至少一個峰的散射向量(q值)範圍可為從9奈米-1或更小,8奈米-1或更小,7奈米-1或更小,6奈米-1或更小,5奈米-1或更小,4奈米-1或更小,3.5奈米-1或更小,或3奈米-1或更小。 In one embodiment aspect, when XRD, the block copolymer can display at least one peak at 0.5 nm from the scattering vector (q value) of 1 to 10 nm -1 range. In other embodiments, the scattering vector (q value) in which at least one peak is observed may range from 0.7 nm -1 or greater, 0.9 nm -1 or greater, 1.1 nm -1 or Larger, 1.3 nm -1 or greater, or 1.5 nm -1 or greater. In other embodiments, the scattering vector (q value) in which at least one peak is observed may range from 9 nm to 1 or less, 8 nm to 1 or less, 7 nm to 1 or Smaller, 6 nm -1 or less, 5 nm -1 or less, 4 nm -1 or less, 3.5 nm -1 or less, or 3 nm -1 or less.

在上述散射向量(q)範圍內觀察到的峰之FWHM(半峰全寬)可為從0.2奈米-1至0.9奈米-1。在另一實施態樣中,FWHM可為0.25奈米-1或更大,0.3奈米-1或更大,或0.4奈米-1或更大。在另一實施態樣中,FWHM可為0.85奈米-1或更小,0.8奈米-1或更小,或0.75奈米-1或更小。 FWHM of the peak observed in the scattering vector (q) range (FWHM) can be from 0.2 nm -1 to 0.9 nm -1. In another embodiment, the FWHM can be 0.25 nm -1 or greater, 0.3 nm -1 or greater, or 0.4 nm -1 or greater. In another embodiment, the FWHM can be 0.85 nm -1 or less, 0.8 nm -1 or less, or 0.75 nm -1 or less.

如本文所使用之術語〝FWHM(半峰全寬)〞可指在顯示強度為最大強度的一半之位置上的峰之 寬度(在散射向量(q)之間的差距)。 The term 〝FWHM (full width at half maximum) as used herein may refer to a peak at a position where the display intensity is half of the maximum intensity. Width (the difference between the scattering vectors (q)).

在XRD分析中,散射向量(q)及FWHM為關於下述XRD分析之結果的數值分析之值,其中使用最小平方技術。在上述方法中,關於XRD圖案中的峰輪廓之高斯擬合(Gaussian fitting)係在具有最低強度的XRD繞射圖案之位置成為基準線及將最低強度轉換成零之狀態下進行,且接著自高斯擬合的結果獲得散射向量(q)及FWHM。高斯擬合之R平方為至少0.9或更大,0.92或更大,0.94或更大,或0.96或更大。已知自XRD分析獲得上述訊息之方法,且例如可使用數值分析程式,諸如origin。 In the XRD analysis, the scattering vector (q) and FWHM are values of numerical analysis regarding the results of the XRD analysis described below, using the least squares technique. In the above method, the Gaussian fitting of the peak profile in the XRD pattern is performed in a state where the position of the XRD diffraction pattern having the lowest intensity becomes the reference line and the lowest intensity is converted into zero, and then The results of Gaussian fitting obtain the scattering vector (q) and FWHM. The Gaussian fit has an R square of at least 0.9 or greater, 0.92 or greater, 0.94 or greater, or 0.96 or greater. A method of obtaining the above information from XRD analysis is known, and for example, a numerical analysis program such as origin can be used.

顯示具有在上述散射向量(q)範圍內的上述FWHM之峰的嵌段共聚物可包括適合於自組裝的結晶部位。顯示具有在上述散射向量(q)範圍內的上述FWHM之峰的嵌段共聚物可顯示極佳的自組裝性質。 The block copolymer showing a peak of the above FWHM having the above-described scattering vector (q) may include a crystallized site suitable for self-assembly. A block copolymer showing a peak of the above FWHM in the range of the above scattering vector (q) can exhibit excellent self-assembly properties.

XRD分析可藉由將X-射線通過嵌段共聚物樣品且接著根據散射向量測量散射強度來進行。可進行關於沒有任何特定的預處理之嵌段共聚物的XRD分析,且例如該分析可藉由將嵌段共聚物在適當的條件下乾燥且接著以X-射線通過來進行。可使用其垂直大小為0.023毫米及其水平大小為0.3毫米之X射線作為X射線。藉由使用測量裝置(例如,2D marCCD)獲得成為影像的自樣品散射之2D繞射圖案,且接著進行關於所獲得的繞射圖案之上述擬合,以便於獲得散射向量和FWHM及類似者。 XRD analysis can be performed by passing X-rays through a block copolymer sample and then measuring the scattering intensity from the scattering vector. XRD analysis of the block copolymer without any particular pretreatment can be performed, and for example, the analysis can be carried out by drying the block copolymer under appropriate conditions and then passing X-rays. X-rays having a vertical size of 0.023 mm and a horizontal size of 0.3 mm can be used as the X-rays. A 2D diffraction pattern that is scattered from the sample as an image is obtained by using a measuring device (for example, 2D marCCD), and then the above-described fitting with respect to the obtained diffraction pattern is performed in order to obtain a scattering vector and FWHM and the like.

如下文所述,在其中嵌段共聚物的至少一個嵌段包括鏈之例子中,形成鏈的原子之數量(n)及自XRD分析所獲得的散射向量(q)可滿足以下的方程式1。 As described below, in the example in which at least one block of the block copolymer includes a chain, the number of atoms forming the chain (n) and the scattering vector (q) obtained from the XRD analysis can satisfy the following Equation 1.

[方程式1]3奈米-1~5奈米-1=nq/(2×π) [Equation 1] 3 nm -1 ~5 nm -1 = nq/(2×π)

在方程式1中,〝n〞為形成鏈的原子之數量,且〝q〞為XRD分析中觀察到的峰之散射向量之中最小的散射向量或觀察到具有最大面積的峰之散射向量。再者,在方程式1中的π為圓周對其直徑之比率。 In Equation 1, 〝n〞 is the number of atoms forming the chain, and 〝q〞 is the smallest scattering vector among the scattering vectors of the peaks observed in the XRD analysis or the scattering vector of the peak having the largest area observed. Furthermore, π in Equation 1 is the ratio of the circumference to its diameter.

在以上方程式1中的散射向量及類似者為在與上述相同的XRD分析中所獲得的值。 The scattering vector and the like in Equation 1 above are values obtained in the same XRD analysis as described above.

以方程式1之值取代的散射值可為在從0.5奈米-1至10奈米-1之範圍內的散射值。在另一實施態樣中,以方程式1之值取代的散射值可為0.7奈米-1或更大,0.9奈米-1或更大,1.1奈米-1或更大,1.3奈米-1或更大,或1.5奈米-1或更大。在另一實施態樣中,以方程式1之值取代的散射值可為9奈米-1或更小,8奈米-1或更小,7奈米-1或更小,6奈米-1或更小,5奈米-1或更小,4奈米-1或更小,3.5奈米-1或更小,或3奈米-1或更小。 The value of Equation 1 can be substituted scatter values in the range from 0.5 nm to 10 nm -1 -1 of scatter values. In another embodiment, the scatter value substituted with the value of Equation 1 can be 0.7 nm -1 or greater, 0.9 nm -1 or greater, 1.1 nm -1 or greater, 1.3 nm . 1 or greater, or 1.5 nm -1 or greater. In another aspect of the embodiment, the value of Equation 1 can be substituted scatter values -1 or less 9 nm, 8 nm-1 or less, 7 nm-1 or less, 6 nm - 1 or less, 5 nm -1 or less, 4 nm -1 or less, 3.5 nm -1 or less, or 3 nm -1 or less.

方程式1可表示在其中嵌段共聚物經自組裝且形成相分離之結構的狀態下在形成鏈的原子之數量與包括鏈的嵌段之間的間隔(D)之間的關係。若包括鏈的嵌段共聚物之形成鏈的原子之數量滿足方程式1,則改進以 鏈展現的可結晶性,且因此可大幅改進相分離性質及垂直對準性質。在另一實施態樣中,在方程式1中的nq/(2×π)可為4.5奈米-1或更小。在上式中,在包括鏈的嵌段之間的間隔(D,單位:奈米)可以數值公式D=2×π/q計算。在上式中,〝D〞為嵌段之間的間隔(D,單位:奈米),及π和q係與方程式1中之定義相同。 Equation 1 may represent a relationship between the number of atoms forming a chain and the interval (D) between blocks including a chain in a state in which the block copolymer is self-assembled and forms a phase-separated structure. If the number of atoms forming the chain of the block copolymer including the chain satisfies Equation 1, the crystallizable property exhibited by the chain is improved, and thus the phase separation property and the vertical alignment property can be greatly improved. In another embodiment, nq/(2×π) in Equation 1 may be 4.5 nm -1 or less. In the above formula, the interval (D, unit: nanometer) between the blocks including the chain can be calculated by the numerical formula D = 2 × π / q. In the above formula, 〝D〞 is the interval between blocks (D, unit: nanometer), and π and q are the same as defined in Equation 1.

在本發明的一個實施態樣中,在第一和第二嵌段的表面能之間的差異絕對值可為10毫牛頓/公尺或更小,9毫牛頓/公尺或更小,8毫牛頓/公尺或更小,7.5毫牛頓/公尺或更小,或7毫牛頓/公尺或更小。在表面能之間的差異絕對值可為1.5毫牛頓/公尺或更大,2毫牛頓/公尺或更大,或2.5毫牛頓/公尺或更大。其中表面能之間的差異絕對值係在上述範圍內的第一和第二嵌段經由共價鍵連結之結構可由於適當的不相容性而以相分離實現有效的微相分離。在上文中,第一嵌段可為如上述之具有鏈的嵌段。 In one embodiment of the invention, the absolute difference between the surface energies of the first and second blocks may be 10 millinewtons per meter or less, 9 millinewtons per meter or less, 8 MilliNewtons/meter or less, 7.5 millinewtons/meter or less, or 7 millinewtons/meter or less. The absolute difference between the surface energies can be 1.5 millinewtons per meter or more, 2 millinewtons per meter or more, or 2.5 millinewtons per meter or more. The structure in which the first and second blocks in which the absolute difference between the surface energies are within the above range via the covalent bond can achieve effective microphase separation by phase separation due to appropriate incompatibility. In the above, the first block may be a block having a chain as described above.

表面能可使用液滴形狀分析儀(由KRUSS,Co.所製造的DSA100產品)測量。特定言之,表面能可以關於藉由以下方式所製得的層來測量:將藉由以欲測量之樣品(嵌段共聚物或均聚物)於氟苯中稀釋至約2重量%之固體含量而製得的塗佈溶液塗佈於基板上,以便使塗層具有50奈米厚度及4平方公分之塗佈面積(寬度:2公分,長度:2公分);將塗層在室溫下經約1小時乾燥;且接著在160℃進行約1小時的熱退火。在進行熱退 火之後,將已知表面張力的去離子水滴在層上且接著測量接觸角。將獲得去離子水的接觸角之上述方法重複5次,且計算所獲得的5個接觸角之平均值。同樣地,在進行熱退火之後,將已知表面張力的二碘甲烷滴在層上且接著測量接觸角。將獲得二碘甲烷的接觸角之上述方法重複5次,且計算所獲得的5個接觸角之平均值。在此之後,表面能可藉由使用以去離子水及二碘甲烷所獲得的接觸角平均值經由Owens-Wendt-Rabel-Kaelble方法取代關於溶劑的表面張力之值(Strom值)而獲得。在嵌段共聚物中的各嵌段之表面能可藉由使用上述方法以相對於由形成對應嵌段之單體所製備的均聚物而獲得。 The surface energy can be measured using a droplet shape analyzer (DSA100 product manufactured by KRUSS, Co.). In particular, the surface energy can be measured with respect to the layer produced by diluting to about 2% by weight solids in fluorobenzene with the sample to be measured (block copolymer or homopolymer) The coating solution prepared by the content is applied on the substrate so that the coating has a coating area of 50 nm and 4 cm 2 (width: 2 cm, length: 2 cm); coating at room temperature Drying is carried out for about 1 hour; and then thermal annealing is carried out at 160 ° C for about 1 hour. Hot retreat After the fire, deionized water droplets of known surface tension are placed on the layer and the contact angle is then measured. The above method of obtaining the contact angle of deionized water was repeated 5 times, and the average of the obtained 5 contact angles was calculated. Similarly, after thermal annealing, a known surface tension of diiodomethane was dropped on the layer and then the contact angle was measured. The above method of obtaining the contact angle of diiodomethane was repeated 5 times, and the average of the obtained 5 contact angles was calculated. After that, the surface energy can be obtained by replacing the value of the surface tension of the solvent (Strom value) by the Owens-Wendt-Rabel-Kaelble method by using the average value of the contact angle obtained with deionized water and diiodomethane. The surface energy of each block in the block copolymer can be obtained by using the above method in relation to a homopolymer prepared from monomers forming the corresponding block.

在其中嵌段共聚物包含上述鏈之例子中,包含鏈的嵌段可具有比其他嵌段更大的表面能。例如,若第一嵌段包含鏈,則第一嵌段可具有比第二嵌段更大的表面能。在此例子中,第一嵌段的表面能可在從約20毫牛頓/公尺至約40毫牛頓/公尺之範圍內。在另一實施態樣中,第一嵌段的表面能可為約22毫牛頓/公尺或更大,約24毫牛頓/公尺或更大,約26毫牛頓/公尺或更大,或約28毫牛頓/公尺或更大。第一嵌段的表面能可為約38毫牛頓/公尺或更小,約36毫牛頓/公尺或更小,約34毫牛頓/公尺或更小,或約32毫牛頓/公尺或更小。包括上述第一嵌段且在嵌段的表面能之間顯示上述差異的此種嵌段共聚物可顯示極佳的自組裝性質。 In the example where the block copolymer comprises the above chain, the block comprising the chain may have a greater surface energy than the other blocks. For example, if the first block comprises a chain, the first block can have a greater surface energy than the second block. In this example, the surface energy of the first block can range from about 20 millinewtons per meter to about 40 millinewtons per meter. In another embodiment, the surface energy of the first block can be about 22 millinewtons per meter or more, about 24 millinewtons per meter or more, about 26 millinewtons per meter or more, Or about 28 millinewtons per meter or more. The surface energy of the first block can be about 38 millinewtons per meter or less, about 36 millinewtons per meter or less, about 34 millinewtons per meter or less, or about 32 millinewtons per meter. Or smaller. Such a block copolymer comprising the above first block and exhibiting the above difference between the surface energies of the blocks can exhibit excellent self-assembly properties.

在嵌段共聚物中,在第一和第二嵌段的密度 之間的差異絕對值可為0.25克/立方公分或更大,0.3克/立方公分或更大,0.35克/立方公分或更大,0.4克/立方公分或更大,或0.45克/立方公分或更大。在密度之間的差異絕對值可為0.9克/立方公分或更小,0.8克/立方公分或更小,0.7克/立方公分或更小,0.65克/立方公分或更小,或0.6克/立方公分或更小。其中密度之間的差異絕對值係在上述範圍內的第一和第二嵌段經由共價鍵連結之結構可由於適當的不相容性而以相分離實現有效的微相分離。 In the block copolymer, the density of the first and second blocks The absolute difference between the two may be 0.25 g/cm 3 or more, 0.3 g/cm 3 or more, 0.35 g/cm 3 or more, 0.4 g/cm 3 or more, or 0.45 g/cm 3 . Or bigger. The absolute difference between the densities may be 0.9 g/cm 3 or less, 0.8 g/cm 3 or less, 0.7 g/cm 3 or less, 0.65 g/cm 3 or less, or 0.6 g/ Cubic centimeters or less. The structure in which the first and second blocks in which the absolute difference between the densities are in the above range and which are linked via a covalent bond can achieve effective microphase separation by phase separation due to appropriate incompatibility.

在嵌段共聚物中的各嵌段之密度可經由已知的浮力方法獲得。例如,其可藉由分析已知於空氣中的質量及密度之嵌段共聚物於溶劑中(諸如乙醇)的質量而獲得。 The density of each block in the block copolymer can be obtained via known buoyancy methods. For example, it can be obtained by analyzing the mass of a block copolymer of mass and density known in air in a solvent such as ethanol.

在其中嵌段共聚物包含上述鏈之例子中,包含鏈的嵌段可具有比其他嵌段更低的密度。例如,若第一嵌段包含鏈,則第一嵌段可具有比第二嵌段更低的密度。在此例子中,第一嵌段的密度可在從約0.9克/立方公分至約1.5克/立方公分之範圍內。在另一實施態樣中,第一嵌段的密度可為約0.95克/立方公分或更大。第一嵌段的密度可為約1.4克/立方公分或更小,約1.3克/立方公分或更小,約1.2克/立方公分或更小,約1.1克/立方公分或更小,或約1.05克/立方公分或更小。包括上述第一嵌段且在嵌段的密度之間顯示上述差異的此種嵌段共聚物可顯示極佳的自組裝性質。表面能及密度係在室溫下測量。 In the case where the block copolymer contains the above chain, the block containing the chain may have a lower density than the other blocks. For example, if the first block comprises a chain, the first block can have a lower density than the second block. In this example, the density of the first block can range from about 0.9 grams per cubic centimeter to about 1.5 grams per cubic centimeter. In another embodiment, the first block may have a density of about 0.95 grams per cubic centimeter or greater. The first block may have a density of about 1.4 grams per cubic centimeter or less, about 1.3 grams per cubic centimeter or less, about 1.2 grams per cubic centimeter or less, about 1.1 grams per cubic centimeter or less, or about 1.05 g/cm 3 or less. Such a block copolymer comprising the above first block and exhibiting the above difference between the densities of the blocks can exhibit excellent self-assembly properties. Surface energy and density are measured at room temperature.

嵌段共聚物可包括體積分率從0.4至0.8之嵌段及體積分率從0.2至0.6之嵌段。在其中嵌段共聚物包含鏈之例子中,具有鏈的嵌段可具有從0.4至0.8之體積分率。例如,第一嵌段包含鏈,第一嵌段可具有從0.4至0.8之體積分率及第二嵌段可具有從0.2至0.6之體積分率。第一和第二嵌段之體積分率總和可為1。各括具有上述體積分率之各嵌段的嵌段共聚物可顯示極佳的自組裝性質。嵌段共聚物的各嵌段之體積分率可使用各嵌段之密度及以凝膠滲透層析術(GPC)所獲得的分子量獲得。 The block copolymer may include a block having a volume fraction of from 0.4 to 0.8 and a block having a volume fraction of from 0.2 to 0.6. In the case where the block copolymer contains a chain, the block having a chain may have a volume fraction of from 0.4 to 0.8. For example, the first block comprises a chain, the first block may have a volume fraction of from 0.4 to 0.8 and the second block may have a volume fraction of from 0.2 to 0.6. The sum of the volume fractions of the first and second blocks may be one. The block copolymers each including each block having the above volume fraction can exhibit excellent self-assembly properties. The volume fraction of each block of the block copolymer can be obtained using the density of each block and the molecular weight obtained by gel permeation chromatography (GPC).

嵌段共聚物可具有例如從約3,000至300,000之範圍內的數量平均分子量(Mn)。如本文所使用之術語〝數量平均分子量〞可指以GPC(凝膠滲透層析術)所測量之相對於標準聚苯乙烯的轉換值。如本文所使用之術語〝分子量〞可指數量平均分子量,除非另有其他定義。在另一實施態樣中,分子量(Mn)可為例如3000或更大,5000或更大,7000或更大,9000或更大,11000或更大,13000或更大,或15000或更大。在另一實施態樣中,分子量(Mn)可為例如250000或更小,200000或更小,180000或更小,160000或更小,140000或更小,120000或更小,100000或更小,90000或更小,80000或更小,70000或更小,60000或更小,50000或更小,40000或更小,30000或更小,或25000或更小。嵌段共聚物可具有在從1.01至1.60之範圍內的多分散度(Mw/Mn)。在另一實施態樣中,多分散度可為約1.1或 更大,約1.2或更大,約1.3或更大,或約1.4或更大。 The block copolymer may have a number average molecular weight (Mn) ranging, for example, from about 3,000 to 300,000. The term 〝 number average molecular weight 〞 as used herein may refer to a conversion value relative to standard polystyrene measured by GPC (gel permeation chromatography). The term "molecular weight" as used herein may refer to a number average molecular weight unless otherwise defined. In another embodiment, the molecular weight (Mn) may be, for example, 3000 or more, 5000 or more, 7,000 or more, 9000 or more, 11,000 or more, 13,000 or more, or 15,000 or more. . In another embodiment, the molecular weight (Mn) may be, for example, 250,000 or less, 200,000 or less, 180,000 or less, 160,000 or less, 140,000 or less, 120,000 or less, 100,000 or less, 90000 or less, 80,000 or less, 70,000 or less, 60,000 or less, 50,000 or less, 40,000 or less, 30,000 or less, or 25,000 or less. The block copolymer may have a polydispersity (Mw/Mn) in the range of from 1.01 to 1.60. In another embodiment, the polydispersity can be about 1.1 or Larger, about 1.2 or greater, about 1.3 or greater, or about 1.4 or greater.

在上述範圍內,嵌段共聚物可展現適當的自組裝性質。嵌段共聚物之數量平均分子量及類似者可考慮到目標的自組裝結構而予以控制。 Within the above range, the block copolymer can exhibit appropriate self-assembly properties. The number average molecular weight of the block copolymer and the like can be controlled in consideration of the self-assembled structure of the target.

若嵌段共聚物至少包括第一和第二嵌段,則在嵌段共聚物中的第一嵌段(例如,包括鏈的嵌段)之比率可在10莫耳%至90莫耳%之範圍內。 If the block copolymer comprises at least the first and second blocks, the ratio of the first block (eg, including the blocks of the chain) in the block copolymer may range from 10 mole % to 90 mole % Within the scope.

本發明關於包括嵌段共聚物的聚合物層。聚合物層可被用於各種應用中。例如,其可被用於生物感測器、記錄媒體(諸如快閃記憶體)、磁性儲存媒體、或圖案形成方法、或電裝置或電子裝置、及類似者中。 The present invention is directed to a polymer layer comprising a block copolymer. The polymer layer can be used in a variety of applications. For example, it can be used in a biosensor, a recording medium such as a flash memory, a magnetic storage medium, or a pattern forming method, or an electric device or an electronic device, and the like.

在一個實施態樣中,在聚合物層中的嵌段共聚物可藉由自組裝而形成週期結構,包括球體、圓柱體、五角二十四面體或片層。 In one embodiment, the block copolymer in the polymer layer can form a periodic structure by self-assembly, including spheres, cylinders, pentagonal tetrahedrons or sheets.

例如,在嵌段共聚物中的第一嵌段或第二嵌段或經由共價鍵連結至上述嵌段之其他嵌段的一個鏈段中,其他的鏈段可形成規律結構,諸如片層形式、圓柱體形式及類似形式。 For example, in the first block or the second block in the block copolymer or in one segment of the other block of the above block via a covalent bond, the other segments may form a regular structure, such as a sheet Form, cylinder form and the like.

聚合物層可顯示上述面內相位繞射圖案,亦即垂直於GISAXS分析之GISAXS繞射圖案中的X座標之峰。在另外的實施態樣中,在GISAXS繞射圖案的X座標中可觀察到二或更多個峰。在其中觀察到二或更多個峰之例子中,可以具有恆定的比率確認散射向量(q值)。 The polymer layer can exhibit the above-described in-plane phase diffraction pattern, that is, a peak perpendicular to the X coordinate in the GISAXS diffraction pattern of the GISAXS analysis. In other embodiments, two or more peaks are observed in the X coordinate of the GISAXS diffraction pattern. In the example in which two or more peaks are observed, the scattering vector (q value) can be confirmed with a constant ratio.

本發明亦關於使用嵌段共聚物形成聚合物層 之方法。該方法可包括在基板上形成包括自組裝狀態的嵌段共聚物之聚合物層。例如,該方法可包括藉由塗佈及類似方法於基板上形成嵌段共聚物或塗佈溶液(其中嵌段共聚物係於適合的溶劑中稀釋)之層,且若必要時接著使層老化或經熱處理。 The invention also relates to the use of block copolymers to form polymer layers The method. The method can include forming a polymer layer comprising a block copolymer in a self-assembled state on a substrate. For example, the method may include forming a layer of a block copolymer or a coating solution (in which the block copolymer is diluted in a suitable solvent) on a substrate by coating and the like, and then aging the layer if necessary. Or heat treated.

老化或熱處理可以建基於例如嵌段共聚物之相轉換溫度或玻璃轉換溫度來進行,且例如可在比玻璃轉換溫度或相轉換溫度高的溫度下進行。熱處理的時間未受到特別的限制,且熱處理可進行約1分鐘至72小時,但是若必要時可改變。另外,聚合物層之熱處理的溫度可為例如100℃至250℃,但是可考慮到本文中所使用之嵌段共聚物而改變。 The aging or heat treatment can be carried out based on, for example, the phase transition temperature or the glass transition temperature of the block copolymer, and can be carried out, for example, at a temperature higher than the glass transition temperature or the phase transition temperature. The time of the heat treatment is not particularly limited, and the heat treatment may be performed for about 1 minute to 72 hours, but may be changed if necessary. Further, the temperature of the heat treatment of the polymer layer may be, for example, 100 ° C to 250 ° C, but may be changed in consideration of the block copolymer used herein.

所形成的層可在非極性溶劑及/或極性溶劑中於室溫下經約1分鐘至72小時老化。 The layer formed can be aged in a non-polar solvent and/or a polar solvent at room temperature for about 1 minute to 72 hours.

本發明亦關於圖案形成方法。該方法可包括自包含基板及形成於基板表面上且包括自組裝之嵌段共聚物的聚合物層之層合物選擇性地移除嵌段共聚物的第一或第二嵌段。該方法可為在上述基板上形成圖案之方法。例如,該方法可包括在基板上形成聚合物層、選擇性地移除在聚合物層中之嵌段共聚物的一個嵌段或二或更多個嵌段、且接著蝕刻基板。可藉由上述方法形成例如奈米級微圖案。再者,根據聚合物層中之嵌段共聚物的形狀,可以上述方法形成各種形狀的圖案,諸如奈米棒或奈米孔。為了形成圖案,若必要時可將嵌段共聚物與另一共聚物或均 聚物混合。可選擇應用於此方法的基板種類而沒有特別的限制,且例如可應用氧化矽及類似者。 The invention also relates to a patterning method. The method can include selectively removing the first or second block of the block copolymer from a laminate comprising the substrate and a polymer layer formed on the surface of the substrate and comprising the self-assembled block copolymer. The method can be a method of forming a pattern on the above substrate. For example, the method can include forming a polymer layer on the substrate, selectively removing one block or two or more blocks of the block copolymer in the polymer layer, and then etching the substrate. For example, a nano-scale micropattern can be formed by the above method. Further, depending on the shape of the block copolymer in the polymer layer, patterns of various shapes such as a nanorod or a nanopore can be formed by the above method. In order to form a pattern, the block copolymer can be copolymerized with another copolymer if necessary. The polymer is mixed. The kind of the substrate to be applied to this method can be selected without particular limitation, and for example, ruthenium oxide and the like can be applied.

例如,根據該方法可形成具有高的長寬比之氧化矽奈米級圖案。例如,各種類型的圖案(諸如奈米棒或奈米孔圖案)可藉由以下方式形成:在氧化矽上形成聚合物層、選擇性地移除其中聚合物層中的嵌段共聚物經形成預定結構之狀態的嵌段共聚物之任何一個嵌段、且以各種方法蝕刻氧化矽,例如反應性離子蝕刻。另外,根據上述方法可形成具有高的長寬比之奈米圖案。 For example, according to this method, a ruthenium oxide nano-scale pattern having a high aspect ratio can be formed. For example, various types of patterns, such as nanorods or nanopore patterns, can be formed by forming a polymer layer on yttrium oxide, selectively removing a block copolymer in which the polymer layer is formed. Any one block of the block copolymer in a state of a predetermined structure, and ruthenium oxide is etched by various methods, such as reactive ion etching. Further, a nano pattern having a high aspect ratio can be formed according to the above method.

例如,圖案可形成為數十奈米之等級,且此種圖案可應用於各種用途中,包括新一代訊息電子磁性記錄媒體。 For example, the pattern can be formed on the order of tens of nanometers, and such a pattern can be applied to various uses, including a new generation of information electronic magnetic recording medium.

例如,其中具有約3至40奈米寬度之奈米結構(例如,奈米線)係以約6至80奈米之間隔配置的圖案可以上述方法形成。在另一實施態樣中,可達成其中具有約3至40奈米寬度(例如,直徑)之奈米孔係以約6至80奈米之間隔配置的結構。 For example, a pattern in which a nanostructure (e.g., a nanowire) having a width of about 3 to 40 nm is disposed at intervals of about 6 to 80 nm can be formed by the above method. In another embodiment, a structure in which nanopores having a width (e.g., diameter) of about 3 to 40 nanometers are disposed at intervals of about 6 to 80 nanometers can be achieved.

另外,可以此結構形成具有高的長寬比之奈米線或奈米孔。 In addition, a nanowire or a nanopore having a high aspect ratio can be formed by this structure.

在此方法中,選擇性地移除嵌段共聚物的任何一個嵌段之方法未受到特別的限制,且可使用例如藉由將適合的電磁波(例如,紫外線)照射至聚合物層而移除相對軟的嵌段之方法。在此例子中,紫外線照射的條件可根據嵌段共聚物的嵌段類型來決定,且可以具有約254奈 米波長之紫外線照射1至60分鐘。 In this method, the method of selectively removing any one block of the block copolymer is not particularly limited, and may be removed using, for example, irradiation of a suitable electromagnetic wave (for example, ultraviolet rays) to the polymer layer. A relatively soft block method. In this example, the conditions of ultraviolet irradiation may be determined according to the block type of the block copolymer, and may have about 254 奈 The ultraviolet light of the meter wavelength is irradiated for 1 to 60 minutes.

另外,在以紫外線輻射之後,可將聚合物層以酸處理,以進一步移除由紫外線降解之鏈段。 Additionally, after irradiation with ultraviolet light, the polymer layer can be treated with an acid to further remove the segment degraded by the ultraviolet light.

另外,使用選擇性地移除嵌段之聚合物層蝕刻基板可藉由使用CF4/Ar離子之反應性離子蝕刻來進行,且在上述方法之後,可進一步進行以氧電漿處理而自基板移除聚合物層。 In addition, etching the substrate using the polymer layer selectively removing the block may be performed by reactive ion etching using CF 4 /Ar ions, and after the above method, further processing by oxygen plasma may be performed from the substrate. Remove the polymer layer.

圖1至15為聚合物層之SEM或AFM影像,且顯示對聚合物層之GISAXS分析的結果。 Figures 1 through 15 are SEM or AFM images of the polymer layer and show the results of the GISAXS analysis of the polymer layer.

圖16至20顯示聚合物層之GISAXS的結果。 Figures 16 to 20 show the results of the GISAXS of the polymer layer.

效應 effect

本發明可提供嵌段共聚物及其應用。嵌段共聚物具有極佳的自組裝性質及相分離,且在必要時可對其自由施予各種必需的功能。 The present invention can provide block copolymers and applications thereof. The block copolymer has excellent self-assembly properties and phase separation, and can be freely subjected to various necessary functions as necessary.

例示性實施態樣 Exemplary implementation

本發明將參考實施例及比較例而於下文詳細說明,但是本發明的範圍不受限於以下的實施例。 The invention will be described in detail below with reference to the examples and comparative examples, but the scope of the invention is not limited by the following examples.

1. NMR分析 NMR analysis

NMR分析係在室溫下使用包括具有三重共振5毫米探針之Varian Unity Inova(500MHz)光譜儀的NMR光譜儀來進行。欲分析之樣品係在其於NMR分析用之溶劑(CDCl3)中稀釋至約10毫克/毫升之濃度後使用,且將化學位移(δ)以ppm表示。 NMR analysis was performed at room temperature using an NMR spectrometer including a Varian Unity Inova (500 MHz) spectrometer with a triple resonance 5 mm probe. The sample to be analyzed was used after it was diluted to a concentration of about 10 mg/ml in a solvent for NMR analysis (CDCl 3 ), and the chemical shift (δ) was expressed in ppm.

<縮寫> <abbreviation>

br=寬峰信號,s=單峰,d=雙重峰,dd=二個雙重峰,t=三重峰,dt=二個三重峰,q=四重峰,p=五重峰,m=多重峰 Br = broad peak signal, s = single peak, d = double peak, dd = two double peaks, t = triplet, dt = two triplets, q = quartet, p = quartet, m = multiple peak

2. GPC(凝膠滲透層析術) 2. GPC (gel permeation chromatography)

數量平均分子量及多分散度係以GPC(凝膠滲透層析術)測量。將實施例或比較例的欲測量之嵌段共聚物或巨引發劑放入5毫升小瓶中,且接著稀釋至約1毫克/毫升之濃度。接著將用於校準之標準樣品及欲分析之樣品以針筒過濾器(孔徑:0.45微米)過濾且接著分析。使用來自Agilent technologies,Co.之ChemStation作為分析程式。數量平均分子量(Mn)及重量平均分子量(Mw)係藉由比較樣品的溶析時間與校準曲線而獲得,且接著自彼等之比率(Mw/Mn)獲得多分散度(PDI)。GPC之測量條件係如下。 The number average molecular weight and polydispersity are measured by GPC (gel permeation chromatography). The block copolymer or macroinitiator to be measured of the examples or comparative examples was placed in a 5 ml vial and then diluted to a concentration of about 1 mg/ml. The standard sample for calibration and the sample to be analyzed were then filtered with a syringe filter (pore size: 0.45 μm) and then analyzed. ChemStation from Agilent technologies, Co. was used as the analysis program. The number average molecular weight (Mn) and the weight average molecular weight (Mw) were obtained by comparing the elution time of the sample with a calibration curve, and then obtaining a polydispersity (PDI) from the ratio (Mw/Mn). The measurement conditions of GPC are as follows.

<GPC測量條件> <GPC measurement conditions>

裝置:來自Agilent technologies,Co.的1200系列 Device: 1200 Series from Agilent technologies, Co.

管柱:使用來自Polymer laboratories,Co.的PLgel mixed B中之二者 Column: use two of PLgel mixed B from Polymer laboratories, Co.

溶劑:THF Solvent: THF

管柱溫度:35℃ Column temperature: 35 ° C

樣品濃度:1毫克/毫升,200L注射液 Sample concentration: 1 mg / ml, 200 L injection

標準樣品:聚苯乙烯(Mp:3900000,723000,316500,52200,31400,7200,3940,485) Standard sample: polystyrene (Mp: 3900000, 723000, 316500, 52200, 31400, 7200, 3940, 485)

製備例1 Preparation Example 1

下式A之化合物(DPM-C12)係由以下方法合成。將氫醌(10.0克,94.2毫莫耳)及1-溴十二烷(23.5克,94.2毫莫耳)添加至250毫升燒瓶中且溶解在100毫升乙腈中,將過量碳酸鉀添加至其中且接著將混合物在75℃下於氮氣下反應約48小時。在反應之後,移除用於反應之剩餘的碳酸鉀及乙腈。添加二氯甲烷(DCM)與水之混合溶劑來進行整理,且收集分離之有機層及經由MgSO4脫水。接著使用DCM經由管柱層析術獲得具有約37%產率之白色固體中間物。 The compound of the following formula A (DPM-C12) was synthesized by the following method. Hydroquinone (10.0 g, 94.2 mmol) and 1-bromododecane (23.5 g, 94.2 mmol) were added to a 250 ml flask and dissolved in 100 ml of acetonitrile, and excess potassium carbonate was added thereto. The mixture was then reacted at 75 ° C under nitrogen for about 48 hours. After the reaction, the remaining potassium carbonate and acetonitrile used for the reaction were removed. A mixed solvent of dichloromethane (DCM) and water was added to carry out the work, and the separated organic layer was collected and dried over MgSO 4 . A white solid intermediate having a yield of about 37% was then obtained via column chromatography using DCM.

<中間物的NMR分析結果> <NMR analysis results of intermediates>

1H-NMR(CDCl3):δ6.77(dd,4H);δ4.45 (s,1H);δ3.89(t,2H);δ1.75(p,2H);δ1.43(p,2H);δ1.33-1.26(m,16H);δ0.88(t,3H) 1 H-NMR (CDCl 3 ): δ 6.77 (dd, 4H); δ 4.45 (s, 1H); δ 3.89 (t, 2H); δ 1.75 (p, 2H); δ 1.43 (p) , 2H); δ1.33-1.26(m, 16H); δ0.88(t, 3H)

將合成之中間物(9.8克,35.2毫莫耳)、甲基丙烯酸(6.0克,69.7毫莫耳)、二環己基碳二醯亞胺(DCC;10.8克,52.3毫莫耳)及對-二甲基胺基吡啶(DMPA;1.7克,13.9毫莫耳)放入燒瓶中,添加120毫升二氯甲烷,且在室溫下於氮氣下進行24小時反應。在反應完成之後,經由過濾器移除在反應中所產生之尿素鹽,且亦移除剩餘的二氯甲烷。使用己烷及DCM(二氯甲烷)作為移動相經由管柱層析術移除雜質,且將獲得的產物在甲醇與水之混合溶劑(以1:1之重量比混合)中再結晶,由此獲得具有63%產率之白色固體產物(DPM-C12)(7.7克,22.2毫莫耳)。 The intermediate to be synthesized (9.8 g, 35.2 mmol), methacrylic acid (6.0 g, 69.7 mmol), dicyclohexylcarbodiimide (DCC; 10.8 g, 52.3 mmol) and Dimethylaminopyridine (DMPA; 1.7 g, 13.9 mmol) was placed in a flask, 120 ml of dichloromethane was added, and the reaction was carried out under nitrogen at room temperature for 24 hours. After the reaction was completed, the urea salt produced in the reaction was removed via a filter, and the remaining dichloromethane was also removed. The impurities were removed by column chromatography using hexane and DCM (dichloromethane) as the mobile phase, and the obtained product was recrystallized in a mixed solvent of methanol and water (mixed in a weight ratio of 1:1), This gave a white solid product (DPM-C12) (7.7 g, 22.2 mmol) with 63% yield.

<關於DPM-C12之NMR分析結果> <About NMR analysis results of DPM-C12>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.32(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.76(p,2H);δ1.43(p,2H);1.34-1.27(m,16H);δ0.88(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.32 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t) , 2H); δ2.05 (dd, 3H); δ 1.76 (p, 2H); δ 1.43 (p, 2H); 1.34-1.27 (m, 16H); δ 0.88 (t, 3H)

在上式中,R為具有12個碳原子之線性烷基。 In the above formula, R is a linear alkyl group having 12 carbon atoms.

製備例2 Preparation Example 2

下式B之化合物(DPM-C8)係根據製備例1之方法合成,除了使用1-溴辛烷代替1-溴十二烷以外。關於上述化合物之NMR分析結果係如下。 The compound of the following formula B (DPM-C8) was synthesized according to the method of Preparation 1, except that 1-bromooctane was used instead of 1-bromododecane. The NMR analysis results regarding the above compounds are as follows.

<關於DPM-C8之NMR分析結果> <About NMR analysis results of DPM-C8>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.32(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.76(p,2H);δ1.45(p,2H);1.33-1.29(m,8H);δ0.89(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.32 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t) , 2H); δ2.05 (dd, 3H); δ 1.76 (p, 2H); δ 1.45 (p, 2H); 1.33-1.29 (m, 8H); δ 0.89 (t, 3H)

在上式中,R為具有8個碳原子之線性烷基。 In the above formula, R is a linear alkyl group having 8 carbon atoms.

製備例3 Preparation Example 3

下式C之化合物(DPM-C10)係根據製備例1之方法合成,除了使用1-溴癸烷代替1-溴十二烷以外。 關於上述化合物之NMR分析結果係如下。 The compound of the following formula C (DPM-C10) was synthesized according to the method of Preparation 1, except that 1-bromodecane was used instead of 1-bromododecane. The NMR analysis results regarding the above compounds are as follows.

<關於DPM-C10之NMR分析結果> <About NMR analysis results of DPM-C10>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.33(dt,1H);δ5.72(dt,1H);δ3.94(t,2H);δ2.06(dd,3H);δ1.77(p,2H);δ1.45(p,2H);1.34-1.28(m,12H);δ0.89(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.33 (dt, 1H); δ 5.72 (dt, 1H); δ 3.94 (t) , 2H); δ2.06 (dd, 3H); δ 1.77 (p, 2H); δ 1.45 (p, 2H); 1.34-1.28 (m, 12H); δ 0.89 (t, 3H)

在上式中,R為具有10個碳原子之線性烷基。 In the above formula, R is a linear alkyl group having 10 carbon atoms.

製備例4 Preparation Example 4

下式D之化合物(DPM-C14)係根據製備例1之方法合成,除了使用1-溴十四烷代替1-溴十二烷以外。關於上述化合物之NMR分析結果係如下。 The compound of the following formula D (DPM-C14) was synthesized according to the method of Preparation 1, except that 1-bromotetradecane was used instead of 1-bromododecane. The NMR analysis results regarding the above compounds are as follows.

<關於DPM-C14之NMR分析結果> <About NMR analysis results of DPM-C14>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.33(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.77(p,2H);δ1.45(p, 2H);1.36-1.27(m,20H);δ0.88(t,3H.) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.33 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t) , 2H); δ2.05 (dd, 3H); δ 1.77 (p, 2H); δ 1.45 (p, 2H); 1.36-1.27 (m, 20H); δ 0.88 (t, 3H.)

在上式中,R為具有14個碳原子之線性烷基。 In the above formula, R is a linear alkyl group having 14 carbon atoms.

製備例5 Preparation Example 5

下式E之化合物(DPM-C16)係根據製備例1之方法合成,除了使用1-溴十六烷代替1-溴十二烷以外。關於上述化合物之NMR分析結果係如下。 The compound of the following formula E (DPM-C16) was synthesized according to the method of Preparation 1, except that 1-bromohexadecane was used instead of 1-bromododecane. The NMR analysis results regarding the above compounds are as follows.

<關於DPM-C16之NMR分析結果> <About NMR analysis results of DPM-C16>

1H-NMR(CDCl3):δ7.01(dd,2H);δ6.88(dd,2H);δ6.32(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.77(p,2H);δ1.45(p,2H);1.36-1.26(m,24H);δ0.89(t,3H) 1 H-NMR (CDCl 3 ): δ 7.01 (dd, 2H); δ 6.88 (dd, 2H); δ 6.32 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t , 2H); δ2.05 (dd, 3H); δ 1.77 (p, 2H); δ 1.45 (p, 2H); 1.36-1.26 (m, 24H); δ 0.89 (t, 3H)

在上式中,R為具有16個碳原子之線性烷基。 In the above formula, R is a linear alkyl group having 16 carbon atoms.

製備例6 Preparation Example 6

下式F之化合物(DPM-N2)係由以下方法合成。將Pd/C(鈀在碳上)(1.13克,1.06毫莫耳)及200毫升2-丙醇添加至500毫升燒瓶中,且接著添加溶解在20毫升水中的甲酸銨,且接著在室溫下進行1分鐘反應以活化Pd/C。接著將4-胺基酚(1.15克,10.6毫莫耳)及月桂酸酐(1.95克,10.6毫莫耳)添加至其中,且將混合物在室溫下於氮氣下以攪拌進行1分鐘反應混合。在反應之後,移除Pd/C及移除用於反應的2-丙醇,且接著將混合物以水及二氯甲烷萃取,以便移除未反應之產物。收集有機層及經由MgSO4脫水。將粗製產物以管柱層析術(移動相:己烷/乙酸乙酯)純化,且由此獲得無色固體中間物(1.98克,7.1毫莫耳)(產率:67重量%)。 The compound of the following formula F (DPM-N2) was synthesized by the following method. Pd/C (palladium on carbon) (1.13 g, 1.06 mmol) and 200 ml 2-propanol were added to a 500 ml flask, followed by the addition of ammonium formate dissolved in 20 ml of water, and then at room temperature A 1 minute reaction was carried out to activate Pd/C. Next, 4-aminophenol (1.15 g, 10.6 mmol) and lauric anhydride (1.95 g, 10.6 mmol) were added thereto, and the mixture was mixed by stirring at room temperature under nitrogen for 1 minute. After the reaction, Pd/C was removed and 2-propanol for the reaction was removed, and then the mixture was extracted with water and dichloromethane to remove unreacted product. The organic layer was collected and dehydrated by MgSO 4. The crude product was purified by column chromatography (mobile phase: hexane/ethyl acetate), to give a colorless solid intermediate (1.98 g, 7.1 mmol) (yield: 67%).

<中間物之NMR分析結果> <Results of NMR analysis of intermediates>

1H-NMR(DMSO-d):δ6.69(dd,2H);δ6.53(dd,2H);δ3.05(t,2H);δ1.59(p,2H);δ1.40-1.26(m,16H);δ0.88(t,3H) 1 H-NMR (DMSO-d): δ 6.69 (dd, 2H); δ 6.53 (dd, 2H); δ 3.05 (t, 2H); δ 1.59 (p, 2H); δ 1.40- 1.26(m,16H);δ0.88(t,3H)

將合成之中間物(1.98克,7.1毫莫耳)、甲基丙烯酸(0.92克,10.7毫莫耳)、二環己基碳二醯亞胺(DCC;2.21克,10.7毫莫耳)及對-二甲基胺基吡啶 (DMPA;0.35克,2.8毫莫耳)放入燒瓶中,添加100毫升二氯甲烷,且在室溫下於氮氣下進行24小時反應。在反應完成之後,經由過濾器移除在反應期間所產生之尿素鹽,且亦移除剩餘的二氯甲烷。使用己烷及DCM(二氯甲烷)作為移動相經由管柱層析術移除雜質,且將獲得的產物在甲醇與水之混合溶劑(甲醇:水=3:1(重量比))中再結晶,由此獲得具有79%產率之白色固體產物(DPM-N2)(1.94克,5.6毫莫耳)。 The intermediate was synthesized (1.98 g, 7.1 mmol), methacrylic acid (0.92 g, 10.7 mmol), dicyclohexylcarbodiimide (DCC; 2.21 g, 10.7 mmol) and Dimethylaminopyridine (DMPA; 0.35 g, 2.8 mmol) was placed in a flask, 100 ml of dichloromethane was added, and the reaction was carried out under nitrogen at room temperature for 24 hours. After the reaction was completed, the urea salt produced during the reaction was removed via a filter and the remaining dichloromethane was also removed. The impurities were removed via column chromatography using hexane and DCM (dichloromethane) as the mobile phase, and the obtained product was in a mixed solvent of methanol and water (methanol: water = 3:1 (weight ratio)) Crystallization, whereby a white solid product (DPM-N2) (1.94 g, 5.6 mmol) having a yield of 79% was obtained.

<關於DPM-N2之NMR分析結果> <Results of NMR analysis on DPM-N2>

1H-NMR(CDCl3):δ6.92(dd,2H);δ6.58(dd,2H);δ6.31(dt,1H);δ5.70(dt,1H);δ3.60(s,1H);δ3.08(t,2H);δ2.05(dd,3H);δ1.61(p,2H);δ1.30-1.27(m,16H);δ0.88(t,3H) 1 H-NMR (CDCl 3 ): δ 6.92 (dd, 2H); δ 6.58 (dd, 2H); δ 6.31 (dt, 1H); δ 5.70 (dt, 1H); δ 3.60 (s) ,1H);δ3.08(t,2H);δ2.05(dd,3H);δ1.61(p,2H);δ1.30-1.27(m,16H);δ0.88(t,3H)

在上式中,R為具有12個碳原子之線性烷基。 In the above formula, R is a linear alkyl group having 12 carbon atoms.

製備例7 Preparation Example 7

下式G之化合物(DPM-C4)係根據製備例1 之方法合成,除了使用1-溴丁烷代替1-溴十二烷以外。關於上述化合物之NMR分析結果係如下。 The compound of the following formula G (DPM-C4) is according to Preparation Example 1. The method was synthesized except that 1-bromobutane was used instead of 1-bromododecane. The NMR analysis results regarding the above compounds are as follows.

<關於DMP-C4之NMR分析結果> <Results of NMR analysis on DMP-C4>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.33(dt,1H);δ5.73(dt,1H);δ3.95(t,2H);δ2.06(dd,3H);δ1.76(p,2H);δ1.49(p,2H);δ0.98(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.33 (dt, 1H); δ 5.73 (dt, 1H); δ 3.95 (t) , 2H); δ2.06 (dd, 3H); δ 1.76 (p, 2H); δ 1.49 (p, 2H); δ 0.98 (t, 3H)

在上式中,R為具有4個碳原子之線性烷基。 In the above formula, R is a linear alkyl group having 4 carbon atoms.

實施例1 Example 1

將製備例1的2.0克化合物(DPM-C12)、64毫克RAFT(可逆式加成-斷裂鏈轉移)試劑(二硫苯甲酸氰基異丙酯)、23毫克AIBN(偶氮雙異丁腈)及5.34毫升苯添加至10毫升燒瓶中且接著在室溫下攪拌30分鐘,且接著在70℃進行4小時的RAFT(可逆式加成斷裂鏈轉移)聚合反應。在聚合之後,將反應之溶液在作為萃取溶劑的250毫升甲醇中沉澱,真空過濾且乾燥,以便獲得粉 紅色巨引發劑。巨引發劑的產率為約86%,且其數量平均分子量(Mn)及多分散度(Mw/Mn)分別為9,000及1.16。 2.0 g of the compound of Preparation Example 1 (DPM-C12), 64 mg of RAFT (reversible addition-fragmentation chain transfer) reagent (cyanoisopropyl dithiobenzoate), 23 mg of AIBN (azobisisobutyronitrile) And 5.34 ml of benzene was added to a 10 ml flask and then stirred at room temperature for 30 minutes, and then subjected to RAFT (reversible addition fragmentation chain transfer) polymerization at 70 ° C for 4 hours. After the polymerization, the solution of the reaction was precipitated in 250 ml of methanol as an extraction solvent, vacuum filtered and dried to obtain a powder. Red giant initiator. The yield of the macroinitiator was about 86%, and the number average molecular weight (Mn) and polydispersity (Mw/Mn) were 9,000 and 1.16, respectively.

將0.3克巨引發劑、2.7174克五氟苯乙烯及1.306毫升苯添加至10毫升Schlenk燒瓶中且接著在室溫下攪拌30分鐘,且接著在115℃下進行4小時的RAFT(可逆式加成斷裂鏈轉移)聚合反應。在聚合之後,將反應之溶液在作為萃取溶劑的250毫升甲醇中沉澱,真空過濾且乾燥,以便獲得淺粉紅色嵌段共聚物。嵌段共聚物的產率為約18%,且其數量平均分子量(Mn)及多分散度(Mw/Mn)分別為16,300及1.13。嵌段共聚物包括自製備例1的化合物(DPM-C12)所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 0.3 g of the giant initiator, 2.7174 g of pentafluorostyrene and 1.306 ml of benzene were added to a 10 ml Schlenk flask and then stirred at room temperature for 30 minutes, and then subjected to RAFT at 115 ° C for 4 hours (reversible addition) Fracture chain transfer) polymerization. After the polymerization, the solution of the reaction was precipitated in 250 ml of methanol as an extraction solvent, vacuum filtered and dried to obtain a light pink block copolymer. The yield of the block copolymer was about 18%, and the number average molecular weight (Mn) and polydispersity (Mw/Mn) thereof were 16,300 and 1.13, respectively. The block copolymer includes a first block derived from the compound of Preparation Example 1 (DPM-C12) and a second block derived from pentafluorostyrene.

實施例2 Example 2

嵌段共聚物係與實施例1相同的方法製備,除了使用藉由使用製備例2的化合物(DPM-C8)代替製備例1的化合物(DPM-C12)所製備之巨引發劑及五氟苯乙烯以外。嵌段共聚物包括自製備例2的化合物(DPM-C8)所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 The block copolymer was prepared in the same manner as in Example 1 except that the macroinitiator and pentafluorobenzene prepared by using the compound of Preparation Example 2 (DPM-C8) instead of the compound of Preparation Example 1 (DPM-C12) were used. Other than ethylene. The block copolymer includes a first block derived from the compound of Preparation Example 2 (DPM-C8) and a second block derived from pentafluorostyrene.

實施例3 Example 3

嵌段共聚物係與實施例1相同的方法製備, 除了使用藉由使用製備例3的化合物(DPM-C10)代替製備例1的化合物(DPM-C12)所製備之巨引發劑及五氟苯乙烯以外。嵌段共聚物包括自製備例3的化合物(DPM-C10)所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 The block copolymer was prepared in the same manner as in Example 1, Except that the macroinitiator prepared by using the compound of Preparation Example 3 (DPM-C10) in place of the compound of Preparation Example 1 (DPM-C12) and pentafluorostyrene were used. The block copolymer includes a first block derived from the compound of Preparation Example 3 (DPM-C10) and a second block derived from pentafluorostyrene.

實施例4 Example 4

嵌段共聚物係與實施例1相同的方法製備,除了使用藉由使用製備例4的化合物(DPM-C14)代替製備例1的化合物(DPM-C12)所製備之巨引發劑及五氟苯乙烯以外。嵌段共聚物包括自製備例4的化合物(DPM-C14)所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 The block copolymer was prepared in the same manner as in Example 1 except that the macroinitiator and pentafluorobenzene prepared by using the compound of Preparation Example 4 (DPM-C14) in place of the compound of Preparation Example 1 (DPM-C12) and pentafluorobenzene were used. Other than ethylene. The block copolymer includes a first block derived from the compound of Preparation Example 4 (DPM-C14) and a second block derived from pentafluorostyrene.

實施例5 Example 5

嵌段共聚物係與實施例1相同的方法製備,除了使用藉由使用製備例5的化合物(DPM-C16)代替製備例1的化合物(DPM-C12)所製備之巨引發劑及五氟苯乙烯以外。嵌段共聚物包括自製備例5的化合物(DPM-C16)所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 The block copolymer was prepared in the same manner as in Example 1 except that the macroinitiator and pentafluorobenzene prepared by using the compound of Preparation Example 5 (DPM-C16) instead of the compound of Preparation Example 1 (DPM-C12) were used. Other than ethylene. The block copolymer includes a first block derived from the compound of Preparation Example 5 (DPM-C16) and a second block derived from pentafluorostyrene.

實施例6 Example 6 單體之合成 Monomer synthesis

3-羥基-1,2,4,5-四氟苯乙烯係根據以下的方法合成。將五氟苯乙烯(25克,129毫莫耳)添加至400毫升三級丁醇與氫氧化鉀(37.5克,161毫莫耳)之混合溶液中;且接著接受2小時的回流反應。在反應之後,將產物冷卻至室溫,添加1200毫升且將用於反應之剩餘的丁醇揮發。將加成物以二乙醚(300毫升)萃取3次,將水層以10重量%之氫氯酸溶液酸化,直到其pH變成3為止,且由此沉澱出標的產物。將沉澱之產物以二乙醚(300毫升)萃取3次且收集有機層。將有機層以MgSO4脫水且移除溶劑。將粗製產物以使用己烷及DCM(二氯甲烷)作為移動相之管柱層析術純化,且由此獲得無色液體3-羥基-1,2,4,5-四氟苯乙烯(11.4克)。其NMR分析結果係如下。 3-Hydroxy-1,2,4,5-tetrafluorostyrene was synthesized according to the following method. Pentafluorostyrene (25 g, 129 mmol) was added to a mixed solution of 400 ml of tertiary butanol and potassium hydroxide (37.5 g, 161 mmol); and then subjected to a reflux reaction for 2 hours. After the reaction, the product was cooled to room temperature, 1200 ml was added and the remaining butanol used for the reaction was volatilized. The adduct was extracted 3 times with diethyl ether (300 ml), and the aqueous layer was acidified with a 10% by weight hydrochloric acid solution until its pH became 3, and the title product was precipitated therefrom. The precipitated product was extracted 3 times with diethyl ether (300 mL) and organic layer was collected. The organic layer was anhydrified on MgSO 4 and the solvent removed. The crude product was purified by column chromatography using hexanes and DCM (dichloromethane) as mobile phase, and thus obtained as a colorless liquid 3-hydroxy-1,2,4,5-tetrafluorostyrene (11.4 g) ). The NMR analysis results are as follows.

<NMR分析結果> <NMR analysis results>

1H-NMR(DMSO-d):δ11.7(s,1H);δ6.60(dd,1H);δ5.89(d,1H);δ5.62(d,1H) 1 H-NMR (DMSO-d): δ 11.7 (s, 1H); δ 6.60 (dd, 1H); δ 5.89 (d, 1H); δ 5.62 (d, 1H)

嵌段共聚物之合成 Synthesis of block copolymer

將AIBN(偶氮雙異丁腈)、RAFT(可逆式加成斷裂鏈轉移)試劑(三硫碳酸2-氰基-2-丙基十二烷酯)及製備例1的化合物(DPM-C12)以50:1:0.2之重量比(DPM-C12:RAFT試劑:AIBN)溶解在苯中(濃 度:70重量%),且接著藉由將混合物在70℃下於氮氣下反應4小時以製備巨引發劑(數量平均分子量:14000,多分散度:1.2)。接著將合成之巨引發劑、3-羥基-1,2,4,5-四氟苯乙烯(TFS-OH)及AIBN(偶氮雙異丁腈)以1:200:0.5之重量比(巨引發劑:TFS-OH:AIBN)溶解在苯中(濃度:30重量%),且接著藉由將混合物在70℃下於氮氣下反應6小時以製備嵌段共聚物(數量平均分子量:35000,多分散度:1.2)。嵌段共聚物包括自製備例1的化合物所衍生之第一嵌段和自3-羥基-1,2,4,5-四氟苯乙烯所衍生之第二嵌段。 AIBN (azobisisobutyronitrile), RAFT (reversible addition fragmentation chain transfer) reagent (2-cyano-2-propyldodecyl trisulfate) and the compound of Preparation Example 1 (DPM-C12) ) dissolved in benzene in a weight ratio of 50:1:0.2 (DPM-C12: RAFT reagent: AIBN) Degree: 70% by weight), and then a giant initiator (quantitative average molecular weight: 14,000, polydispersity: 1.2) was prepared by reacting the mixture at 70 ° C for 4 hours under nitrogen. Then the synthetic macroinitiator, 3-hydroxy-1,2,4,5-tetrafluorostyrene (TFS-OH) and AIBN (azobisisobutyronitrile) in a weight ratio of 1:200:0.5 (giant The initiator: TFS-OH: AIBN) was dissolved in benzene (concentration: 30% by weight), and then a block copolymer was prepared by reacting the mixture at 70 ° C for 6 hours under nitrogen to obtain a number average molecular weight: 35,000. Polydispersity: 1.2). The block copolymer includes a first block derived from the compound of Preparation Example 1 and a second block derived from 3-hydroxy-1,2,4,5-tetrafluorostyrene.

實施例7 Example 7 單體之合成 Monomer synthesis

下式H之化合物係根據以下的方法合成。將酞醯亞胺(10.0克,54毫莫耳)及氯甲基苯乙烯(8.2克,54毫莫耳)添加至50毫升DMF(二甲基甲醯胺)中且接著在55℃下於氮氣下反應18小時。在反應之後,將100毫升乙酸乙酯及100毫升蒸餾水添加至反應產物中,且接著收集有機層及接著以食鹽水溶液清洗。將收集之有機層以MgSO4處理,且由此移除水及接著最終移除溶劑,且接著以戊烷再結晶,以便獲得白色固體標的化合物(11.1克)。其NMR分析結果係如下。 The compound of the following formula H was synthesized according to the following method.酞醯imine (10.0 g, 54 mmol) and chloromethylstyrene (8.2 g, 54 mmol) were added to 50 ml of DMF (dimethylformamide) and then at 55 ° C The reaction was carried out under nitrogen for 18 hours. After the reaction, 100 ml of ethyl acetate and 100 ml of distilled water were added to the reaction product, and then the organic layer was collected and then washed with a saline solution. The collected organic layer was treated with MgSO 4 , and then water was removed, and then solvent was finally removed, and then recrystallized from pentane to give a white solid title compound (11.1 g). The NMR analysis results are as follows.

<NMR分析結果> <NMR analysis results>

1H-NMR(CDCl3):δ7.84(dd,2H);δ7.70(dd,2H);δ7.40-7.34(m,4H);δ6.67(dd,1H);δ5.71(d,1H);δ5.22(d,1H);δ4.83(s,2H) 1 H-NMR (CDCl 3 ): δ 7.84 (dd, 2H); δ 7.70 (dd, 2H); δ 7.40-7.34 (m, 4H); δ 6.67 (dd, 1H); δ 5.71 (d, 1H); δ 5.22 (d, 1H); δ 4.83 (s, 2H)

嵌段共聚物之合成 Synthesis of block copolymer

將AIBN(偶氮雙異丁腈)、RAFT(可逆式加成斷裂鏈轉移)試劑(三硫碳酸2-氰基-2-丙基十二烷酯)及製備例1的化合物(DPM-C12)以50:1:0.2之重量比(DPM-C12:RAFT試劑:AIBN)溶解在苯中(濃度:70重量%),且接著藉由將混合物在70℃下於氮氣下反應4小時以製備巨引發劑(數量平均分子量:14000,多分散度:1.2)。接著將合成之巨引發劑、式H之化合物(TFS-PhIM)及AIBN(偶氮雙異丁腈)以1:200:0.5之重量比(巨引發劑:TFS-PhIM:AIBN)溶解 在苯中(濃度:30重量%),且接著藉由將混合物在70。℃下於氮氣下反應6小時以製備嵌段共聚物(數量平均分子量:35000,多分散度:1.2)。嵌段共聚物包括自製備例1的化合物所衍生之第一嵌段和自式H化合物所衍生之第二嵌段。 AIBN (azobisisobutyronitrile), RAFT (reversible addition fragmentation chain transfer) reagent (2-cyano-2-propyldodecyl trisulfate) and the compound of Preparation Example 1 (DPM-C12) It was dissolved in benzene (concentration: 70% by weight) in a weight ratio of 50:1:0.2 (DPM-C12: RAFT reagent: AIBN), and then prepared by reacting the mixture at 70 ° C for 4 hours under nitrogen. Giant initiator (quantitative average molecular weight: 14,000, polydispersity: 1.2). The synthetic macroinitiator, the compound of formula H (TFS-PhIM) and AIBN (azobisisobutyronitrile) are then dissolved in a weight ratio of 1:200:0.5 (macroinitiator: TFS-PhIM: AIBN) In benzene (concentration: 30% by weight), and then the mixture was at 70. The mixture was reacted under nitrogen at ° C for 6 hours to prepare a block copolymer (number average molecular weight: 35,000, polydispersity: 1.2). The block copolymer includes a first block derived from the compound of Preparation Example 1 and a second block derived from the compound of the formula H.

實施例8 Example 8

將製備例1的0.8662克化合物(DPM-C12)、0.5克巨引發劑(Macro-PEO)(聚(乙二醇)-4-氰基-4-(苯基硫羰基(carbonothioyl)硫基)戊酸酯,重量平均分子量(Mw):10,000,Sigma Aldrich)(其兩個末端部位連結RAFT(可逆式加成-斷裂鏈轉移)試劑)、4.1毫克AIBN(偶氮雙異丁腈)及3.9毫升苯甲醚添加至10毫升燒瓶(Schlenk燒瓶)中,且接著在室溫下於氮氣下攪拌30分鐘,且接著在70℃之聚矽氧油容器中進行12小時的RAFT(可逆式加成-斷裂鏈轉移)聚合反應。在聚合之後,將反應之溶液在作為萃取溶劑的250毫升甲醇中沉澱,接著接受真空過濾且乾燥,獲得淺粉紅色嵌段共聚物(產率:30.5%,數量平均分子量(Mn):34300,多分散度(Mw/Mn):1.60)。嵌段共聚物包括自製備例1的化合物所衍生之第一嵌段和聚環氧乙烷之第二嵌段。 0.8662 g of the compound of Preparation Example 1 (DPM-C12), 0.5 g of a macroinitiator (Macro-PEO) (poly(ethylene glycol)-4-cyano-4-(phenylothioyl)thio group) Valerate, weight average molecular weight (Mw): 10,000, Sigma Aldrich) (the two end sites are linked to RAFT (reversible addition-fragmentation chain transfer) reagent), 4.1 mg AIBN (azobisisobutyronitrile) and 3.9 Milligram of anisole was added to a 10 ml flask (Schlenk flask) and then stirred at room temperature for 30 minutes under nitrogen, and then subjected to RAFT for 12 hours in a 70 ° C polyoxo oil container (reversible addition) - cleavage chain transfer) polymerization. After the polymerization, the solution of the reaction was precipitated in 250 ml of methanol as an extraction solvent, followed by vacuum filtration and dried to obtain a light pink block copolymer (yield: 30.5%, number average molecular weight (Mn): 34,300, Polydispersity (Mw/Mn): 1.60). The block copolymer includes a first block derived from the compound of Preparation Example 1 and a second block of polyethylene oxide.

實施例9 Example 9

將製備例1的2.0克化合物(DPM-C12)、25.5毫克RAFT(可逆式加成-斷裂鏈轉移)試劑(二硫苯甲酸氰基異丙酯)、9.4毫克AIBN(偶氮雙異丁腈)及5.34毫升苯添加至10毫升燒瓶(Schlenk燒瓶)中且在室溫下於氮氣下攪拌30分鐘,且接著在70℃之聚矽氧油容器中進行4小時的RAFT(可逆式加成-斷裂鏈轉移)聚合反應。在聚合之後,將反應之溶液在作為萃取溶劑的250毫升甲醇中沉澱,接著接受真空過濾且乾燥,獲得兩個末端部位連結至RAFT(可逆式加成-斷裂鏈轉移)試劑之粉紅色巨引發劑。巨引發劑之產率、數量平均分子量(Mn)及多分散度(Mw/Mn)分別為81.6重量%、15400及1.16。將1.177克苯乙烯、0.3克巨引發劑及0.449毫升苯添加至10毫升燒瓶(Schlenk燒瓶)中且在室溫下於氮氣下攪拌30分鐘,且接著在115℃之聚矽氧油容器中進行4小時的RAFT(可逆式加成-斷裂鏈轉移)聚合反應。在聚合之後,將反應之溶液在作為萃取溶劑的250毫升甲醇中沉澱,接著接受真空過濾且乾燥,以製備淺粉紅色新穎嵌段共聚物。嵌段共聚物之產率、數量平均分子量(Mn)及多分散度(Mw/Mn)分別為39.3重量%、31800及1.25。嵌段共聚物包括自製備例1的化合物所衍生之第一嵌段和聚苯乙烯之第二嵌段。 2.0 g of the compound of Preparation Example 1 (DPM-C12), 25.5 mg of RAFT (reversible addition-fragmentation chain transfer) reagent (cyanoisopropyl dithiobenzoate), 9.4 mg of AIBN (azobisisobutyronitrile) And 5.34 ml of benzene was added to a 10 ml flask (Schlenk flask) and stirred at room temperature for 30 minutes under nitrogen, and then subjected to RAFT for 4 hours in a 70 ° C polyoxo oil container (reversible addition - Fracture chain transfer) polymerization. After the polymerization, the solution of the reaction was precipitated in 250 ml of methanol as an extraction solvent, followed by vacuum filtration and drying to obtain a pink giant priming of the two end sites linked to the RAFT (reversible addition-fragmentation chain transfer) reagent. Agent. The yield, number average molecular weight (Mn) and polydispersity (Mw/Mn) of the macroinitiator were 81.6 wt%, 15400 and 1.16, respectively. 1.177 g of styrene, 0.3 g of macroinitiator and 0.449 ml of benzene were added to a 10 ml flask (Schlenk flask) and stirred at room temperature for 30 minutes under nitrogen, and then in a 115 ° C polyoxo oil container. 4 hours of RAFT (reversible addition-fragmentation chain transfer) polymerization. After the polymerization, the solution of the reaction was precipitated in 250 ml of methanol as an extraction solvent, followed by vacuum filtration and drying to prepare a pale pink novel block copolymer. The yield, number average molecular weight (Mn) and polydispersity (Mw/Mn) of the block copolymer were 39.3% by weight, 31,800 and 1.25, respectively. The block copolymer includes a first block derived from the compound of Preparation Example 1 and a second block of polystyrene.

實施例10 Example 10

將實施例9中所製備之0.33克巨引發劑、 1.889克4-三甲基矽基苯乙烯、2.3毫克AIBN(偶氮雙異丁腈)及6.484毫升苯添加至10毫升燒瓶(Schlenk燒瓶)中,且接著在室溫下於氮氣下攪拌30分鐘,且接著在70℃之聚矽氧油容器中進行24小時的RAFT(可逆式加成-斷裂鏈轉移)聚合反應。在聚合之後,將反應之溶液在作為萃取溶劑的250毫升甲醇中沉澱,接著接受真空過濾且乾燥,以製備淺粉紅色新穎嵌段共聚物。嵌段共聚物之產率、數量平均分子量(Mn)及多分散度(Mw/Mn)分別為44.2重量%、29600及1.35。嵌段共聚物包括自製備例1的化合物所衍生之第一嵌段和聚(4-三甲基矽基苯乙烯)之第二嵌段。 0.33 g of the giant initiator prepared in Example 9, 1.889 g of 4-trimethyldecyl styrene, 2.3 mg of AIBN (azobisisobutyronitrile) and 6.484 ml of benzene were added to a 10 ml flask (Schlenk flask) and then stirred at room temperature for 30 minutes under nitrogen. Then, a RAFT (reversible addition-fragmentation chain transfer) polymerization reaction was carried out for 24 hours in a polyoxygenated oil container at 70 °C. After the polymerization, the solution of the reaction was precipitated in 250 ml of methanol as an extraction solvent, followed by vacuum filtration and drying to prepare a pale pink novel block copolymer. The yield, number average molecular weight (Mn) and polydispersity (Mw/Mn) of the block copolymer were 44.2% by weight, 29600 and 1.35, respectively. The block copolymer includes a first block derived from the compound of Preparation Example 1 and a second block of poly(4-trimethyldecylstyrene).

實施例11 Example 11 單體之合成 Monomer synthesis

下式I之化合物係根據以下的方法合成。將五氟苯乙烯(25克,129毫莫耳)添加至400毫升三級丁醇與氫氧化鉀(37.5克,161毫莫耳)之混合溶液中;且接著接受2小時的回流反應。在反應之後,將產物冷卻至室溫,添加1200毫升水且將用於反應之剩餘的丁醇揮發。將加成物以二乙醚(300毫升)萃取3次,將水層以10重量%之氫氯酸溶液酸化,直到其pH變成3為止,且由此沉澱出標的產物。將沉澱之產物以二乙醚(300毫升)萃取3次且收集有機層。將有機層以MgSO4脫水且 移除溶劑。將粗製產物以使用己烷及DCM(二氯甲烷)作為移動相的管柱層析術純化,且由此獲得無色液體中間物(3-羥基-1,2,4,5-四氟苯乙烯)(11.4克)。其NMR分析結果係如下。 The compound of the following formula I was synthesized according to the following method. Pentafluorostyrene (25 g, 129 mmol) was added to a mixed solution of 400 ml of tertiary butanol and potassium hydroxide (37.5 g, 161 mmol); and then subjected to a reflux reaction for 2 hours. After the reaction, the product was cooled to room temperature, 1200 ml of water was added and the remaining butanol used for the reaction was volatilized. The adduct was extracted 3 times with diethyl ether (300 ml), and the aqueous layer was acidified with a 10% by weight hydrochloric acid solution until its pH became 3, and the title product was precipitated therefrom. The precipitated product was extracted 3 times with diethyl ether (300 mL) and organic layer was collected. The organic layer was anhydrified on MgSO 4 and the solvent removed. The crude product was purified by column chromatography using hexanes and DCM (dichloromethane) as mobile phase, and thus a colorless liquid intermediate (3-hydroxy-1,2,4,5-tetrafluorostyrene) was obtained. ) (11.4 grams). The NMR analysis results are as follows.

<NMR分析結果> <NMR analysis results>

1H-NMR(DMSO-d):δ11.7(s,1H);δ6.60(dd,1H);δ5.89(d,1H);δ5.62(d,1H) 1 H-NMR (DMSO-d): δ 11.7 (s, 1H); δ 6.60 (dd, 1H); δ 5.89 (d, 1H); δ 5.62 (d, 1H)

將中間物(11.4克,59毫莫耳)溶解在DCM(二氯甲烷)(250毫升)中,且接著將咪唑(8.0克,118毫莫耳)、DMPA(對-二甲基胺基吡啶)(0.29克,2.4毫莫耳)及三級丁基氯二甲基矽烷(17.8克,118毫莫耳)添加至其中。將混合物在室溫下以攪拌反應24小時且藉由添加100毫升食鹽水終止反應,且接著以DCM進行額外的萃取。將收集的DCM有機層以MgSO4脫水且移除溶劑,以便獲得粗製產物。在使用己烷及DCM作為移動相的管柱層析術純化之後,獲得無色液體標的產物(10.5克)。標的產物之NMR結果係如下。 The intermediate (11.4 g, 59 mmol) was dissolved in DCM (dichloromethane) (250 mL) and then imidazole (8.0 g, 118 mM), DMPA (p-dimethylaminopyridine) (0.29 g, 2.4 mmol) and tertiary butyl chlorodimethyl decane (17.8 g, 118 mmol) were added thereto. The mixture was stirred at room temperature for 24 hours and the reaction was quenched by the addition of 100 mL of brine, and then further extracted with DCM. The collected DCM organic layer was dried over MgSO 4 and solvent was evaporated to afford crude product. After purification by column chromatography using hexanes and DCM as mobile phase, the product (10.5 g) was obtained as a colorless liquid. The NMR results of the underlying products are as follows.

<NMR分析結果> <NMR analysis results>

1H-NMR(CDCl3):δ6.62(dd,1H);δ6.01(d,1H);δ5.59(d,1H);δ1.02(t,9H),δ0.23(t,6H) 1 H-NMR (CDCl 3 ): δ 6.62 (dd, 1H); δ 6.01 (d, 1H); δ 5.59 (d, 1H); δ 1.02 (t, 9H), δ 0.23 (t , 6H)

嵌段共聚物之合成 Synthesis of block copolymer

將AIBN(偶氮雙異丁腈)、RAFT(可逆式加成斷裂鏈轉移)試劑(三硫碳酸2-氰基-2-丙基十二烷酯)及製備例1的化合物(DPM-C12)以50:1:0.2之重量比(DPM-C12:RAFT試劑:AIBN)溶解在苯中(濃度:70重量%),且接著藉由將混合物在70℃下於氮氣下反應4小時以製備巨引發劑(數量平均分子量:14000,多分散度:1.2)。接著將合成之巨引發劑、式I化合物(TFS-S)及AIBN(偶氮雙異丁腈)以1:200:0.5之重量比(巨引發劑:TFS-S:AIBN)溶解在苯中(濃度:30重量%),且接著藉由將混合物在70℃下於氮氣下反應6小時以製備嵌段共聚物(數量平均分子量:35000,多分散度:1.2)。嵌段共聚物包括自製備例1的 化合物所衍生之第一嵌段和自式I化合物所衍生之第二嵌段。 AIBN (azobisisobutyronitrile), RAFT (reversible addition fragmentation chain transfer) reagent (2-cyano-2-propyldodecyl trisulfate) and the compound of Preparation Example 1 (DPM-C12) It was dissolved in benzene (concentration: 70% by weight) in a weight ratio of 50:1:0.2 (DPM-C12: RAFT reagent: AIBN), and then prepared by reacting the mixture at 70 ° C for 4 hours under nitrogen. Giant initiator (quantitative average molecular weight: 14,000, polydispersity: 1.2). The synthetic macroinitiator, the compound of formula I (TFS-S) and AIBN (azobisisobutyronitrile) are then dissolved in benzene in a weight ratio of 1:200:0.5 (macroinitiator: TFS-S: AIBN). (Concentration: 30% by weight), and then a block copolymer (quantitative average molecular weight: 35,000, polydispersity: 1.2) was prepared by reacting the mixture at 70 ° C for 6 hours under nitrogen. The block copolymer includes the preparation example 1 The first block from which the compound is derived and the second block derived from the compound of formula I.

實施例12 Example 12

將AIBN(偶氮雙異丁腈)、RAFT(可逆式加成斷裂鏈轉移)試劑(三硫碳酸2-氰基-2-丙基十二烷酯)及製備例6的化合物(DPM-N1)以26:1:0.5之重量比(DPM-C12:RAFT試劑:AIBN)溶解在苯中(濃度:70重量%),且接著藉由將混合物在70℃下於氮氣下反應4小時以製備巨引發劑(數量平均分子量:9700,多分散度:1.2)。接著將合成之巨引發劑、五氟苯乙烯(PFS)及AIBN(偶氮雙異丁腈)以1:600:0.5之重量比(巨引發劑:PFS:AIBN)溶解在苯中(濃度:30重量%),且接著藉由將混合物在115℃下於氮氣下反應6小時以製備嵌段共聚物(數量平均分子量:17300,多分散度:1.2)。嵌段共聚物包括自製備例6的化合物所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 AIBN (azobisisobutyronitrile), RAFT (reversible addition fragmentation chain transfer) reagent (2-cyano-2-propyldodecyl trisulfate) and the compound of Preparation 6 (DPM-N1) ) was dissolved in benzene (concentration: 70% by weight) in a weight ratio of 26:1:0.5 (DPM-C12: RAFT reagent: AIBN), and then prepared by reacting the mixture at 70 ° C under nitrogen for 4 hours. Giant initiator (number average molecular weight: 9700, polydispersity: 1.2). The synthetic macroinitiator, pentafluorostyrene (PFS) and AIBN (azobisisobutyronitrile) are then dissolved in benzene in a weight ratio of 1:600:0.5 (macroinitiator: PFS: AIBN) (concentration: 30% by weight), and then a block copolymer (quantitative average molecular weight: 17300, polydispersity: 1.2) was prepared by reacting the mixture at 115 ° C for 6 hours under nitrogen. The block copolymer includes a first block derived from the compound of Preparation Example 6 and a second block derived from pentafluorostyrene.

實施例13 Example 13

嵌段共聚物係與實施例1相同的方法製備,除了使用藉由使用製備例7的化合物(DPM-C4)代替製備例1的化合物(DPM-C12)所製備之巨引發劑及五氟苯乙烯以外。嵌段共聚物包括自製備例7的化合物(DPM-C4)所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌 段。 The block copolymer was prepared in the same manner as in Example 1 except that the macroinitiator and pentafluorobenzene prepared by using the compound of Preparation Example 7 (DPM-C4) instead of the compound of Preparation Example 1 (DPM-C12) were used. Other than ethylene. The block copolymer includes a first block derived from the compound of Preparation Example 7 (DPM-C4) and a second block derived from pentafluorostyrene. segment.

比較例1 Comparative example 1

嵌段共聚物係與實施例1相同的方法製備,除了使用藉由使用甲基丙烯酸4-甲氧基苯酯代替製備例1的化合物(DPM-C12)所製備之巨引發劑及五氟苯乙烯以外。嵌段共聚物包括自甲基丙烯酸4-甲氧基苯酯所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 The block copolymer was prepared in the same manner as in Example 1, except that a giant initiator and pentafluorobenzene prepared by using 4-methoxyphenyl methacrylate instead of the compound of Preparation Example 1 (DPM-C12) were used. Other than ethylene. The block copolymer comprises a first block derived from 4-methoxyphenyl methacrylate and a second block derived from pentafluorostyrene.

比較例2 Comparative example 2

嵌段共聚物係與實施例1相同的方法製備,除了使用藉由使用甲基丙烯酸十二烷酯代替製備例1的化合物(DPM-C12)所製備之巨引發劑及五氟苯乙烯以外。嵌段共聚物包括自甲基丙烯酸十二烷酯所衍生之第一嵌段和自五氟苯乙烯所衍生之第二嵌段。 The block copolymer was prepared in the same manner as in Example 1 except that a macroinitiator prepared by using the dodecyl methacrylate instead of the compound of Preparation Example 1 (DPM-C12) and pentafluorostyrene were used. The block copolymer comprises a first block derived from dodecyl methacrylate and a second block derived from pentafluorostyrene.

試驗例1 Test example 1

自組裝之聚合物層係藉由使用實施例1至13及比較例1和2之嵌段共聚物而製得且觀察結果。特定言之,將各嵌段共聚物溶解於溶劑中至1.0重量%之濃度且接著以3000rpm之速度經60秒旋塗於矽晶圓上。接著以溶劑退火或熱退火進行自組裝。所使用之溶劑及老化方法陳述於以下表1中。接著使各聚合物層接受SEM(掃描電子顯微鏡)或AFM(原子力顯微術)分析以評估自組裝 性質。圖1至13分別為實施例1至13的結果,且圖14和15分別為比較例1和2的結果。 Self-assembled polymer layers were prepared by using the block copolymers of Examples 1 to 13 and Comparative Examples 1 and 2 and observed. Specifically, each block copolymer was dissolved in a solvent to a concentration of 1.0% by weight and then spin-coated on a tantalum wafer at a speed of 3000 rpm for 60 seconds. Self-assembly is then carried out by solvent annealing or thermal annealing. The solvents and aging methods used are set forth in Table 1 below. The polymer layers are then subjected to SEM (scanning electron microscopy) or AFM (atomic force microscopy) analysis to evaluate self-assembly. nature. 1 to 13 are the results of Examples 1 to 13, respectively, and Figs. 14 and 15 are the results of Comparative Examples 1 and 2, respectively.

試驗例2 Test example 2

從試驗例1可確認在實施例中的嵌段共聚物基本上具有極佳的自組裝性質。在實施例之中,評估關於 實施例1中所製備之嵌段共聚物的GISAXS(掠角入射小角度X射線散射)性質。上述性質係在Pohang Light Source的3C光束路徑中評估。聚合物層係藉由以下方式形成:將藉由以實施例1的嵌段共聚物溶解於氟苯中以便使固體含量為0.7重量%而製得的塗佈溶液旋塗於具有親水性或疏水性表面的基板上,以便使塗層具有5奈米厚度之層(塗佈面積:寬度=1.5公分,長度=1.5公分),且使該層在室溫下經約1小時乾燥,且接著使該層接受在約160℃下經約1小時的熱退火。將形成之聚合物層以X射線照射,以便使入射角為從約0.12度至0.23度,其對應於層的臨界角與基板的臨界角之間的角度,且接著藉由使用2D marCCD獲得自層散射之X射線繞射圖案。在此時,選擇從層至檢測器之距離以便於從約2公尺至3公尺之範圍內有效地觀察在層中的自組裝圖案。使用在室溫下具有關於純化水約5度之濕潤角的基板作為具有親水性表面之基板,且使用在室溫下具有關於純化水約60度之濕潤角的基板作為具有疏水性表面之基板。圖16為根據上述方法有關在室溫下具有關於純化水約5度之濕潤角的表面之GISAXS(掠角入射小角度X射線散射)分析的結果。圖17為根據上述方法有關在室溫下具有關於純化水約60度之濕潤角的表面(作為疏水性表面)之GISAXS(掠角入射小角度X射線散射)分析的結果。可從圖確認在任何例子中確認面內相位繞射圖案,且實施例1的嵌段共聚物具有垂直對準性質。 From Test Example 1, it was confirmed that the block copolymer in the examples had substantially excellent self-assembly properties. In the examples, the assessment is about The GISAXS (grazing angle incident small angle X-ray scattering) property of the block copolymer prepared in Example 1. The above properties were evaluated in the 3C beam path of the Pohang Light Source. The polymer layer is formed by spin-coating a coating solution prepared by dissolving the block copolymer of Example 1 in fluorobenzene so as to have a solid content of 0.7% by weight, having hydrophilicity or hydrophobicity. On the substrate of the surface so that the coating has a layer of 5 nm thickness (coating area: width = 1.5 cm, length = 1.5 cm), and the layer is dried at room temperature for about 1 hour, and then This layer was subjected to thermal annealing at about 160 ° C for about 1 hour. The formed polymer layer is irradiated with X-rays so that the incident angle is from about 0.12 degrees to 0.23 degrees, which corresponds to the angle between the critical angle of the layer and the critical angle of the substrate, and is then obtained by using a 2D marCCD. The layer scatters the X-ray diffraction pattern. At this point, the distance from the layer to the detector is selected to effectively observe the self-assembled pattern in the layer from about 2 meters to 3 meters. A substrate having a wetting angle of about 5 degrees with respect to purified water at room temperature was used as a substrate having a hydrophilic surface, and a substrate having a wetting angle of about 60 degrees with respect to purified water at room temperature was used as a substrate having a hydrophobic surface. . Figure 16 is a graph showing the results of a GISAXS (grazing angle incident small angle X-ray scattering) analysis of a surface having a wetting angle of about 5 degrees with respect to purified water at room temperature according to the above method. Figure 17 is a graph showing the results of a GISAXS (grazing angle incident small angle X-ray scattering) analysis of a surface having a wetting angle of about 60 degrees with respect to purified water (as a hydrophobic surface) at room temperature according to the above method. The in-plane phase diffraction pattern was confirmed in any of the examples, and the block copolymer of Example 1 had a vertical alignment property.

再者,具有不同的體積分率之嵌段共聚物係根據與實施例1相同的方法製備,除了控制單體及巨引發劑之莫耳比以外。 Further, block copolymers having different volume fractions were prepared in the same manner as in Example 1, except for controlling the molar ratio of the monomer and the macroinitiator.

體積分率係如下。 The volume fraction is as follows.

嵌段共聚物的各嵌段之體積分率係建基於以GPC(凝膠滲透層析術)所測量的分子量及在室溫下的密度來計算。在上述中,密度係以浮力方法測量,尤其藉由已知於空氣中的質量及密度之嵌段共聚物於溶劑(諸如乙醇)中的質量來計算,且根據上述方法進行GPC。關於各樣品之GISAXS分析的結果例證於圖18至20中。圖18至20分別為關於樣品1至3的結果,且可從圖確認觀察到以GISAXS的面內相位繞射圖案,且從此可預測具有垂直對準性質。 The volume fraction of each block of the block copolymer is calculated based on the molecular weight measured by GPC (gel permeation chromatography) and the density at room temperature. In the above, the density is measured by a buoyancy method, in particular, by mass of a block copolymer of mass and density known in air in a solvent such as ethanol, and GPC is carried out according to the above method. The results of the GISAXS analysis for each sample are illustrated in Figures 18-20. 18 to 20 are the results regarding the samples 1 to 3, respectively, and the in-plane phase diffraction pattern of the GISAXS can be observed from the figure confirmation, and from this it can be predicted to have the vertical alignment property.

試驗例3 Test Example 3

可從試驗例1確認實施例中的嵌段共聚物基本上具有極佳的自組裝性質。在實施例之中,表面能及密度係關於比較例1及實施例1至5和13來評估,其中觀察到相稱的結果。 It was confirmed from Test Example 1 that the block copolymer in the examples had substantially excellent self-assembly properties. In the examples, surface energy and density were evaluated with respect to Comparative Example 1 and Examples 1 to 5 and 13, in which commensurate results were observed.

表面能係使用液滴形狀分析儀(來自KRUSS,Co.的DSA 100產品)測量。表面能係關於藉由以下方式所形成之聚合物層來評估:將藉由以欲評估之材料溶解於氟苯中以便使固體含量為2重量%而製得的塗佈溶液旋塗於矽晶圓上,以便使塗層具有50奈米厚度(塗佈面積:寬度=2公分,長度=2公分),且使該層在室溫下經約1小時乾燥,且接著使該層接受在約160℃下經約1小時的熱退火。表面能係自藉由分別以5次滴下兩種已知表面張力的液體:去離子水(H2O)及二碘甲烷所測量之平均值所計算的平均值來計算。在以下表中,各嵌段之表面能係關於由形成對應嵌段之單體所形成的均聚物根據上述方法所測量之表面能。 The surface energy was measured using a drop shape analyzer (DSA 100 product from KRUSS, Co.). The surface energy is evaluated by a polymer layer formed by spin coating a coating solution prepared by dissolving the material to be evaluated in fluorobenzene so as to have a solid content of 2% by weight. Rounded so that the coating has a thickness of 50 nm (coating area: width = 2 cm, length = 2 cm), and the layer is allowed to dry at room temperature for about 1 hour, and then the layer is allowed to stand at about Thermal annealing at 160 ° C for about 1 hour. The surface energy was calculated from the average calculated by the average of the measured liquids of two known surface tensions, deionized water (H 2 O) and diiodomethane, respectively. In the table below, the surface energy of each block is based on the surface energy measured by the above method for the homopolymer formed from the monomers forming the corresponding block.

測量密度之方法與上述者相同。 The method of measuring the density is the same as the above.

將測量結果陳述於以下表中。 The measurement results are stated in the table below.

可從上表確認在其中確認適當的自組裝性質之例子中(實施例1至5)有特殊的傾向。特定言之,在實施例1至5的嵌段共聚物中,在第一和第二嵌段的表面能之間的差異絕對值係在從2.5毫牛頓/公尺至7毫牛頓/公尺之範圍內;然而,實施例13及比較例1顯示在表面能之間的差異絕對值未落在上述範圍內。再者,第一嵌段顯示比第二嵌段更高的表面能,且範圍係從20毫牛頓/公尺至35毫牛頓/公尺。再者,在實施例1至5的嵌段共聚物之第一和第二嵌段的密度之間的差異絕對值為0.3克/立 方公分或更大。 From the above table, it can be confirmed that there is a particular tendency in the examples in which the appropriate self-assembly properties are confirmed (Examples 1 to 5). Specifically, in the block copolymers of Examples 1 to 5, the absolute value of the difference between the surface energies of the first and second blocks is from 2.5 millinewtons/meter to 7 millinewtons/meter. Within the range; however, Example 13 and Comparative Example 1 show that the absolute value of the difference between the surface energies does not fall within the above range. Again, the first block exhibits a higher surface energy than the second block and ranges from 20 millinewtons per meter to 35 millinewtons per meter. Further, the absolute difference between the densities of the first and second blocks of the block copolymers of Examples 1 to 5 was 0.3 g/li. Square centimeters or larger.

試驗例4 Test Example 4

關於其中觀察到相稱的結果之比較例1及實施例1至5和13的XRD分析結果例證於以下表4中。 The results of XRD analysis of Comparative Example 1 and Examples 1 to 5 and 13 in which commensurate results were observed are exemplified in Table 4 below.

XRD圖案係藉由將X射線通過在浦項光源(Pohang Light Source)的3C光束路徑中的樣品而根據散射向量(q)測量散射強度來評估。在將樣品放入測量XRD的槽中之後,使用未進行以純化移除雜質之任何特定的預處理之嵌段共聚物所獲得的粉末作為樣品。在XRD圖案分析期間,使用垂直大小為0.023毫米及水平大小為0.3毫米之X射線作為X射線,且使用測量裝置(例如,2D marCCD)作為檢測器。獲得成為影像的自樣品散射之2D繞射圖案,所獲得的繞射圖案係藉由使用山萮酸銀校準成散射向量(q),且接著循環平均,且接著根據散射向量(q)標繪為散射強度。峰的位置及FWHM係藉由根據散射向量(q)標繪散射強度及峰擬合而獲得。可從上述結果確認顯示極佳的自組裝性質之嵌段共聚物與其 中未確認自組裝性質之比較例相比而顯示特定的XRD圖案。特定言之,其峰為在從0.5奈米-1至10奈米-1之散射向量範圍內觀察到FWHM在從0.2奈米-1至1.5奈米-1之範圍內的峰;然而,未於實施例13及比較例1中觀察到此等峰。 The XRD pattern is evaluated by measuring the scattering intensity from the scattering vector (q) by passing X-rays through a sample in the 3C beam path of the Pohang Light Source. After the sample was placed in a bath for measuring XRD, a powder obtained without performing any specific pretreated block copolymer for purifying impurities was used as a sample. During the XRD pattern analysis, X-rays having a vertical size of 0.023 mm and a horizontal size of 0.3 mm were used as X-rays, and a measuring device (for example, 2D marCCD) was used as a detector. A 2D diffraction pattern that is image-scattered from the sample is obtained, and the obtained diffraction pattern is calibrated into a scattering vector (q) by using silver behenate, and then cyclically averaged, and then plotted according to the scattering vector (q) For scattering intensity. The position of the peak and the FWHM are obtained by plotting the scattering intensity and peak fit from the scattering vector (q). From the above results, it was confirmed that the block copolymer exhibiting excellent self-assembly properties exhibited a specific XRD pattern as compared with the comparative example in which the self-assembly property was not confirmed. Certain words, FWHM of the peak in the range of 0.2 nm -1 to 1.5 nm -1 to a peak of 0.5 nm was observed from the scattering vector range of 1 to 10 nm -1 in the; however, not These peaks were observed in Example 13 and Comparative Example 1.

Claims (21)

一種嵌段共聚物,其包含以下式4表示之嵌段: 其中R為氫或烷基,X為單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1為氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,且Y為包含與具有至少3個形成鏈的原子之直鏈連結的芳族結構之單價取代基或包含與具有8或更多個形成鏈的原子之鏈連結的脂環狀結構之單價取代基。 A block copolymer comprising a block represented by the following formula 4: Wherein R is hydrogen or alkyl, X is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, an alkyl group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 is an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an extended alkenyl group or an alkynylene group, and Y is contained and A monovalent substituent having an aromatic structure of at least 3 linearly linked atoms forming a chain or a monovalent substituent comprising an alicyclic structure linked to a chain having 8 or more chains forming an atom. 根據申請專利範圍第1項之嵌段共聚物,其中R為氫或具有1至4個碳原子之烷基。 A block copolymer according to the first aspect of the invention, wherein R is hydrogen or an alkyl group having 1 to 4 carbon atoms. 根據申請專利範圍第1項之嵌段共聚物,其中X為單鍵、氧原子、-C(=O)-O-或-O-C(=O)-。 The block copolymer according to claim 1, wherein X is a single bond, an oxygen atom, -C(=O)-O- or -O-C(=O)-. 根據申請專利範圍第1項之嵌段共聚物,其中X為-C(=O)-O-。 A block copolymer according to the first aspect of the invention, wherein X is -C(=O)-O-. 根據申請專利範圍第1項之嵌段共聚物,其中與該芳族或脂環狀結構連結的該鏈包含8至20個形成鏈的原子。 The block copolymer according to claim 1, wherein the chain bonded to the aromatic or aliphatic cyclic structure contains 8 to 20 chain-forming atoms. 根據申請專利範圍第1項之嵌段共聚物,其中該 形成鏈的原子為碳、氧或氮。 a block copolymer according to claim 1 of the scope of the patent application, wherein The atoms forming the chain are carbon, oxygen or nitrogen. 根據申請專利範圍第1項之嵌段共聚物,其中該鏈為烴鏈。 The block copolymer according to claim 1, wherein the chain is a hydrocarbon chain. 根據申請專利範圍第1項之嵌段共聚物,其中該鏈係直接連結至該芳族結構或該脂環狀結構,或該鏈係經由連結基(linker)連結至該芳族結構或該脂環狀結構。 The block copolymer according to claim 1, wherein the chain is directly bonded to the aromatic structure or the aliphatic ring structure, or the chain is linked to the aromatic structure or the fat via a linker Ring structure. 根據申請專利範圍第8項之嵌段共聚物,其中該連結基為氧原子、硫原子、-NR3-、-S(=O)2-、伸烷基、伸烯基或伸炔基,其中R3為氫、烷基、烯基、炔基、烷氧基或芳基。 The block copolymer according to claim 8 wherein the linking group is an oxygen atom, a sulfur atom, -NR 3 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group. Wherein R 3 is hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl. 根據申請專利範圍第1項之嵌段共聚物,其中該芳族結構包含6至12個碳原子,且該脂環狀結構包含3至12個碳原子。 The block copolymer according to claim 1, wherein the aromatic structure contains 6 to 12 carbon atoms, and the alicyclic structure contains 3 to 12 carbon atoms. 根據申請專利範圍第1項之嵌段共聚物,Y係以下式2表示:[式2]-P-Q-Z其中P為伸芳基或環伸烷基,Q為單鍵、氧原子或-NR3-,其中R3為氫、烷基、烯基、炔基、烷氧基或芳基,且Z在其中P為伸芳基的例子中為該具有3或更多個形成鏈的原子之鏈,或在其中P為環伸烷基的例子中為該具有8或更多個形成鏈的原子之鏈。 According to the block copolymer of the first aspect of the patent application, Y is represented by the following formula 2: [Formula 2] -PQZ wherein P is an extended aryl group or a cycloalkyl group, and Q is a single bond, an oxygen atom or -NR 3 - Wherein R 3 is hydrogen, an alkyl group, an alkenyl group, an alkynyl group, an alkoxy group or an aryl group, and Z is a chain having 3 or more chain-forming atoms in the case where P is an extended aryl group, Or in the case where P is a cycloalkyl group, the chain having 8 or more chain-forming atoms. 根據申請專利範圍第1項之嵌段共聚物,其中當進行X射線繞射分析時,其展現在從0.5奈米-1至 10奈米-1之q值範圍內的半峰全寬(full width at half maximum)在從0.2奈米-1至1.5奈米-1之範圍內的峰。 According to the patent application range block copolymer, Paragraph 1, wherein when the X-ray diffraction analysis, it exhibits from 0.5 nm to 10 nm -1 Q -1 of the value range of the FWHM (full width at half maximum) peak in the range of 0.2 nm -1 to 1.5 nm -1 is from the. 根據申請專利範圍第1項之嵌段共聚物,其中該鏈之形成鏈的原子之數量(n)相對於呈自組裝狀態的該嵌段之間的距離(D,單位:奈米)之比率(n/D)為從2.5奈米-1至5奈米-1The block copolymer according to claim 1, wherein the ratio of the number of atoms forming the chain (n) to the distance between the blocks in the self-assembled state (D, unit: nanometer) (n / D) of from 2.5 nm to 5 nm -1 -1. 根據申請專利範圍第1項之嵌段共聚物,其中該以式4表示之嵌段的體積分率係在從0.4至0.8之範圍內。 The block copolymer according to claim 1, wherein the block represented by Formula 4 has a volume fraction ranging from 0.4 to 0.8. 根據申請專利範圍第1項之嵌段共聚物,其進一步包含第二嵌段,該以式4表示之嵌段與該第二嵌段的表面能之間的差異絕對值係在從2.5毫牛頓/公尺至7毫牛頓/公尺之範圍內。 The block copolymer according to claim 1, further comprising a second block, the absolute value of the difference between the surface energy of the block represented by Formula 4 and the second block being from 2.5 millinewtons / metric to 7 millinewtons / meter range. 根據申請專利範圍第15項之嵌段共聚物,其中該以式4表示之嵌段具有比該第二嵌段更高的表面能。 The block copolymer according to claim 15 wherein the block represented by Formula 4 has a higher surface energy than the second block. 根據申請專利範圍第1項之嵌段共聚物,其中該以式4表示之嵌段的表面能係在從20毫牛頓/公尺至35毫牛頓/公尺之範圍內。 The block copolymer according to the first aspect of the patent application, wherein the surface energy of the block represented by Formula 4 is in a range from 20 millinewtons/meter to 35 millinewtons/meter. 根據申請專利範圍第1項之嵌段共聚物,其進一步包含第二嵌段,該以式4表示之嵌段與該第二嵌段的密度之間的差異絕對值為0.3克/立方公分或更大。 The block copolymer according to claim 1, further comprising a second block, wherein the difference between the density of the block represented by Formula 4 and the density of the second block is 0.3 g/cm 3 or Bigger. 一種聚合物層,其包含申請專利範圍第1項之嵌段共聚物的自組裝產物。 A polymer layer comprising the self-assembled product of the block copolymer of claim 1 of the patent. 一種用於形成聚合物層之方法,其包含形成包含 申請專利範圍第1項之嵌段共聚物的自組裝產物之聚合物層。 A method for forming a polymer layer comprising forming a inclusion The polymer layer of the self-assembled product of the block copolymer of claim 1 of the patent application. 一種圖案形成方法,其包含自一包含基板及形成於該基板上且包含申請專利範圍第1項之嵌段共聚物的自組裝產物之聚合物層的層合物選擇性移除該嵌段共聚物之該以式4表示之嵌段或不同於該以式4表示之嵌段的嵌段。 A pattern forming method comprising selectively removing a block copolymer from a laminate comprising a substrate and a polymer layer formed on the substrate and comprising a self-assembled product of the block copolymer of claim 1 The block represented by Formula 4 or a block different from the block represented by Formula 4.
TW103142782A 2013-12-06 2014-12-08 Block copolymer TWI596125B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR20130151866 2013-12-06
KR20130151865 2013-12-06
KR20130151867 2013-12-06
KR20130159994 2013-12-20
KR20140131964 2014-09-30

Publications (2)

Publication Number Publication Date
TW201538548A true TW201538548A (en) 2015-10-16
TWI596125B TWI596125B (en) 2017-08-21

Family

ID=53514851

Family Applications (14)

Application Number Title Priority Date Filing Date
TW103142745A TWI596119B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142784A TWI532780B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142777A TWI596124B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142956A TWI557173B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142955A TWI586692B (en) 2013-12-06 2014-12-08 Monomer and block copolymer
TW103142786A TWI596126B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142802A TWI586691B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142963A TWI596152B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142798A TWI597300B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142780A TWI598368B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142782A TWI596125B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142794A TWI596127B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142790A TWI591085B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142805A TWI596128B (en) 2013-12-06 2014-12-08 Block copolymer

Family Applications Before (10)

Application Number Title Priority Date Filing Date
TW103142745A TWI596119B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142784A TWI532780B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142777A TWI596124B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142956A TWI557173B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142955A TWI586692B (en) 2013-12-06 2014-12-08 Monomer and block copolymer
TW103142786A TWI596126B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142802A TWI586691B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142963A TWI596152B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142798A TWI597300B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142780A TWI598368B (en) 2013-12-06 2014-12-08 Block copolymer

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW103142794A TWI596127B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142790A TWI591085B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142805A TWI596128B (en) 2013-12-06 2014-12-08 Block copolymer

Country Status (2)

Country Link
KR (15) KR101770882B1 (en)
TW (14) TWI596119B (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI596128B (en) * 2013-12-06 2017-08-21 Lg化學股份有限公司 Block copolymer
US10081698B2 (en) 2013-12-06 2018-09-25 Lg Chem, Ltd. Block copolymer
US10087276B2 (en) 2013-12-06 2018-10-02 Lg Chem, Ltd. Block copolymer
US10150832B2 (en) 2013-12-06 2018-12-11 Lg Chem, Ltd. Block copolymer
US10160822B2 (en) 2013-12-06 2018-12-25 Lg Chem, Ltd. Monomer and block copolymer
US10184021B2 (en) 2013-12-06 2019-01-22 Lg Chem, Ltd. Block copolymer
US10196475B2 (en) 2013-12-06 2019-02-05 Lg Chem, Ltd. Block copolymer
US10196474B2 (en) 2013-12-06 2019-02-05 Lg Chem, Ltd. Block copolymer
US10202481B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
US10227437B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
US10227436B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
US10227438B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
US10240035B2 (en) 2014-09-30 2019-03-26 Lg Chem, Ltd. Block copolymer
US10239980B2 (en) 2013-12-06 2019-03-26 Lg Chem, Ltd. Block copolymer
US10253130B2 (en) 2013-12-06 2019-04-09 Lg Chem, Ltd. Block copolymer
US10281820B2 (en) 2014-09-30 2019-05-07 Lg Chem, Ltd. Block copolymer
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
US10287430B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Method of manufacturing patterned substrate
US10295908B2 (en) 2014-09-30 2019-05-21 Lg Chem, Ltd. Block copolymer
US10310378B2 (en) 2014-09-30 2019-06-04 Lg Chem, Ltd. Block copolymer
US10370529B2 (en) 2014-09-30 2019-08-06 Lg Chem, Ltd. Method of manufacturing patterned substrate
US10377894B2 (en) 2014-09-30 2019-08-13 Lg Chem, Ltd. Block copolymer
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
US10703897B2 (en) 2014-09-30 2020-07-07 Lg Chem, Ltd. Block copolymer

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101946775B1 (en) * 2016-11-30 2019-02-12 주식회사 엘지화학 Block copolymer
KR102191606B1 (en) 2016-11-30 2020-12-15 주식회사 엘지화학 Laminate
KR102183698B1 (en) 2016-11-30 2020-11-26 주식회사 엘지화학 Preparation method for polymer layer
KR102261687B1 (en) 2016-11-30 2021-06-08 주식회사 엘지화학 Laminate
KR102096272B1 (en) * 2016-11-30 2020-04-02 주식회사 엘지화학 Block copolymer
CN109983044B (en) * 2016-11-30 2021-10-22 株式会社Lg化学 Polymer composition
KR102096274B1 (en) * 2016-11-30 2020-04-02 주식회사 엘지화학 Block copolymer
KR102096271B1 (en) * 2016-11-30 2020-05-27 주식회사 엘지화학 Block copolymer
KR102096270B1 (en) * 2017-07-14 2020-04-02 주식회사 엘지화학 Compositon for neural layer
WO2019013601A1 (en) 2017-07-14 2019-01-17 주식회사 엘지화학 Neutral layer composition
EP3640298B1 (en) 2017-07-14 2022-03-09 LG Chem, Ltd. Neutral layer composition
KR102277770B1 (en) * 2017-07-14 2021-07-15 주식회사 엘지화학 Method for planarization of block copolymer layer and method for forming pattern
TWI805617B (en) 2017-09-15 2023-06-21 南韓商Lg化學股份有限公司 Laminate
TWI695860B (en) * 2017-11-07 2020-06-11 南韓商Lg化學股份有限公司 Polymer composition
KR102436923B1 (en) * 2018-01-26 2022-08-26 주식회사 엘지화학 Block copolymer containing photo-sensitive moiety
KR102484628B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Compositon for neural layer
KR102484630B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Preparation method of patterened substrate
KR102522249B1 (en) * 2018-08-16 2023-04-17 주식회사 엘지화학 Preparation method of patterened substrate
KR102484627B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Pinning layer composition
KR102484626B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Preparation method of substrate
KR102550419B1 (en) 2018-08-16 2023-07-04 주식회사 엘지화학 Block copolymer
KR102484629B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Compositon for neural layer
KR102498631B1 (en) * 2018-08-16 2023-02-10 주식회사 엘지화학 Preparation method of patterened substrate
KR102534530B1 (en) * 2018-08-31 2023-05-19 주식회사 엘지화학 Preparation method of patterened substrate
KR102522182B1 (en) * 2018-08-31 2023-04-14 주식회사 엘지화학 Preparation method of patterened substrate
KR20210103167A (en) 2020-02-13 2021-08-23 삼성전자주식회사 Semiconductor package

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3121116B2 (en) * 1992-05-21 2000-12-25 出光興産株式会社 Styrene block copolymer and method for producing the same
US5728431A (en) * 1996-09-20 1998-03-17 Texas A&M University System Process for forming self-assembled polymer layers on a metal surface
ATE478901T1 (en) 1998-12-30 2010-09-15 Lubrizol Advanced Mat Inc BRANCHED BLOCK COPOLYMERS FOR THE TREATMENT OF THE SURFACE OF KERATIN
JP4625901B2 (en) * 2000-11-08 2011-02-02 独立行政法人産業技術総合研究所 Syndiotactic aromatic vinyl block copolymer and process for producing the same
JP4453814B2 (en) * 2003-11-12 2010-04-21 Jsr株式会社 Polymerizable compound and mixture, and method for producing liquid crystal display device
JP2010115832A (en) 2008-11-12 2010-05-27 Panasonic Corp Method for promoting self-formation of block copolymer and method for forming self-formation pattern of block copolymer using the method for promoting self-formation
CN101492520A (en) * 2009-03-04 2009-07-29 中国科学院上海有机化学研究所 Diblock copolymer containing full-fluorine cyclobutyl aryl aether block and uses thereof
JP5505371B2 (en) * 2010-06-01 2014-05-28 信越化学工業株式会社 Polymer compound, chemically amplified positive resist material, and pattern forming method
KR20140090595A (en) * 2011-09-06 2014-07-17 코넬 유니버시티 Block copolymers and lithographic patterning using same
TWI596119B (en) * 2013-12-06 2017-08-21 Lg化學股份有限公司 Block copolymer

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10227438B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
US10160822B2 (en) 2013-12-06 2018-12-25 Lg Chem, Ltd. Monomer and block copolymer
TWI596128B (en) * 2013-12-06 2017-08-21 Lg化學股份有限公司 Block copolymer
US10150832B2 (en) 2013-12-06 2018-12-11 Lg Chem, Ltd. Block copolymer
US10253130B2 (en) 2013-12-06 2019-04-09 Lg Chem, Ltd. Block copolymer
US10184021B2 (en) 2013-12-06 2019-01-22 Lg Chem, Ltd. Block copolymer
US10196475B2 (en) 2013-12-06 2019-02-05 Lg Chem, Ltd. Block copolymer
US10239980B2 (en) 2013-12-06 2019-03-26 Lg Chem, Ltd. Block copolymer
US10202481B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
US10227437B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
US10227436B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
US10087276B2 (en) 2013-12-06 2018-10-02 Lg Chem, Ltd. Block copolymer
US10081698B2 (en) 2013-12-06 2018-09-25 Lg Chem, Ltd. Block copolymer
US10196474B2 (en) 2013-12-06 2019-02-05 Lg Chem, Ltd. Block copolymer
US10240035B2 (en) 2014-09-30 2019-03-26 Lg Chem, Ltd. Block copolymer
US10281820B2 (en) 2014-09-30 2019-05-07 Lg Chem, Ltd. Block copolymer
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
US10287430B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Method of manufacturing patterned substrate
US10295908B2 (en) 2014-09-30 2019-05-21 Lg Chem, Ltd. Block copolymer
US10310378B2 (en) 2014-09-30 2019-06-04 Lg Chem, Ltd. Block copolymer
US10370529B2 (en) 2014-09-30 2019-08-06 Lg Chem, Ltd. Method of manufacturing patterned substrate
US10377894B2 (en) 2014-09-30 2019-08-13 Lg Chem, Ltd. Block copolymer
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
US10703897B2 (en) 2014-09-30 2020-07-07 Lg Chem, Ltd. Block copolymer

Also Published As

Publication number Publication date
KR101763008B1 (en) 2017-08-14
KR101780100B1 (en) 2017-09-19
KR101763009B1 (en) 2017-08-03
KR101832025B1 (en) 2018-02-23
KR20150066487A (en) 2015-06-16
KR20150067071A (en) 2015-06-17
TWI598368B (en) 2017-09-11
KR20150067069A (en) 2015-06-17
KR20150066488A (en) 2015-06-16
TW201538546A (en) 2015-10-16
KR20150067066A (en) 2015-06-17
KR101762487B1 (en) 2017-07-27
TW201538551A (en) 2015-10-16
KR101770882B1 (en) 2017-08-24
TW201534651A (en) 2015-09-16
KR101768291B1 (en) 2017-08-17
KR101780097B1 (en) 2017-09-19
KR101780099B1 (en) 2017-09-19
TW201536823A (en) 2015-10-01
TW201538552A (en) 2015-10-16
TWI596152B (en) 2017-08-21
TWI591085B (en) 2017-07-11
KR20150067070A (en) 2015-06-17
TWI596124B (en) 2017-08-21
KR20150067068A (en) 2015-06-17
KR20150067065A (en) 2015-06-17
KR101780098B1 (en) 2017-09-19
TWI596126B (en) 2017-08-21
TWI586692B (en) 2017-06-11
TW201536822A (en) 2015-10-01
KR101768289B1 (en) 2017-08-30
KR20150067067A (en) 2015-06-17
KR20150067073A (en) 2015-06-17
TW201536824A (en) 2015-10-01
KR101780101B1 (en) 2017-09-19
KR20150067074A (en) 2015-06-17
TWI596127B (en) 2017-08-21
TWI557173B (en) 2016-11-11
TW201602214A (en) 2016-01-16
TW201538547A (en) 2015-10-16
KR20150067072A (en) 2015-06-17
KR101763010B1 (en) 2017-08-03
TWI532780B (en) 2016-05-11
TWI596125B (en) 2017-08-21
TW201534652A (en) 2015-09-16
KR20150066486A (en) 2015-06-16
TW201536818A (en) 2015-10-01
TWI597300B (en) 2017-09-01
TW201538549A (en) 2015-10-16
KR20150067064A (en) 2015-06-17
KR101768290B1 (en) 2017-08-18
KR20150066489A (en) 2015-06-16
TWI586691B (en) 2017-06-11
KR101768288B1 (en) 2017-08-17
TW201538550A (en) 2015-10-16
TWI596119B (en) 2017-08-21
TWI596128B (en) 2017-08-21

Similar Documents

Publication Publication Date Title
TWI596125B (en) Block copolymer
JP6521974B2 (en) Block copolymer
JP6521975B2 (en) Block copolymer
JP6483694B2 (en) Monomers and block copolymers
JP6496318B2 (en) Block copolymer
KR101749417B1 (en) Block copolymer
EP3078687B1 (en) Block copolymer