TW201534651A - Block copolymer - Google Patents

Block copolymer Download PDF

Info

Publication number
TW201534651A
TW201534651A TW103142784A TW103142784A TW201534651A TW 201534651 A TW201534651 A TW 201534651A TW 103142784 A TW103142784 A TW 103142784A TW 103142784 A TW103142784 A TW 103142784A TW 201534651 A TW201534651 A TW 201534651A
Authority
TW
Taiwan
Prior art keywords
block
block copolymer
group
atom
chain
Prior art date
Application number
TW103142784A
Other languages
Chinese (zh)
Other versions
TWI532780B (en
Inventor
Je-Gwon Lee
Jung-Keun Kim
No-Jin Park
Sung-Soo Yoon
Original Assignee
Lg Chemical Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lg Chemical Ltd filed Critical Lg Chemical Ltd
Publication of TW201534651A publication Critical patent/TW201534651A/en
Application granted granted Critical
Publication of TWI532780B publication Critical patent/TWI532780B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F297/00Macromolecular compounds obtained by successively polymerising different monomer systems using a catalyst of the ionic or coordination type without deactivating the intermediate polymer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • C08F293/005Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule using free radical "living" or "controlled" polymerisation, e.g. using a complexing agent
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C217/00Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton
    • C07C217/78Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton
    • C07C217/80Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings
    • C07C217/82Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring
    • C07C217/84Compounds containing amino and etherified hydroxy groups bound to the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of six-membered aromatic rings of the same carbon skeleton having amino groups and etherified hydroxy groups bound to carbon atoms of non-condensed six-membered aromatic rings of the same non-condensed six-membered aromatic ring the oxygen atom of at least one of the etherified hydroxy groups being further bound to an acyclic carbon atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C35/00Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a ring other than a six-membered aromatic ring
    • C07C35/48Halogenated derivatives
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/20Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring
    • C07C43/215Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring having unsaturation outside the six-membered aromatic rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/20Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring
    • C07C43/225Ethers having an ether-oxygen atom bound to a carbon atom of a six-membered aromatic ring containing halogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D209/00Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D209/02Heterocyclic compounds containing five-membered rings, condensed with other rings, with one nitrogen atom as the only ring hetero atom condensed with one carbocyclic ring
    • C07D209/44Iso-indoles; Hydrogenated iso-indoles
    • C07D209/48Iso-indoles; Hydrogenated iso-indoles with oxygen atoms in positions 1 and 3, e.g. phthalimide
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/16Halogens
    • C08F12/20Fluorine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/22Oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F12/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by hetero atoms or groups containing heteroatoms
    • C08F12/26Nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F12/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F12/02Monomers containing only one unsaturated aliphatic radical
    • C08F12/32Monomers containing only one unsaturated aliphatic radical containing two or more rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/08Styrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/12Monomers containing a branched unsaturated aliphatic radical or a ring substituted by an alkyl radical
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/16Halogens
    • C08F212/20Fluorine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/26Nitrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/32Monomers containing only one unsaturated aliphatic radical containing two or more rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F216/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical
    • C08F216/12Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical by an ether radical
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • C08F220/301Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety and one oxygen in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/0427Coating with only one layer of a composition containing a polymer binder
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/12Chemical modification
    • C08J7/123Treatment by wave energy or particle radiation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/12Chemical modification
    • C08J7/14Chemical modification with acids, their salts or anhydrides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D153/00Coating compositions based on block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Coating compositions based on derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00428Etch mask forming processes not provided for in groups B81C1/00396 - B81C1/0042
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C2601/00Systems containing only non-condensed rings
    • C07C2601/12Systems containing only non-condensed rings with a six-membered ring
    • C07C2601/16Systems containing only non-condensed rings with a six-membered ring the ring being unsaturated
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/30Esters containing oxygen in addition to the carboxy oxygen containing aromatic rings in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2438/00Living radical polymerisation
    • C08F2438/03Use of a di- or tri-thiocarbonylthio compound, e.g. di- or tri-thioester, di- or tri-thiocarbamate, or a xanthate as chain transfer agent, e.g . Reversible Addition Fragmentation chain Transfer [RAFT] or Macromolecular Design via Interchange of Xanthates [MADIX]
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2353/00Characterised by the use of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Derivatives of such polymers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Emergency Medicine (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Graft Or Block Polymers (AREA)

Abstract

The present application provides the block copolymers and their application. The block copolymer has an excellent self assembling property and phase separation and various required functions can be freely applied thereto as necessary.

Description

嵌段共聚物 Block copolymer

本申請案係關於嵌段共聚物。 This application is directed to block copolymers.

嵌段共聚物具有化學結構彼此不同的聚合物 次單元藉共價鍵彼此連接之分子結構。嵌段共聚物能夠經由相分離而形成週期性排列的結構,如球、圓筒或層物。藉嵌段共聚物之自組裝而形成之結構的區域(domain)尺寸可於寬範圍調整,並可製成各種結構形狀。因此,彼等可用於藉微影術而形成圖案的方法、各種磁性記錄介質或新一代奈米裝置(如金屬點、量子點或奈米線)、高密度磁性儲存介質等。 Block copolymers have polymers with different chemical structures The molecular structure in which the subunits are linked to each other by covalent bonds. The block copolymers are capable of forming periodically arranged structures, such as spheres, cylinders or layers, via phase separation. The size of the domain formed by the self-assembly of the block copolymer can be adjusted over a wide range and can be made into various structural shapes. Therefore, they can be used for a method of forming a pattern by lithography, various magnetic recording media or a new generation of nanodevices (such as metal dots, quantum dots or nanowires), high-density magnetic storage media, and the like.

本申請案提供嵌段共聚物和其應用。 This application provides block copolymers and their use.

除非另外界定,否則文中所用“烷基”是指具1至20,1至16,1至12,1至8,或1至4個碳原子的烷基。烷基可具有直鏈、支鏈或環狀結構,且可任意地經至少一個取代基取代。 "Alkyl" as used herein, unless otherwise defined, refers to an alkyl group having from 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms. The alkyl group may have a linear, branched or cyclic structure, and may be optionally substituted with at least one substituent.

除非另外界定,否則文中所用“烷氧基”是指具1至20,1至16,1至12,1至8,或1至4個碳原子的烷氧基。烷氧基可具有直鏈、支鏈或環狀結構,且可任意地經至少一個取代基取代。 "Alkoxy" as used herein, unless otherwise defined, refers to an alkoxy group having from 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms. The alkoxy group may have a linear, branched or cyclic structure, and may be optionally substituted with at least one substituent.

除非另外界定,否則文中所用“烯基或炔基”是指具2至20,2至16,2至12,2至8,或2至4個碳原子的烯基或炔基。烯基或炔基可具有直鏈、支鏈或環狀結構,且可任意地經至少一個取代基取代。 "Alkenyl or alkynyl" as used herein, unless otherwise defined, refers to an alkenyl or alkynyl group having 2 to 20, 2 to 16, 2 to 12, 2 to 8, or 2 to 4 carbon atoms. The alkenyl or alkynyl group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

除非另外界定,否則文中所用“伸烷基”是指具1至20,1至16,1至12,1至8,或1至4個碳原子的伸烷基。伸烷基可具有直鏈、支鏈或環狀結構,且可任意地經至少一個取代基取代。 "Alkylalkyl" as used herein, unless otherwise defined, refers to an alkylene group having from 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms. The alkylene group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

除非另外界定,否則文中所用“伸烯基(alkenylene)或伸炔基(alkynylene)”是指具2至20,2至16,2至12,2至8,或2至4個碳原子的伸烯基或伸炔基。伸烯基或伸炔基可具有直鏈、支鏈或環狀結構,且可任意地經至少一個取代基取代。 Unless otherwise defined, "alkenylene or alkynylene" as used herein refers to a stretch of 2 to 20, 2 to 16, 2 to 12, 2 to 8, or 2 to 4 carbon atoms. Alkenyl or alkynyl. The alkenyl group or the alkynyl group may have a linear, branched or cyclic structure and may be optionally substituted with at least one substituent.

除非另外界定,否則文中所用“芳基或伸芳基(arylene)”是指自包括一個苯環結構的化合物、或包括至少兩個苯環以共享的一或兩個碳原子或藉任意的連接物連 接之結構的化合物,或此化合物之衍生物,所衍生的單價或二價取代基。除非另外界定,否則芳基或伸芳基可為具6至30,6至25,6至21,6至18,或6至13個碳原子的芳基。 "Arylene or arylene" as used herein, unless otherwise defined, means a compound comprising one benzene ring structure, or one or two carbon atoms shared by at least two benzene rings or by any linkage. Material company A monovalent or divalent substituent derived from a compound of the structure, or a derivative of the compound. Unless otherwise defined, an aryl or an aryl group can be an aryl group having 6 to 30, 6 to 25, 6 to 21, 6 to 18, or 6 to 13 carbon atoms.

文中所用“芳族結構”可指芳基或伸芳基。 As used herein, "aromatic structure" may mean aryl or aryl.

除非另外界定,否則文中所用“脂環族結構”是指非芳環結構的環狀烴結構。除非另外界定,否則脂環族結構可為具3至30,3至25,3至21,3至18,或3至13個碳原子的結構。 Unless otherwise defined, "alicyclic structure" as used herein refers to a cyclic hydrocarbon structure that is not aromatic ring structure. Unless otherwise defined, the alicyclic structure may be a structure having 3 to 30, 3 to 25, 3 to 21, 3 to 18, or 3 to 13 carbon atoms.

文中所用“單鍵”可指在相應位置沒有原子的情況。例如,“A-B-C”所示結構中的“B”是單鍵的情況,意謂“B”位置沒有原子並因而藉“A”直接連接至“C”而形成“A-C”所示結構。 As used herein, "single bond" can refer to the absence of an atom at the corresponding position. For example, the case where "B" in the structure shown by "A-B-C" is a single bond means that the "B" position has no atom and thus is directly connected to "C" by "A" to form a structure represented by "A-C".

可任意地取代烷基、烯基、炔基、伸烷基、伸烯基、伸炔基、烷氧基、芳基、伸芳基、鏈、芳族結構等之取代基可為羥基、鹵原子、羧基、環氧丙基、丙烯醯基、甲基丙烯醯基、丙烯醯氧基、甲基丙烯醯氧基、硫基、烷基、烯基、炔基、伸烷基、伸烯基、伸炔基、烷氧基或芳基,但不限於此。 The substituent which may be optionally substituted for an alkyl group, an alkenyl group, an alkynyl group, an alkylene group, an alkenyl group, an alkynyl group, an alkoxy group, an aryl group, an aryl group, a chain, an aromatic structure or the like may be a hydroxyl group or a halogen. Atom, carboxyl group, epoxypropyl group, propylene fluorenyl group, methacryl fluorenyl group, acryloxy group, methacryloxy group, thio group, alkyl group, alkenyl group, alkynyl group, alkylene group, alkenyl group And an alkynyl group, an alkoxy group or an aryl group, but is not limited thereto.

一個具體實施例中,提供具有新穎結構且能夠形成嵌段共聚物之以下的式1所示單體。 In a specific embodiment, a monomer of the following formula 1 having a novel structure and capable of forming a block copolymer is provided.

式1中,R是氫或烷基,X是單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-。其中,X1可為氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,而Y可為包括具8或更多個成鏈原子的鏈連接之環狀結構的單價取代基。 In Formula 1, R is hydrogen or an alkyl group, and X is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, an alkylene group, an alkenyl group, an alkynyl group, and -C(=O). ) -X 1 - or -X 1 -C(=O)-. Wherein X 1 may be an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an extended alkenyl group or an alkynyl group, and Y may be a chain linkage comprising 8 or more chain-forming atoms. A monovalent substituent of the cyclic structure.

另一具體實施例中,式1中,X可為單鍵、氧原子、羰基、-C(=O)-O-或-O-C(=O)-;或X可為-C(=O)-O-,但不限於此。 In another specific embodiment, in Formula 1, X may be a single bond, an oxygen atom, a carbonyl group, -C(=O)-O- or -OC(=O)-; or X may be -C(=O) -O-, but not limited to this.

式1中,單價取代基Y包括由至少8個成鏈原子形成的鏈結構。 In Formula 1, the monovalent substituent Y includes a chain structure formed of at least 8 chain-forming atoms.

文中所用“成鏈原子”是指形成某鏈的直鏈結構之原子。此鏈可具有直鏈或支鏈結構;但是,成鏈原子的數目僅以形成最長直鏈的原子數計算。因此,其他原子如,在成鏈原子是碳原子的情況中,連接至碳原子的氫原子等等並未計入成鏈原子數。此外,在支鏈的情況中,成鏈原子數是形成最長鏈的原子數。例如,鏈為正戊基,所有的成鏈原子是碳原子且其數目為5。若鏈是2-甲基戊基,所有的成鏈原子亦為碳原子且其數目是5。成鏈原子可為碳、氧、硫或氮等且適當的成鏈原子可為碳、氧或 氮;或碳或氧。成鏈原子的數目可為8或更高,9或更高,10或更高,11或更高,或12或更高。成鏈原子數可為30或更低,25或更低,20或更低,或16或更低。 As used herein, "chained atom" refers to an atom that forms a linear structure of a chain. This chain may have a linear or branched structure; however, the number of chained atoms is calculated only by the number of atoms forming the longest straight chain. Therefore, in the case where other atoms are, for example, in the case where the chain-forming atom is a carbon atom, a hydrogen atom or the like which is bonded to the carbon atom is not counted as the number of chain atoms. Further, in the case of branching, the number of chain atoms is the number of atoms forming the longest chain. For example, the chain is a n-pentyl group, all of the chain-forming atoms are carbon atoms and the number is five. If the chain is a 2-methylpentyl group, all of the chain-forming atoms are also carbon atoms and the number is 5. The chain-forming atoms may be carbon, oxygen, sulfur or nitrogen, and the appropriate chain-forming atoms may be carbon, oxygen or Nitrogen; or carbon or oxygen. The number of chain-forming atoms may be 8 or higher, 9 or higher, 10 or higher, 11 or higher, or 12 or higher. The number of chain atoms may be 30 or lower, 25 or lower, 20 or lower, or 16 or lower.

當式1化合物形成嵌段共聚物時,因為鏈的存在,嵌段共聚物可展現極佳的自組裝性(self-assembly property)。 When the compound of Formula 1 forms a block copolymer, the block copolymer can exhibit excellent self-assembly properties due to the presence of the chain.

一個具體實施例中,鏈可為直鏈烴鏈,如直鏈烷基。此情況中,烷基可為具8或更多,8至30,8至25,8至20,或8至16個碳原子的烷基。烷基的至少一個碳原子可任意地經氧原子取代,且烷基的至少一個氫原子可任意地經另一取代基取代。 In a particular embodiment, the chain can be a linear hydrocarbon chain, such as a linear alkyl group. In this case, the alkyl group may be an alkyl group having 8 or more, 8 to 30, 8 to 25, 8 to 20, or 8 to 16 carbon atoms. At least one carbon atom of the alkyl group may be optionally substituted with an oxygen atom, and at least one hydrogen atom of the alkyl group may be optionally substituted with another substituent.

式1中,Y可包括環狀結構。鏈可連接至環狀結構。由化合物形成之嵌段共聚物的自組裝性可因為環狀結構而獲進一步改良。環狀結構可為芳族結構或脂環族結構。 In Formula 1, Y may include a cyclic structure. The chain can be attached to a ring structure. The self-assembly of the block copolymer formed of the compound can be further improved by the cyclic structure. The cyclic structure may be an aromatic structure or an alicyclic structure.

鏈可直接連接至環狀結構或可經由連接物連接至環狀結構。作為連接物的例子可為,氧原子、硫原子、-NR1-、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-。其中,R1可為氫、烷基、烯基、炔基、烷氧基或芳基且X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,其中,R2可為氫、烷基、烯基、炔基、烷氧基或芳基。適當的連接物可為氧原子或氮原子。例如,鏈可經由氧原子或氮原子連接至芳族結構。此情況中,此連接物可為氧原子或 -NR1-,其中,R1可為氫、烷基、烯基、炔基、烷氧基或芳基。 The chain can be attached directly to the ring structure or can be attached to the ring structure via a linker. As an example of the linker, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, a carbonyl group, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 -C(=O)-. Wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl and X 1 may be a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O) 2 - And an alkylene group, an alkenyl group or an alkynyl group, wherein R 2 may be hydrogen, an alkyl group, an alkenyl group, an alkynyl group, an alkoxy group or an aryl group. A suitable linker can be an oxygen atom or a nitrogen atom. For example, a chain can be attached to an aromatic structure via an oxygen or nitrogen atom. In this case, the linker may be an oxygen atom or -NR 1 -, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl.

一個具體實施例中,式1的Y可為由以下的式2表示。 In a specific embodiment, Y of Formula 1 may be represented by Formula 2 below.

式2中,P可為伸芳基,Q可為單鍵、氧原子或-NR3-,其中,R3可為氫、烷基、烯基、炔基、烷氧基或芳基,而Z可為具至少8個成鏈原子的鏈。在式1的Y是式2的取代基的情況中,式2的P可直接連接至式1的X。 In Formula 2, P may be an extended aryl group, and Q may be a single bond, an oxygen atom or -NR 3 -, wherein R 3 may be hydrogen, an alkyl group, an alkenyl group, an alkynyl group, an alkoxy group or an aryl group, and Z can be a chain having at least 8 chain-forming atoms. In the case where Y of Formula 1 is a substituent of Formula 2, P of Formula 2 may be directly bonded to X of Formula 1.

式2中,適當的P可為具6至12個碳原子的伸芳基,如伸苯基,但不限於此。 In Formula 2, a suitable P may be an extended aryl group having 6 to 12 carbon atoms, such as a phenyl group, but is not limited thereto.

式2中,適當的Q可為氧原子或-NR1-,其中,R1可為氫、烷基、烯基、炔基、烷氧基或芳基。 In Formula 2, a suitable Q may be an oxygen atom or -NR 1 -, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl.

作為式1之單體之適當的具體實施例,可例示者為,式1單體中的R為氫原子或烷基;或氫原子或具1至4個碳原子的烷基,X為-C(=O)-O-且Y為式2的取代基,其中,P是具6至12個碳原子的伸芳基或伸苯基,Q是氧原子且Z是具8或更多個成鏈原子的鏈。 As a suitable specific example of the monomer of Formula 1, it is exemplified that R in the monomer of Formula 1 is a hydrogen atom or an alkyl group; or a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, and X is - C(=O)-O- and Y is a substituent of the formula 2, wherein P is an extended aryl group having 6 to 12 carbon atoms or a phenyl group, Q is an oxygen atom and Z is 8 or more A chain of chains of atoms.

因此,作為適當的具體實施例,可例如以下的式3之單體。 Thus, as a suitable embodiment, a monomer of the following formula 3 can be used.

式3中,R是氫原子或具1至4個碳原子的烷基,X是-C(=O)-O-,P是具6至12個碳原子的伸芳基,Q是氧原子,Z是前述具8或更多個成鏈原子的鏈。 In Formula 3, R is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, X is -C(=O)-O-, P is an extended aryl group having 6 to 12 carbon atoms, and Q is an oxygen atom. Z is the aforementioned chain having 8 or more chain-forming atoms.

本申請案的另一具體實施例係關於製造嵌段共聚物之方法,其包含藉單體之聚合而形成嵌段之步驟。 Another embodiment of the present application is directed to a method of making a block copolymer comprising the step of forming a block by polymerization of a monomer.

未特別限制製造嵌段共聚物之具體方法,只要其包含藉由使用前述單體以形成嵌段共聚物的至少一嵌段的步驟即可。 The specific method of producing the block copolymer is not particularly limited as long as it comprises a step of forming at least one block of the block copolymer by using the aforementioned monomer.

例如,可藉活性自由基聚合反應(LRP)使用單體製造嵌段共聚物。例如,方法為如陰離子聚合反應,其中,在無機酸鹽(如鹼金屬鹽或鹼土金屬鹽)存在下,合成嵌段共聚物,此使用有機稀土金屬錯合物或有機鹼金屬化合物作為聚合反應引發劑;陰離子聚合反應,其中,在有機鋁化合物存在下,合成嵌段共聚物,此使用有機鹼金屬化合物作為聚合反應引發劑;原子轉移自由基聚合反應(ATRP),此使用原子轉移自由基聚合劑作為聚合反應控制劑;電子轉移再生活化劑(ATGET)之ATRP,在產生電子的有機或無機還原劑存在下進行聚合反應,使用原子轉移自由基聚合反應劑作為聚合反應控制劑;引發劑持續再生活化劑(ICAR)之ATRP;可逆加成-開環鏈轉移(RAFT)聚 合反應,其使用無機還原劑可逆加成-開環鏈轉移劑;及使用有機碲化合物作為引發劑之方法,適當的方法可選自以上方法。 For example, a block copolymer can be produced using a living radical polymerization (LRP) using a monomer. For example, the method is, for example, an anionic polymerization in which a block copolymer is synthesized in the presence of a mineral acid salt such as an alkali metal salt or an alkaline earth metal salt, and an organic rare earth metal complex or an organic alkali metal compound is used as a polymerization reaction. An initiator polymerization method in which a block copolymer is synthesized in the presence of an organoaluminum compound, which uses an organic alkali metal compound as a polymerization initiator; atom transfer radical polymerization (ATRP), which uses atom transfer radicals a polymerization agent as a polymerization control agent; an ATRP of an electron transfer regeneration activator (ATGET), a polymerization reaction in the presence of an electron-generating organic or inorganic reducing agent, and an atom transfer radical polymerization agent as a polymerization reaction controlling agent; ATRP of continuous regeneration activator (ICAR); reversible addition-open chain transfer (RAFT) polymerization The reaction is carried out by using a reductive addition-opening chain transfer agent of an inorganic reducing agent; and a method of using an organic hydrazine compound as an initiator, and a suitable method may be selected from the above methods.

一個具體實施例中,製造嵌段共聚物的方法可包括在自由基引發劑和活性自由基聚合反應劑存在下,藉活性自由基聚合反應,將包含能夠形成嵌段的單體之材料加以聚合。 In a specific embodiment, the method of producing a block copolymer may comprise polymerizing a material comprising a monomer capable of forming a block by living radical polymerization in the presence of a radical initiator and a living radical polymerization agent. .

在嵌段共聚物之製造中,未特別限制用於形成嵌段共聚物中所含括之其他嵌段的方法及藉以上單體形成之嵌段,此其他嵌段可藉由考量待形成的嵌段種類以選擇適當的單體而形成。 In the manufacture of the block copolymer, a method for forming other blocks included in the block copolymer and a block formed by the above monomers are not particularly limited, and the other blocks can be considered by formation The block species are formed by selecting an appropriate monomer.

製造嵌段共聚物之方法可進一步包括令藉前述方法製得的聚合產物沉澱於非溶劑(non-solvent)中。 The method of producing a block copolymer may further comprise precipitating a polymerization product obtained by the foregoing method in a non-solvent.

可考量聚合效能而適當地選擇自由基引發劑的種類,並無特別限制,且可以使用偶氮化合物(如偶氮雙異丁腈(AIBN)或2,2’-偶氮雙-(2,4-二甲基戊腈))、或過氧化物化合物(如苄醯基過氧化物(BPO)或二-三級丁基過氧化物(DTBP))。 The kind of the radical initiator can be appropriately selected in consideration of the polymerization efficiency, and is not particularly limited, and an azo compound such as azobisisobutyronitrile (AIBN) or 2,2'-azobis-(2, 4-Dimethylvaleronitrile)), or a peroxide compound (such as benzalkonium peroxide (BPO) or di-tertiary butyl peroxide (DTBP)).

LRP可在溶劑(如二氯甲烷、1,2-二氯乙烷、氯苯、二氯苯、苯、甲苯、丙酮、氯仿、四氫呋喃、二烷、單甘醇二甲醚(monoglyme)、二甘醇二甲醚(diglyme)、二甲基甲醯胺、二甲亞碸或二甲基乙醯胺)中進行。 LRP can be used in solvents (such as dichloromethane, 1,2-dichloroethane, chlorobenzene, dichlorobenzene, benzene, toluene, acetone, chloroform, tetrahydrofuran, two It is carried out in an alkane, monoglyme, diglyme, dimethylformamide, dimethylhydrazine or dimethylacetamide.

作為非溶劑,例如,可以無限制地使用醇(如甲醇、乙醇、正丙醇或異丙醇)、二醇(如乙二醇)、或醚化 合物(如正己烷、環己烷、正庚烷或石油醚)。 As the non-solvent, for example, an alcohol (such as methanol, ethanol, n-propanol or isopropanol), a diol (such as ethylene glycol), or etherification can be used without limitation. a compound such as n-hexane, cyclohexane, n-heptane or petroleum ether.

本申請案的另一具體實施例係關於包括藉由使用單體而形成的嵌段(下文中,可稱為第一嵌段)之嵌段共聚物。 Another specific embodiment of the present application relates to a block copolymer comprising a block formed by using a monomer (hereinafter, may be referred to as a first block).

此嵌段可由,例如,式4表示。 This block can be represented by, for example, Formula 4.

式4中,R、X和Y可與前述關於式1的R、X和Y所述者相同。 In Formula 4, R, X and Y may be the same as those described above for R, X and Y of Formula 1.

因此,式4中,R可為氫或具1至4個碳原子的烷基,X可為單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,X1可為氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,而Y可為單價取代基,其包含具有8或更多個成鏈原子的鏈連接之環狀結構。至於以上各取代基的具體種類,之前的描述可以相同方式施用。 Therefore, in Formula 4, R may be hydrogen or an alkyl group having 1 to 4 carbon atoms, and X may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, an alkyl group, or a stretch. Alkenyl, alkynyl, -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 may be an oxygen atom, a sulfur atom, -S(=O) 2 -, An alkyl group, an alkenyl group or an alkynyl group, and Y may be a monovalent substituent comprising a chain-linked cyclic structure having 8 or more chain-forming atoms. As for the specific kind of each of the above substituents, the foregoing description can be applied in the same manner.

一個具體實施例中,第一嵌段可為式4的嵌段,其中,R是氫或烷基;或氫或具1至4個碳原子的烷基,X是-C(=O)-O-,Y是式2所示的取代基。可將此嵌段稱為1A嵌段,但不限於此。此嵌段可由以下的式5表 示。 In a particular embodiment, the first block can be a block of formula 4, wherein R is hydrogen or an alkyl group; or hydrogen or an alkyl group having from 1 to 4 carbon atoms, and X is -C(=O)- O-, Y is a substituent represented by Formula 2. This block may be referred to as a 1A block, but is not limited thereto. This block can be represented by the following formula 5 Show.

式5中,R可為氫原子或具1至4個碳原子的烷基,X可為單鍵、氧原子、-C(=O)-O-或-O-C(=O)-,P可為伸芳基,Q可為氧原子或-NR3-,其中,R3可為氫、烷基、烯基、炔基、烷氧基或芳基,Z是具8或更多成鏈原子的鏈。另一具體實施例中,式5的Q可為氧原子。 In Formula 5, R may be a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, and X may be a single bond, an oxygen atom, -C(=O)-O- or -OC(=O)-, P may be Is an aryl group, Q may be an oxygen atom or -NR 3 -, wherein R 3 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and Z is a chain atom having 8 or more Chain. In another embodiment, Q of Formula 5 can be an oxygen atom.

另一具體實施例中,第一嵌段可為藉式6所示的嵌段。文中可將此第一嵌段稱為1B嵌段。 In another embodiment, the first block can be a block represented by Formula 6. This first block can be referred to herein as a 1B block.

式6中,R1和R2可以各自獨立地為氫或具1至4個碳原子的烷基,X可為單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,X1可為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,T可為單鍵或伸芳基,Q可為單鍵或羰基且Y可為具有至少8個成鏈原子的鏈。 In Formula 6, R 1 and R 2 may each independently be hydrogen or an alkyl group having 1 to 4 carbon atoms, and X may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, An alkyl group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 may be a single bond, an oxygen atom, a sulfur atom, S(=O) 2 -, alkylene, alkenyl or alkynyl, T may be a single bond or an aryl group, Q may be a single bond or a carbonyl group and Y may be a chain having at least 8 chain atoms .

式6的1B嵌段中,X可為單鍵、氧原子、羰基、-C(=O)-O-或-O-C(=O)-。 In the 1B block of Formula 6, X may be a single bond, an oxygen atom, a carbonyl group, -C(=O)-O- or -O-C(=O)-.

作為1B嵌段中之鏈Y的特別具體實施例,以上關於式1之描述可以類似方式施用至彼。 As a specific embodiment of the chain Y in the 1B block, the above description regarding Formula 1 can be applied to the same in a similar manner.

另一具體實施例中,第一嵌段可為藉式4至6中之至少一者所示的嵌段,其中,具8或更多個成鏈原子之鏈的至少一個成鏈原子的陰電性是3或更高。另一具體實施例中,成鏈原子的陰電性可為3.7或更低。此處,可將此嵌段稱為1C嵌段。陰電性為3或更高的原子的例子可為氮原子或氧原子,但不限於此。 In another embodiment, the first block may be a block represented by at least one of Formulas 4 to 6, wherein at least one of the chain atoms having 8 or more chains of chain atoms is negative. The electrical property is 3 or higher. In another embodiment, the chain-forming atoms may have an electronegativity of 3.7 or less. Here, this block can be referred to as a 1C block. An example of the atom having an anion of 3 or more may be a nitrogen atom or an oxygen atom, but is not limited thereto.

未特別限制與第一嵌段(如1A、1B或1C嵌段)一併含括於嵌段共聚物中之另一嵌段(下文中可稱為第二嵌段)的類型。 The type of another block (hereinafter may be referred to as a second block) included in the block copolymer together with the first block (such as the 1A, 1B or 1C block) is not particularly limited.

例如,第二嵌段可為聚乙烯吡咯啶酮嵌段、聚乳酸嵌段、聚乙烯吡啶嵌段、聚苯乙烯嵌段(如聚苯乙烯嵌段或聚三甲基矽基苯乙烯)、聚環氧烷(polyalkyleneoxide)嵌段(如聚環氧乙烷嵌段)或聚烯烴嵌段 (如聚乙烯嵌段或聚異戊二烯嵌段或聚丁二烯嵌段)。可將此處所用嵌段稱為2A嵌段。 For example, the second block may be a polyvinylpyrrolidone block, a polylactic acid block, a polyvinylpyridine block, a polystyrene block (such as a polystyrene block or polytrimethyldecyl styrene), Polyalkylene oxide block (such as polyethylene oxide block) or polyolefin block (such as polyethylene block or polyisoprene block or polybutadiene block). The block used herein may be referred to as a 2A block.

一個具體實施例中,與第一嵌段(如1A、1B或1C嵌段)一起含括於嵌段共聚物中之第二嵌段可為包括包含至少一個鹵原子的芳族結構之嵌段。 In a specific embodiment, the second block included in the block copolymer together with the first block (such as the 1A, 1B or 1C block) may be a block comprising an aromatic structure comprising at least one halogen atom. .

此第二嵌段可以,例如,由以下的式7表示且可稱為2B嵌段。 This second block may, for example, be represented by the following formula 7 and may be referred to as a 2B block.

式7中,B可為具有包括至少一個鹵原子的芳族結構之單價取代基。 In Formula 7, B may be a monovalent substituent having an aromatic structure including at least one halogen atom.

此第二嵌段可以有效地與前述第一嵌段作用,使得嵌段共聚物具有極佳的自組裝特性。 This second block can effectively interact with the aforementioned first block, so that the block copolymer has excellent self-assembly characteristics.

式7的芳族結構可為,例如,具6至18或6至12個碳原子的芳族結構。 The aromatic structure of Formula 7 may be, for example, an aromatic structure having 6 to 18 or 6 to 12 carbon atoms.

此外,式7中所包括的鹵原子可為,但不限於,氟原子或氯原子,且適當地為氟原子。 Further, the halogen atom included in the formula 7 may be, but not limited to, a fluorine atom or a chlorine atom, and is suitably a fluorine atom.

一個具體實施例中,式7的B可為具有具6至12個碳原子之芳族結構的單價取代基,其經1或更多、2或更多、3或更多、4或更多、或5或更多個鹵原子取代。未特別限制鹵原子數的上限,但可為10或更少、9或更少、8或更少、7或更少、或6或更少個鹵原子。 In a specific embodiment, B of Formula 7 can be a monovalent substituent having an aromatic structure having 6 to 12 carbon atoms, which is 1 or more, 2 or more, 3 or more, 4 or more , or substituted with 5 or more halogen atoms. The upper limit of the number of halogen atoms is not particularly limited, but may be 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

例如,式7所示的嵌段,其為2B嵌段,可由以下的式8表示。 For example, the block represented by Formula 7 which is a 2B block can be represented by the following Formula 8.

式8中,X2可為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,X1是單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,而W可為經至少一個鹵原子取代的芳基。以上,W可為芳基,其經至少一個鹵原子取代,例如,具6至12個碳原子且經2或更多、3或更多、4或更多、或5或更多個鹵原子取代的芳基。 In Formula 8, X 2 may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or - X 1 -C(=O)-, wherein X 1 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an extended alkenyl group or an alkynyl group, and W is a An aryl group substituted with at least one halogen atom. Above, W may be an aryl group substituted with at least one halogen atom, for example, having 6 to 12 carbon atoms and having 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms Substituted aryl.

2B嵌段可以,例如,由以下的式9表示。 The 2B block can be, for example, represented by the following formula 9.

式9中,X2可為單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,X1是單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,而R1至R5可以各自獨立地為氫、烷基、鹵烷基或鹵原子。R1至R5中所含括的鹵原子數為1或更多。 In Formula 9, X 2 may be a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or - X 1 -C(=O)-, wherein X 1 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an extended alkenyl group or an alkynyl group, and R 1 to R 5 may each independently be a hydrogen, an alkyl group, a haloalkyl group or a halogen atom. The number of halogen atoms included in R 1 to R 5 is 1 or more.

式9中,另一具體實施例中,X2可為單鍵、氧原子、伸烷基、-C(=O)-O-或-O-C(=O)-。 In another embodiment, in the formula 9, X 2 may be a single bond, an oxygen atom, an alkylene group, -C(=O)-O- or -OC(=O)-.

式9中,R1至R5可以各自獨立地為氫、烷基、鹵烷基或鹵原子,且R1至R5可包括1或更多、2或更多、3或更多、4或更多、或5或更多個鹵原子,如氟原子。R1至R5所包括的鹵原子(如氟原子)數可為,例如、10或更少、9或更少、8或更少、7或更少、6或更少。 In Formula 9, R 1 to R 5 may each independently be a hydrogen, an alkyl group, a haloalkyl group or a halogen atom, and R 1 to R 5 may include 1 or more, 2 or more, 3 or more, 4 Or more, or 5 or more halogen atoms, such as a fluorine atom. The number of halogen atoms (e.g., fluorine atoms) included in R 1 to R 5 may be, for example, 10 or less, 9 or less, 8 or less, 7 or less, 6 or less.

一個具體實施例中,第二嵌段可為式10所示的嵌段。可將此處所用的此嵌段稱為2C嵌段。 In a particular embodiment, the second block can be a block of formula 10. This block used herein may be referred to as a 2C block.

式10中,T和K可以各自獨立地為氧原子或單鍵,U可為伸烷基。 In Formula 10, T and K may each independently be an oxygen atom or a single bond, and U may be an alkylene group.

一個具體實施例中,2C嵌段中,式10的U可為具1至20,1至16,1至12,1至8或1至4個碳原子的伸烷基。 In a particular embodiment, in the 2C block, U of Formula 10 can be an alkylene group having from 1 to 20, from 1 to 16, from 1 to 12, from 1 to 8, or from 1 to 4 carbon atoms.

另一具體實施例中,2C嵌段可為式10的嵌段,其中,式10的T和K中之一者為單鍵,式10的T和K中之另一者是氧原子。以上嵌段中,U可為具1至20,1至16,1至12,1至8或1至4個碳原子的伸烷基。 In another embodiment, the 2C block can be a block of Formula 10, wherein one of T and K of Formula 10 is a single bond, and the other of T and K of Formula 10 is an oxygen atom. In the above block, U may be an alkylene group having 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms.

又另一具體實施例中,2C嵌段可為式10的嵌段,式10中的T和K二者是氧原子。以上嵌段中,U可為具1至20,1至16,1至12,1至8或1至4個碳原子的伸烷基。 In still another embodiment, the 2C block can be a block of Formula 10, and both T and K in Formula 10 are oxygen atoms. In the above block, U may be an alkylene group having 1 to 20, 1 to 16, 1 to 12, 1 to 8, or 1 to 4 carbon atoms.

又另一具體實施例中,第二嵌段可為包括至少一個金屬原子或類金屬(metalloid)原子的嵌段。可將此嵌段稱為2D嵌段。當以例如,包括自組裝的嵌段共聚物之膜進行蝕刻製程時,此嵌段可改良蝕刻選擇性。 In still another embodiment, the second block can be a block comprising at least one metal atom or a metalloid atom. This block can be referred to as a 2D block. This block can improve the etch selectivity when the etching process is performed, for example, with a film comprising a self-assembled block copolymer.

2D嵌段中的金屬原子或類金屬原子可為矽原子、鐵原子或硼原子,但無特別限制,只要其可因為與嵌段共聚物中之另一原子的差異而展現適當的蝕刻選擇性即可。 The metal atom or metalloid atom in the 2D block may be a ruthenium atom, an iron atom or a boron atom, but is not particularly limited as long as it exhibits appropriate etch selectivity due to a difference from another atom in the block copolymer. Just fine.

2D嵌段可包括1或更多,2或更多,3或更多,4或更多,或5或更多個鹵原子,例如,氟原子,及金屬或類金屬原子。2D嵌段可包括10或更少,9或更少,8或更少,7或更少,或6或更少個鹵原子,如氟原子。 The 2D block may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms, for example, a fluorine atom, and a metal or metalloid atom. The 2D block may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms such as a fluorine atom.

2D嵌段可藉式11表示。 The 2D block can be represented by Formula 11.

式11中,B可為具有包括鹵原子之芳族結構的單價取代基和具有金屬原子或類金屬原子的取代基。 In Formula 11, B may be a monovalent substituent having an aromatic structure including a halogen atom and a substituent having a metal atom or a metaloid-like atom.

式11的芳族結構可為具6至12個碳原子的芳族結構,例如,芳基或伸芳基。 The aromatic structure of Formula 11 may be an aromatic structure having 6 to 12 carbon atoms, for example, an aryl group or an aryl group.

式11的2D嵌段可由以下的式12表示。 The 2D block of Formula 11 can be represented by Formula 12 below.

式12中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,R1是氫、烷基、烯基、炔基、烷氧基或芳基,X1是單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,而W可為包括至少一個鹵原子的芳基和包括金屬原子或類金屬原子的取代基。 In Formula 12, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)- X 1 - or -X 1 -C(=O)-, wherein R 1 is hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 is a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and W may be an aryl group including at least one halogen atom and a substituent including a metal atom or a metalloid atom.

其中,W可為具6至12個碳原子並包括至少一個鹵原子和包含金屬原子或類金屬原子之取代基的芳基。 Wherein W may be an aryl group having 6 to 12 carbon atoms and including at least one halogen atom and a substituent containing a metal atom or a metalloid atom.

芳基可包括至少一或1至3個包含金屬原子或類金屬原子的取代基,和1或更多、2或更多、3或更多、4或更多、或5或更多個鹵原子。 The aryl group may include at least one or 1 to 3 substituents containing a metal atom or a metalloid-like atom, and 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atom.

其中可包括10或更少、9或更少、8或更少、7或更少、或6或更少個鹵原子。 There may be included 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

式12的2D嵌段可由以下的式13表示。 The 2D block of Formula 12 can be represented by Formula 13 below.

式13中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,R1可為氫、烷基、烯基、炔基、烷氧基或芳基,而X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,R1至R5可以各自獨立地為氫、烷基、鹵烷基、鹵原子或包括金屬或類金屬原子的取代基,前提為R1至R5中之至少一者包括氫原子,且R1至R5中之至少一者係包括金屬或類金屬原子之取代基。 In Formula 13, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)- X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, a sulfur atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and R 1 to R 5 may each independently be hydrogen, an alkyl group, a haloalkyl group or a halogen atom. Or a substituent including a metal or metalloid atom, provided that at least one of R 1 to R 5 includes a hydrogen atom, and at least one of R 1 to R 5 includes a substituent of a metal or a metalloid atom.

式13中,R1至R5中之1或更多,1至3或1至2者可為包括金屬或類金屬原子的取代基。 In Formula 13, one or more of R 1 to R 5 , and 1 to 3 or 1 to 2 may be a substituent including a metal or a metalloid atom.

式13中,R1至R5中,可含括1或更多,2或更多,3或更多,4或更多,或5或更多個鹵原子。R1至R5中所含括的鹵原子數可為10或更少、9或更少、8或更少、7或更少、或6或更少。 In Formula 13, R 1 to R 5 may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms. The number of halogen atoms included in R 1 to R 5 may be 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less.

前述包括金屬或類金屬原子的取代基可為碳硼烷基(carboranyl group)或矽倍半氧烷基(silsesquioxanyl group)(如多面體寡聚矽倍半氧烷)、二茂鐵基或三烷基矽氧基。但是,無特別限制,只要其係選擇以藉由含括至少一金屬或類金屬原子而能夠得到蝕刻選擇性即可。 The aforementioned substituent including a metal or metalloid atom may be a carboranyl group or a silsesquioxanyl group (such as a polyhedral oligomeric sesquioxanes), a ferrocenyl group or a trioxane. Alkyloxy. However, it is not particularly limited as long as it is selected to obtain etching selectivity by including at least one metal or metalloid atom.

又另一具體實施例中,第二嵌段可為包括陰電性為3或更高且非鹵原子之原子(後文中稱為非鹵系原子)的嵌段。可將此嵌段稱為2E嵌段。另一具體實施例中,2E嵌段中之非鹵系原子的陰電性可為3.7或更低。 In still another specific embodiment, the second block may be a block including an atom having an anion of 3 or more and a non-halogen atom (hereinafter referred to as a non-halogen atom). This block can be referred to as a 2E block. In another embodiment, the non-halogen atom in the 2E block may have a cathode electrical conductivity of 3.7 or less.

2E嵌段中之非鹵系原子可為,但不限於,氮原子或氧原子。 The non-halogen atom in the 2E block may be, but not limited to, a nitrogen atom or an oxygen atom.

除了陰電性為3或更高的非鹵系原子以外,2E嵌段可包括1或更多、2或更多、3或更多、4或更多、或5或更多個鹵原子,例如,氟原子。2E嵌段中的鹵原子(如氟原子)數可包括10或更少、9或更少、8或更少、7或更少、或6或更少。 The 2E block may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms, except for a non-halogen atom having an anion of 3 or more. For example, a fluorine atom. The number of halogen atoms (e.g., fluorine atoms) in the 2E block may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less.

2E嵌段可由式14所示者表示。 The 2E block can be represented by the formula 14.

式14中,B可為具有包括含括陰電性為3或更高的非鹵系原子之取代基並包括鹵原子之芳族結構的單價取代基。 In Formula 14, B may be a monovalent substituent having an aromatic structure including a substituent including a non-halogen atom having an electronegativity of 3 or more and including a halogen atom.

式14的芳族結構可為具6至12個碳原子的芳族結構,例如,芳基或伸芳基。 The aromatic structure of Formula 14 may be an aromatic structure having 6 to 12 carbon atoms, for example, an aryl group or an aryl group.

另一具體實施例中,式14的嵌段可由以下的式15表示。 In another specific embodiment, the block of Formula 14 can be represented by Formula 15 below.

式15中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基,X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,而W可為芳基,其包括含括陰電性為3或更高的非鹵系原子之取代基和至少一個鹵原子。 In Formula 15, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)- X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom or a sulfur atom , -NR 2 -, -S(=O) 2 -, alkylene, alkenyl or alkynyl, and W may be an aryl group, including a non-halogen containing an electronegativity of 3 or higher a substituent of an atom and at least one halogen atom.

其中,W可為具6至12個碳原子的芳基,其包括含括陰電性為3或更高的非鹵系原子之取代基並包括至少一個鹵原子。 Wherein W may be an aryl group having 6 to 12 carbon atoms, and includes a substituent including a non-halogen atom having an anion of 3 or more and including at least one halogen atom.

此芳基可包括至少一個或1至3個含括具有3或更高的陰電性之非鹵系原子之取代基。此外,此芳基可包括1或更多、2或更多、3或更多、4或更多、或5或更多個鹵原子。其中,芳基可包括10或更少、9或更少、8或更少、7或更少、或6或更少個鹵原子。 The aryl group may include at least one or 1 to 3 substituents including a non-halogen atom having an anion of 3 or more. Further, this aryl group may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms. Wherein the aryl group may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

另一具體實施例中,式15的嵌段可由式16表示。 In another embodiment, the block of Formula 15 can be represented by Formula 16.

式16中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中R1可為氫、烷基、烯基、炔基、烷氧基或芳基,X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,R1至R5可以各自 獨立地為氫、烷基、鹵烷基、鹵原子和含括陰電性為3或更高的非鹵系原子之取代基。其中,R1至R5中之至少一者是鹵原子,且R1至R5中之至少一者是含括陰電性為3或更高的非鹵系原子之取代基。 In Formula 16, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)- X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom or a sulfur atom And -NR 2 -, -S(=O) 2 -, alkylene, alkenyl or alkynyl, and R 1 to R 5 may each independently be hydrogen, alkyl, haloalkyl, halogen atom and A substituent of a non-halogen atom having an anion of 3 or more is included. Wherein at least one of R 1 to R 5 is a halogen atom, and at least one of R 1 to R 5 is a substituent containing a non-halogen atom having an anion of 3 or more.

式16中,R1至R5中之至少一者、1至3、或1至2者可為前述之含括陰電性為3或更高的非鹵系原子之取代基。 In Formula 16, at least one of R 1 to R 5 , 1 to 3, or 1 to 2 may be a substituent of the aforementioned non-halogen atom having an anion of 3 or more.

式16中,R1至R5可包括1或更多、2或更多、3或更多、4或更多、或5或更多個鹵原子。R1至R5可包括10或更少、9或更少、8或更少、7或更少、或6或更少個鹵原子。 In Formula 16, R 1 to R 5 may include 1 or more, 2 or more, 3 or more, 4 or more, or 5 or more halogen atoms. R 1 to R 5 may include 10 or less, 9 or less, 8 or less, 7 or less, or 6 or less halogen atoms.

前述之含括陰電性為3或更高的非鹵系原子之取代基可為,但不限於,羥基、烷氧基、羧基、醯胺基、伸乙氧基、腈基、吡啶基或胺基。 The above-mentioned substituents including a non-halogen atom having an anion of 3 or more may be, but not limited to, a hydroxyl group, an alkoxy group, a carboxyl group, a decylamino group, an ethoxylated group, a nitrile group, a pyridyl group or Amine.

另一具體實施例中,第二嵌段可包括具有雜環狀取代基的芳族結構。文中可將此第二嵌段稱為2F嵌段。 In another embodiment, the second block can include an aromatic structure having a heterocyclic substituent. This second block can be referred to herein as a 2F block.

2F嵌段可由式17表示。 The 2F block can be represented by Formula 17.

式17中,B可為具有具6至12個碳原子且經雜環取代基取代之芳族結構的單價取代基。 In Formula 17, B may be a monovalent substituent having an aromatic structure having 6 to 12 carbon atoms and substituted with a heterocyclic substituent.

需要時,式17的芳族結構可包括至少一個鹵原子。 The aromatic structure of Formula 17 may include at least one halogen atom as needed.

式17的嵌段可由式18表示。 The block of Formula 17 can be represented by Formula 18.

式18中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,R1可為氫、烷基、烯基、炔基、烷氧基或芳基,X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,而W可為具有6至12個碳原子並具有雜環取代基的芳基。 In Formula 18, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)- X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, sulfur An atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and W may be an aryl group having 6 to 12 carbon atoms and having a heterocyclic substituent.

式18的嵌段可由式19表示。 The block of Formula 18 can be represented by Formula 19.

式19中,X2可為單鍵、氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中,R1可為氫、烷基、烯基、炔基、烷氧基或芳基,X1可為單鍵、氧原子、硫原子、-NR2-、-S(=O)2-、伸烷基、伸烯基或伸炔基,而R1至R5可以各自獨立地為氫、烷基、鹵烷基、鹵原子或雜環取代基。其中,R1至R5中之至少一者是雜環取代基。 In the formula 19, X 2 may be a single bond, an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)- X 1 - or -X 1 -C(=O)-, wherein R 1 may be hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and X 1 may be a single bond, an oxygen atom, sulfur An atom, -NR 2 -, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and R 1 to R 5 may each independently be hydrogen, an alkyl group, a haloalkyl group or a halogen atom. Or a heterocyclic substituent. Wherein at least one of R 1 to R 5 is a heterocyclic substituent.

式19中,R1至R5中之至少一者,例如,1至3或1至2者可為雜環取代基,其他者可為氫原子、烷基或鹵原子;或氫原子或鹵原子;或氫原子。 In Formula 19, at least one of R 1 to R 5 , for example, 1 to 3 or 1 to 2 may be a heterocyclic substituent, and the others may be a hydrogen atom, an alkyl group or a halogen atom; or a hydrogen atom or a halogen An atom; or a hydrogen atom.

上述雜環取代基可為,但不限於,自酞醯亞胺衍生的取代基、自噻吩衍生的取代基、自噻唑衍生的取代基、自咔唑衍生的取代基或自咪唑衍生的取代基。 The above heterocyclic substituent may be, but not limited to, a substituent derived from a quinone imine, a substituent derived from thiophene, a substituent derived from a thiazole, a substituent derived from a carbazole or a substituent derived from imidazole. .

本申請案之嵌段共聚物可包括至少一上述第一嵌段和至少一上述第二嵌段。此嵌段共聚物可包括2或3個嵌段,或3或更多個嵌段。一具體實施例中,嵌段共聚物可為包括第一嵌段之任一者和第二嵌段之任一者的二嵌段共聚物。 The block copolymer of the present application may comprise at least one of the above first blocks and at least one of the above second blocks. This block copolymer may comprise 2 or 3 blocks, or 3 or more blocks. In a particular embodiment, the block copolymer can be a diblock copolymer comprising any of the first block and the second block.

此嵌段共聚物基本上可展現極佳的自組裝性或相分離性質。此外,若進行嵌段之選擇和組合,以使得嵌段共聚物符合以下描述的至少一個參數,則可進一步改良自組裝性或相分離性質。 This block copolymer can exhibit substantially excellent self-assembly or phase separation properties. Furthermore, self-assembly or phase separation properties may be further improved if block selection and combination are made such that the block copolymer meets at least one of the parameters described below.

由於嵌段共聚物包含經由共價鍵彼此連接的二或更多個聚合物鏈,所以其可相分離。本申請案之嵌段 共聚物展現極佳的相分離性質,需要時,可藉微相分離形成奈米尺寸結構。奈米尺寸結構的形狀或尺寸可藉嵌段共聚物的尺寸(分子量等)或嵌段的相對比例而控制。藉相分離形成的結構可包括球、圓柱、螺旋二十四面體、和層合物及相反結構(reversed structure),形成前述結構的能力可稱為自組裝性。本案發明人已證實,在具有各種結構的以上各種嵌段共聚物中,符合下述中之至少一個參數之嵌段共聚物可展現出進一步改良嵌段共聚物基本上具有的自組裝性。此嵌段共聚物符合以下描述中之一個參數或以下描述中之二或更多個參數。特定言之,證實可以藉由使嵌段共聚物滿足適當參數,使得嵌段共聚物展現直立排列(vertically aligning)性質。文中所用“直立排列性質”,是指嵌段共聚物的排列性質且可以是指藉嵌段共聚物形成之奈米尺寸結構以垂直於基板的方向排列。控制嵌段共聚物的自組裝結構之排列為直立或平行於各種基板的技術係嵌段共聚物之實際應用的一大重點。習慣地,在嵌段共聚物的層中之奈米尺寸結構之排列取決於形成嵌段共聚物的嵌段中之暴於表面或空氣中的嵌段為何。通常,由於許多基板具極性且空氣為非極性,所以極性大於嵌段共聚物中之其他嵌段的嵌段係潤濕(wet)於基板上且極性小於嵌段共聚物中之其他嵌段的嵌段係潤濕於介於空氣的界面。因此,提出許多技術以使得嵌段共聚物中之彼此性質不同的嵌段同時潤濕基板,且最常用的方法係藉由製造中性表面以控制排列性。但是,一個具體實施例中,藉由控制以下參 數,嵌段共聚物可垂直於基板地排列,未使用用以達到直立排列的慣用處理,包括中性表面處理。此外,另外的具體實施例中,藉熱退火,以大面積在短時間內完成直立排列。 Since the block copolymer contains two or more polymer chains connected to each other via a covalent bond, it can be phase-separated. Block of the application The copolymer exhibits excellent phase separation properties and, if desired, can be separated by microphase to form a nano-sized structure. The shape or size of the nano-sized structure can be controlled by the size (molecular weight, etc.) of the block copolymer or the relative proportion of the block. The structure formed by phase separation may include a sphere, a cylinder, a spiral tetrahedron, and a laminate and a reversed structure, and the ability to form the foregoing structure may be referred to as self-assembly. The inventors of the present invention have confirmed that among the above various block copolymers having various structures, the block copolymer satisfying at least one of the following parameters can exhibit further improved self-assembly property of the block copolymer. This block copolymer conforms to one of the parameters described below or two or more of the following descriptions. In particular, it was confirmed that the block copolymer can exhibit vertical aligning properties by satisfying the block copolymer with appropriate parameters. As used herein, "upright alignment property" refers to the alignment property of a block copolymer and may refer to a nano-sized structure formed by a block copolymer aligned in a direction perpendicular to the substrate. The arrangement of the self-assembled structures of the controlled block copolymers is a major focus of the practical application of the block copolymers of the technical blocks that are upright or parallel to the various substrates. Conventionally, the arrangement of the nano-sized structures in the layers of the block copolymer depends on the block in the surface or air in the block forming the block copolymer. Generally, since many substrates are polar and air is non-polar, blocks having a polarity greater than other blocks in the block copolymer wet on the substrate and are less polar than other blocks in the block copolymer. The block is wetted at the interface between the air. Accordingly, a number of techniques have been proposed to cause blocks of different properties in the block copolymer to simultaneously wet the substrate, and the most common method is to control the alignment by fabricating a neutral surface. However, in one embodiment, by controlling the following parameters The number of block copolymers can be aligned perpendicular to the substrate without the usual processing to achieve upright alignment, including neutral surface treatment. Further, in another specific embodiment, by the thermal annealing, the vertical alignment is completed in a short time in a large area.

一個具體實施例中,嵌段共聚物可形成層,其展現掠角入射小角度X射線散射(GISAXS)的面內相繞射圖案(於疏水表面)。此嵌段共聚物可形成層,其展現掠角入射小角度X射線散射(GISAXS)的面內相繞射圖案(在疏水表面上)。 In one embodiment, the block copolymer can form a layer that exhibits a grazing angle incident small-angle X-ray scattering (GISAXS) in-plane phase diffraction pattern (on a hydrophobic surface). The block copolymer can form a layer that exhibits a grazing angle incident small-angle X-ray scattering (GISAXS) in-plane phase diffraction pattern (on a hydrophobic surface).

文中所謂“展現掠角入射小角度X射線散射(GISAXS)的面內相繞射圖案”是指進行GISAXS分析時,在GISAXS繞射圖案中觀察到垂直於X座標的峰。藉嵌段共聚物的直立排列性質可證實此峰。因此,展現面內(in-plane)相繞射圖案之嵌段共聚物,顯示直立排列性質。進一步的具體實施例中,在GISAXS繞射圖案的X座標可觀察到二或更多個峰。在觀察到二或更多個峰的情況中,證實散射向量(q值)具有固定的比,且在以上的情況中,可進一步改良相分離效率。 The so-called "in-plane phase diffraction pattern exhibiting grazing angle incident small-angle X-ray scattering (GISAXS)" means that a peak perpendicular to the X coordinate is observed in the GISAXS diffraction pattern when performing GISAXS analysis. This peak can be confirmed by the upright alignment property of the block copolymer. Therefore, a block copolymer exhibiting an in-plane phase diffraction pattern exhibits an upright alignment property. In a further embodiment, two or more peaks are observed at the X coordinate of the GISAXS diffraction pattern. In the case where two or more peaks are observed, it is confirmed that the scattering vector (q value) has a fixed ratio, and in the above case, the phase separation efficiency can be further improved.

文中所用“垂直”是考量誤差的詞彙,例如,其可包括±10度,±8度,±6度,±4度或±2度內的誤差。 As used herein, "vertical" is a term that takes into account errors, for example, which may include errors within ±10 degrees, ±8 degrees, ±6 degrees, ±4 degrees, or ±2 degrees.

能夠在疏水和親水表面二者上形成展現面內相繞射圖案之層的嵌段共聚物可在未進行任何誘發直立排列之處理之各種表面上展現直立排列性質。文中所用“疏水表面”是指純水的潤濕角為5度至20度之範圍的表面。 疏水表面的例子可包括經鋸脂鯉(piranha)溶液、硫酸、或氧電漿處理的聚矽氧表面,但不在此限。文中所用“親水表面”是指純水的潤濕角為50度至70度之範圍的表面。親水表面的例子可包括經氟化氫處理的聚矽氧表面、經六甲基二矽氮烷處理的聚矽氧或經氧電漿處理的聚二甲基矽氧烷,但不在此限。 Block copolymers capable of forming a layer exhibiting an in-plane phase diffraction pattern on both hydrophobic and hydrophilic surfaces can exhibit upright alignment properties on various surfaces that are not subjected to any treatment that induces upright alignment. As used herein, "hydrophobic surface" means a surface having a wetting angle of from 5 degrees to 20 degrees. Examples of the hydrophobic surface may include, but are not limited to, a polyfluorinated surface treated with a piranha solution, sulfuric acid, or an oxygen plasma. As used herein, "hydrophilic surface" means a surface having a wetting angle of from 50 degrees to 70 degrees. Examples of the hydrophilic surface may include, but are not limited to, a hydrogen fluoride-treated polyfluorene surface, hexamethyldiazane-treated polyfluorene oxide or oxygen plasma-treated polydimethylsiloxane.

除非另外聲明,否則在此文件中,會隨溫度而改變之性質(如潤濕角)係於室溫測量。文中所用“室溫”是指在未加熱和冷卻之自然狀態下的溫度且可以是指在約10℃至30℃、或約25℃至約23℃範圍內的溫度。 Unless otherwise stated, in this document, properties that change with temperature (such as wetting angle) are measured at room temperature. As used herein, "room temperature" refers to the temperature in the natural state of being unheated and cooled and may refer to a temperature in the range of from about 10 ° C to 30 ° C, or from about 25 ° C to about 23 ° C.

形成於疏水或親水表面上並在GISAXS上展現面內相繞射圖案的層可為經熱退火的層。一個具體實施例中,用於測定GISAXS的層是,例如,藉由將使嵌段共聚物在溶劑(例如,氟苯)中稀釋至約0.7重量%的濃度而製得的塗覆液塗覆在對應的疏水或親水表面上而使得塗層具有約25nm的厚度和約2.25cm2的面積(寬:1.5cm,長:1.5cm)之後進行熱退火處理而製備。此熱退火可藉由使得層於160℃的溫度維持約1小時而進行。GISAXS可藉由以X射線照射以上製得的層,使得其入射角在0.12至0.23度範圍內而量測。藉慣用的測定裝置(例如,2D marCCD),可得到自層散射的繞射圖案。由以上得到的繞射圖案確認面內相繞射圖案之存在的技術係此領域已知的。 The layer formed on the hydrophobic or hydrophilic surface and exhibiting the in-plane phase diffraction pattern on the GISAXS may be a thermally annealed layer. In a specific embodiment, the layer for determining GISAXS is, for example, a coating solution prepared by diluting a block copolymer in a solvent (for example, fluorobenzene) to a concentration of about 0.7% by weight. It was prepared by subjecting the coating to a corresponding hydrophobic or hydrophilic surface such that the coating had a thickness of about 25 nm and an area of about 2.25 cm 2 (width: 1.5 cm, length: 1.5 cm) followed by thermal annealing. This thermal annealing can be carried out by maintaining the layer at a temperature of 160 ° C for about 1 hour. GISAXS can be measured by irradiating the above-prepared layer with X-rays such that its incident angle is in the range of 0.12 to 0.23 degrees. A diffraction pattern scattered from the layer can be obtained by a conventional measuring device (for example, 2D marCCD). Techniques for confirming the presence of an in-plane phase diffraction pattern from the diffraction pattern obtained above are known in the art.

在GISAXS中出現以上峰之嵌段共聚物可展 現極佳的自組裝性並可根據目的而有效地控制此性質。 The block copolymer of the above peak appears in GISAXS It is now excellent in self-assembly and can be effectively controlled according to the purpose.

進行X射線繞射(XRD)分析時,此嵌段共聚物在散射向量(q值)的某些範圍內,會出現至少一個峰。 At the X-ray diffraction (XRD) analysis, the block copolymer exhibits at least one peak in some range of the scattering vector (q value).

一個具體實施例中,進行XRD時,嵌段共聚物在散射向量(q值)的0.5nm-1至10nm-1範圍內,出現至少一個峰。其他具體實施例中,觀察到至少一個峰的散射向量(q值)範圍可由0.7nm-1或更高,0.9nm-1或更高,1.1nm-1或更高,1.3nm-1或更高,或1.5nm-1或更高。其他具體實施例中,觀察到至少一個峰的散射向量(q值)範圍可由9nm-1或更低,8nm-1或更低,7nm-1或更低,6nm-1或更低,5nm-1或更低,4nm-1或更低,3.5nm-1或更低,或3nm-1或更低。 In a specific embodiment, at the time of XRD, the block copolymer exhibits at least one peak in the range of 0.5 nm -1 to 10 nm -1 of the scattering vector (q value). In another embodiment, the at least one peak is observed scattering vector (q value) may range 0.7nm -1 or greater, 0.9nm -1 or greater, 1.1nm -1 or greater, 1.3nm -1 or more specific embodiments High, or 1.5nm -1 or higher. In other specific embodiments, the scattering vector (q value) of at least one peak is observed to be in the range of 9 nm -1 or lower, 8 nm -1 or lower, 7 nm -1 or lower, 6 nm -1 or lower, 5 nm - 1 or lower, 4 nm -1 or lower, 3.5 nm -1 or lower, or 3 nm -1 or lower.

在以上散射向量(q)範圍所觀察到的峰的FWHM(半高寬)可由0.2nm-1至0.9nm-1。另一具體實施例中,FWHM可為0.25nm-1或更高,0.3nm-1或更高,或0.4nm-1或更高。在另一具體實施例中,此FWHM可為,0.85nm-1或更低,0.8nm-1或更低,或0.75nm-1或更低。 The FWHM (full width at half maximum) of the peak observed in the above range of the scattering vector (q) may be from 0.2 nm -1 to 0.9 nm -1 . In another embodiment, the FWHM can be 0.25 nm -1 or higher, 0.3 nm -1 or higher, or 0.4 nm -1 or higher. In another particular embodiment, the FWHM may be, 0.85nm -1 or less, 0.8nm -1 or less, or 0.75 nm -1 or less.

文中所用的“FWHM(半高寬)”是指在強度為最大強度的一半的位置,峰之寬度(散射向量(q’s)間的差)。 As used herein, "FWHM" refers to the width of the peak (the difference between the scattering vectors (q's) at a position where the intensity is half of the maximum intensity.

XRD分析中,散射向量(q)和FWHM是關於以下所述之XRD分析結果的數值分析(其中,使用最小平方技術)的值。以上方法中,關於XRD圖案中的峰輪廓(profile),在以XRD繞射圖案具有最低強度的位置作為基線並將最低強度換算為0的狀態下,進行高斯擬合,之後 自高斯擬合結果得到散射向量(q)和FWHM。高斯擬合的R平方是至少0.9或更高,0.92或更高,0.94或更高,或0.96或更高。自XRD分析得到以上資訊之方法是已知的,且,例如,可以使用數值分析程式(如origin)。 In the XRD analysis, the scattering vectors (q) and FWHM are values regarding numerical analysis of the XRD analysis results described below (where the least squares technique is used). In the above method, with respect to the peak profile in the XRD pattern, Gaussian fitting is performed in a state where the position having the lowest intensity of the XRD diffraction pattern is taken as the baseline and the lowest intensity is converted to 0, and then The scattering vector (q) and FWHM are obtained from the Gaussian fitting result. The R square of the Gaussian fit is at least 0.9 or higher, 0.92 or higher, 0.94 or higher, or 0.96 or higher. Methods for obtaining the above information from XRD analysis are known, and, for example, a numerical analysis program such as origin can be used.

在以上散射向量(q’s)範圍出現以上FWHM的峰之嵌段共聚物可包括適用於自組裝的結晶部分。在以上散射向量(q’s)範圍出現以上FWHM的峰之嵌段共聚物可展現極佳的自組裝性。 The block copolymer in which the peak of the above FWHM appears in the range of the above scattering vector (q's) may include a crystalline portion suitable for self-assembly. A block copolymer having a peak of the above FWHM in the range of the above scattering vector (q's) can exhibit excellent self-assembly.

XRD分析可藉由令X-射線通過嵌段共聚物樣品之後根據散射向量測定散射強度而進行。可就未經任何特定前處理的嵌段共聚物進行XRD分析,且,例如,可藉由使嵌段共聚物在適當條件下乾燥之後令X射線通過彼而進行XRD分析。作為X射線者可使用垂直尺寸為0.023mm和水平尺寸為0.3mm的X射線。藉由使用測定裝置(例如,2D marCCD),得到自樣品散射的2D繞射圖案之影像,之後對所得的繞射圖案進行以上擬合,以得到散射向量和FWHM等。 XRD analysis can be performed by passing X-rays through a block copolymer sample and measuring the scattering intensity from the scattering vector. XRD analysis can be carried out on block copolymers without any particular pretreatment, and, for example, XRD analysis can be carried out by passing X-rays through the block copolymer after drying under appropriate conditions. As the X-ray person, X-rays having a vertical size of 0.023 mm and a horizontal size of 0.3 mm can be used. An image of the 2D diffraction pattern scattered from the sample is obtained by using a measuring device (for example, 2D marCCD), and then the resulting diffraction pattern is subjected to the above fitting to obtain a scattering vector, FWHM, and the like.

如以下所描述者,在嵌段共聚物的至少一個嵌段包括鏈的情況中,成鏈原子數(n)和自XRD分析得到的散射向量(q)符合以下的等式1。 As described below, in the case where at least one block of the block copolymer includes a chain, the number of chained atoms (n) and the scattering vector (q) obtained from XRD analysis satisfy the following Equation 1.

[等式1]3nm-1~5nm-1=nq/(2×π) [Equation 1] 3nm -1 ~5nm -1 =nq/(2×π)

式1中,“n”是成鏈原子數,“q”是在XRD分析中觀察到峰處之散射向量中之最小散射向量或觀察到具 有最大面積的峰處之散射向量。此外,等式1中的π是圓周對其直徑的比。 In Formula 1, "n" is the number of chain atoms, and "q" is the smallest scattering vector in the scattering vector observed at the peak in XRD analysis or observed. The scattering vector at the peak with the largest area. Further, π in Equation 1 is the ratio of the circumference to its diameter.

以上等式1中的散射向量等係在前述相同XRD分析中得到的值。 The scattering vector or the like in the above Equation 1 is a value obtained in the same XRD analysis as described above.

代入等式1的值代入的散射值可為在0.5nm-1至10nm-1之範圍的散射值。另一具體實施例中,代入等式1的值代入的散射值可為0.7nm-1或更高,0.9nm-1或更高,1.1nm-1或更高,1.3nm-1或更高,或1.5nm-1或更高。另一具體實施例中,代入等式1的值的散射值可為9nm-1或更低,8nm-1或更低,7nm-1或更低,6nm-1或更低,5nm-1或更低,4nm-1或更低,3.5nm-1或更低,或3nm-1或更低。 The scattering value substituted into the value of Equation 1 may be a scattering value in the range of 0.5 nm -1 to 10 nm -1 . In another embodiment, values are substituted into equation 1, the scatter values may 0.7nm -1 or greater, 0.9nm -1 or greater, 1.1nm -1 or greater, 1.3nm -1 or greater , or 1.5nm -1 or higher. In another embodiment, the value substituted into the value of Equation 1 may be 9 nm -1 or lower, 8 nm -1 or lower, 7 nm -1 or lower, 6 nm -1 or lower, 5 nm -1 or Lower, 4 nm -1 or lower, 3.5 nm -1 or lower, or 3 nm -1 or lower.

等式1可代表在嵌段共聚物為自組裝並形成相分離結構的情況中,成鏈原子數和包含該鏈之嵌段間的間距(D)之間的關係。若包含鏈的嵌段共聚物的成鏈原子數符合等式1,則因該鏈展現的可結晶性獲改良,並因此,相分離性質和直立排列性質可獲大幅改良。另一具體實施例中,等式1中的nq/(2×π)可為4.5nm-1或更低。其中,包含鏈之嵌段間的間距(D,單位:nm)可藉算式,D=2×π/q計算。其中,“D”是嵌段間的間距(D,單位:nm),而π和q如等式1中所定義。 Equation 1 may represent the relationship between the number of chained atoms and the spacing (D) between the blocks comprising the chain in the case where the block copolymer is self-assembled and forms a phase-separated structure. If the number of chain atoms of the block copolymer containing the chain conforms to Equation 1, the crystallinity exhibited by the chain is improved, and thus, the phase separation property and the upright alignment property can be greatly improved. In another specific embodiment, nq/(2×π) in the equation 1 may be 4.5 nm -1 or lower. Among them, the spacing (D, unit: nm) between the blocks including the chains can be calculated by the formula D = 2 × π / q. Wherein "D" is the spacing between blocks (D, unit: nm), and π and q are as defined in Equation 1.

本申請案的一個具體實施例中,第一和第二嵌段的表面能間之差的絕對值可為10mN/m或更低,9mN/m或更低,8mN/m或更低,7.5mN/m或更低,或7 mN/m或更低。表面能間之差的絕對值可為1.5mN/m或更高,2mN/m或更高,或2.5mN/m或更高。第一和第二嵌段(嵌段間的表面能間之差的絕對值在以上範圍內)經由共價鍵連接之結構,可以藉由因適當非相容性之相分離而實現有效的微相分離。其中,第一嵌段可為具有以上所述的鏈之嵌段。 In a specific embodiment of the present application, the absolute value of the difference between the surface energies of the first and second blocks may be 10 mN/m or less, 9 mN/m or less, 8 mN/m or less, 7.5. mN/m or lower, or 7 mN/m or lower. The absolute value of the difference between the surface energies may be 1.5 mN/m or higher, 2 mN/m or higher, or 2.5 mN/m or higher. The structure in which the first and second blocks (the absolute value of the difference between the surface energies between the blocks are in the above range) via a covalent bond can be realized by phase separation by appropriate incompatibility Phase separation. Wherein the first block may be a block having the chain described above.

可藉由使用液滴形狀分析儀(DSA100產品,KRUSS,Co.生產)測定表面能。特定言之,可對藉由將待測定的樣品(嵌段共聚物或均聚物)在氟苯中稀釋至固體含量為約2重量%而製得的塗覆液塗覆於基板上以使得塗覆層具有50nm的厚度和4cm2的塗覆面積(寬:2cm,長:2cm);塗層於室溫乾燥約1小時;之後於160℃熱退火約1小時而製得之層,進行表面能測定。層經熱退火之後,滴上已知其表面張力的去離子水並於之後測定接觸角。以上用以得到去離子水之接觸角的方法重覆5次,計算5次所得接觸角的平均值。同樣地,在已經熱退火處理的層上,滴上已知其表面張力的二碘甲烷並於之後測定接觸角。以上用以得到二碘甲烷之接觸角的方法重覆5次,計算5次所得接觸角的平均值。之後,透過Owens-Wendt-Rabel-Kaelble方法,使用去離子水和二碘甲苯的接觸角所得的平均值,以藉由代入關於溶劑之表面張力的值(Strom值)而得到表面能。藉由使用上述方法,就以形成相應嵌段之單體製得的均聚物,可得到嵌段共聚物中之各嵌段的表面能。 The surface energy can be measured by using a droplet shape analyzer (DSA100 product, manufactured by KRUSS, Co.). In particular, a coating liquid prepared by diluting a sample (block copolymer or homopolymer) to be determined in fluorobenzene to a solid content of about 2% by weight can be applied to a substrate such that The coating layer has a thickness of 50 nm and a coating area of 4 cm 2 (width: 2 cm, length: 2 cm); the coating is dried at room temperature for about 1 hour; and then thermally annealed at 160 ° C for about 1 hour to obtain a layer. Surface energy can be measured. After the layer was thermally annealed, deionized water of known surface tension was dropped and the contact angle was measured thereafter. The above method for obtaining the contact angle of deionized water was repeated five times, and the average value of the obtained contact angles was calculated five times. Similarly, on the layer which has been thermally annealed, diiodomethane whose surface tension is known is dropped and the contact angle is measured thereafter. The above method for obtaining the contact angle of diiodomethane was repeated five times, and the average value of the obtained contact angles was calculated five times. Thereafter, the average value obtained by the contact angle of deionized water and diiodotoluene was used by the Owens-Wendt-Rabel-Kaelble method to obtain the surface energy by substituting the value (Strom value) with respect to the surface tension of the solvent. By using the above method, the surface energy of each block in the block copolymer can be obtained by forming a homopolymer obtained from the monomer of the corresponding block.

在嵌段共聚物包含上述鏈的情況中,包含該鏈的嵌段所具有的表面能大於其他嵌段。例如,若第一嵌段包含該鏈,則第一嵌段所具有的表面能大於第二嵌段。此情況中,第一嵌段的表面能在約20mN/m至約40mN/m範圍內。另一具體實施例中,第一嵌段的表面能可為約22mN/m或更高,約24mN/m或更高,約26mN/m或更高,或約28mN/m或更高。第一嵌段的表面能可為約38mN/m或更低,約36mN/m或更低,約34mN/m或更低,或約32mN/m或更低。包括以上第一嵌段並展現以上的嵌段表面能間之差之此嵌段共聚物可展現極佳的自組裝性。 In the case where the block copolymer contains the above chain, the block containing the chain has a surface energy greater than the other blocks. For example, if the first block comprises the chain, the first block has a surface energy greater than the second block. In this case, the surface energy of the first block is in the range of from about 20 mN/m to about 40 mN/m. In another embodiment, the surface energy of the first block can be about 22 mN/m or higher, about 24 mN/m or higher, about 26 mN/m or higher, or about 28 mN/m or higher. The surface energy of the first block can be about 38 mN/m or less, about 36 mN/m or less, about 34 mN/m or less, or about 32 mN/m or less. The block copolymer comprising the above first block and exhibiting the difference between the above surface energy of the block can exhibit excellent self-assembly.

嵌段共聚物中,第一和第二嵌段的密度間之差的絕對值可為0.25g/cm3或更高,0.3g/cm3或更高,0.35g/cm3或更高,0.4g/cm3或更高,或0.45g/cm3或更高。密度間的差的絕對值可為0.9g/cm3或更低,0.8g/cm3或更低,0.7g/cm3或更低,0.65g/cm3或更低,或0.6g/cm3或更低。第一和第二嵌段(嵌段的密度間的差的絕對值在以上範圍內)經由共價鍵連接且之結構,可以藉由因適當非相容性之相分離而實現有效的微相分離。 In the block copolymer, the difference between the densities of the first and second blocks may be 0.25 g/cm 3 or higher, 0.3 g/cm 3 or higher, 0.35 g/cm 3 or higher. 0.4 g/cm 3 or higher, or 0.45 g/cm 3 or higher. The absolute value of the difference between the densities may be 0.9 g/cm 3 or less, 0.8 g/cm 3 or less, 0.7 g/cm 3 or less, 0.65 g/cm 3 or less, or 0.6 g/cm. 3 or lower. The first and second blocks (the absolute values of the differences between the densities of the blocks are in the above range) are connected via covalent bonds, and an effective microphase can be realized by phase separation due to appropriate incompatibility. Separation.

嵌段共聚物中之各嵌段的密度可由已知的浮力法得知。例如,可藉由分析嵌段共聚物在溶劑(如乙醇,已知其於空氣中的質量和密度)中的質量而得到。 The density of each block in the block copolymer can be known by known buoyancy methods. For example, it can be obtained by analyzing the mass of the block copolymer in a solvent such as ethanol, which is known to be in mass and density in air.

在嵌段共聚物包含上述鏈的情況中,包含該鏈的嵌段之密度低於其他嵌段。例如,若第一嵌段包含該 鏈,則第一嵌段的密度低於第二嵌段。此情況中,第一嵌段的密度可在由約0.9g/cm3至約1.5g/cm3之範圍內。另一具體實施例中,第一嵌段的密度可為約0.95g/cm3或更高。第一嵌段的密度可為約1.4g/cm3或更低,約1.3g/cm3或更低,約1.2g/cm3或更低,約1.1g/cm3或更低,或約1.05g/cm3或更低。包括以上第一嵌段並展現上述嵌段的密度間的差之此嵌段共聚物可展現極佳的自組裝性。表面能和密度係於室溫測定。 In the case where the block copolymer contains the above chain, the density of the block containing the chain is lower than that of the other blocks. For example, if the first block comprises the chain, the density of the first block is lower than the second block. In this case, the density of the first block may range from about 0.9 g/cm 3 to about 1.5 g/cm 3 . In another embodiment, the first block may have a density of about 0.95 g/cm 3 or higher. The first block may have a density of about 1.4 g/cm 3 or less, about 1.3 g/cm 3 or less, about 1.2 g/cm 3 or less, about 1.1 g/cm 3 or less, or about 1.05 g/cm 3 or less. The block copolymer including the above first block and exhibiting a difference in density between the above blocks can exhibit excellent self-assembly. Surface energy and density were determined at room temperature.

嵌段共聚物可包括體積分率由0.4至0.8的嵌段和體積分率由0.2至0.6的嵌段。在嵌段共聚物包含該鏈的情況中,具有該鏈之嵌段可具有0.4至0.8的體積分率。例如,第一嵌段包含該鏈,第一嵌段可具有0.4至0.8的體積分率而第二嵌段可具有0.2至0.6的體積分率。第一和第二嵌段的體積分率的和是1。包括於以上體積分率的各嵌段之嵌段共聚物可展現極佳的自組裝性。嵌段共聚物的各嵌段之體積分率可藉由使用各嵌段的密度和藉凝膠滲透層析法(GPC)得到的分子量得到。 The block copolymer may include a block having a volume fraction of from 0.4 to 0.8 and a block having a volume fraction of from 0.2 to 0.6. In the case where the block copolymer contains the chain, the block having the chain may have a volume fraction of 0.4 to 0.8. For example, the first block comprises the chain, the first block may have a volume fraction of 0.4 to 0.8 and the second block may have a volume fraction of 0.2 to 0.6. The sum of the volume fractions of the first and second blocks is 1. The block copolymers of the respective blocks included in the above volume fraction can exhibit excellent self-assembly. The volume fraction of each block of the block copolymer can be obtained by using the density of each block and the molecular weight obtained by gel permeation chromatography (GPC).

嵌段共聚物可具有,例如,約3,000至300,000範圍內的數量平均分子量(Mn)。文中所用“數量平均分子量”是指藉GPC(凝膠滲透層析法)測定,相對於聚苯乙烯標準品之換算值。除非另外指出,否則文中所用“分子量”是指數量平均分子量。另一具體實施例中,分子量(Mn)可為,例如,3000或更高,5000或更高,7000或更高,9000或更高,11000或更高,13000或更高,或 15000或更高。另一具體實施例中,此分子量(Mn)可為,例如,250000或更低,200000或更低,180000或更低,160000或更低,140000或更低,120000或更低,100000或更低,90000或更低,80000或更低,70000或更低,60000或更低,50000或更低,40000或更低,30000或更低,或25000或更低。嵌段共聚物可具有在1.01至1.60之範圍內的多分散性(Mw/Mn)。另一具體實施例中,多分散性可為約1.1或更高,約1.2或更高,約1.3或更高,或約1.4或更高。 The block copolymer may have, for example, a number average molecular weight (Mn) in the range of from about 3,000 to 300,000. As used herein, "number average molecular weight" means a value measured by GPC (gel permeation chromatography) relative to a polystyrene standard. As used herein, unless otherwise indicated, "molecular weight" as used herein refers to a number average molecular weight. In another specific embodiment, the molecular weight (Mn) may be, for example, 3000 or higher, 5000 or higher, 7000 or higher, 9000 or higher, 11,000 or higher, 13,000 or higher, or 15,000 or higher. In another embodiment, the molecular weight (Mn) may be, for example, 250,000 or less, 200,000 or less, 180,000 or less, 160,000 or less, 140,000 or less, 120,000 or less, 100,000 or more. Low, 90,000 or lower, 80,000 or lower, 70,000 or lower, 60,000 or lower, 50,000 or lower, 40,000 or lower, 30,000 or lower, or 25,000 or lower. The block copolymer may have a polydispersity (Mw/Mn) in the range of 1.01 to 1.60. In another embodiment, the polydispersity can be about 1.1 or higher, about 1.2 or higher, about 1.3 or higher, or about 1.4 or higher.

在以上範圍中,嵌段共聚物可展現適當的自組裝性。可考量目標的自組裝結構以控制嵌段共聚物的數量平均分子量等。 In the above range, the block copolymer can exhibit appropriate self-assembly. The self-assembled structure of the target can be considered to control the number average molecular weight of the block copolymer and the like.

若嵌段共聚物至少包括第一和第二嵌段,則嵌段共聚物中之第一嵌段(例如,包含該鏈的嵌段)之比例可在10莫耳%至90莫耳%範圍內。 If the block copolymer includes at least the first and second blocks, the ratio of the first block (eg, the block comprising the chain) in the block copolymer may range from 10 mol% to 90 mol% Inside.

本申請案係關於包括嵌段共聚物之聚合物層。此聚合物層可用於各種應用。例如,其可用於生物感知器、記錄介質(如快閃記憶體)、磁性儲存介質或圖案形成方法或電力裝置或電子裝置等。 This application is directed to a polymer layer comprising a block copolymer. This polymer layer can be used in a variety of applications. For example, it can be used for a biometric device, a recording medium (such as a flash memory), a magnetic storage medium or a pattern forming method, or a power device or an electronic device.

一個具體實施例中,聚合物層中之嵌段共聚物可藉自組裝而形成週期性結構,包括球、圓筒、螺旋二十四面體、或層合物。 In one embodiment, the block copolymer in the polymer layer can be self-assembled to form a periodic structure, including a sphere, a cylinder, a helix, a tetrahedron, or a laminate.

例如,在嵌段共聚物中,第一嵌段或第二嵌段或其他嵌段(經由共價鍵連接至以上嵌段)的一個鏈段、 其他鏈段中可形成規則結構,如層合形式、圓筒形式等。 For example, in a block copolymer, a segment of the first block or the second block or other block (connected to the above block via a covalent bond), Regular structures may be formed in other segments, such as laminated forms, cylindrical forms, and the like.

聚合物層可展現上述面內相繞射圖案,即,垂直於GISAXS分析的GISAXS繞射圖案中之X座標的峰。另外的具體實施例中,在GISAXS繞射圖案的X座標中可觀察到二或更多個峰。觀察到二或更多個峰的情況中,可證實散射向量(q值)具有固定的比。 The polymer layer can exhibit the above-described in-phase phase diffraction pattern, that is, a peak perpendicular to the X coordinate in the GISAXS diffraction pattern of the GISAXS analysis. In other embodiments, two or more peaks are observed in the X coordinate of the GISAXS diffraction pattern. In the case where two or more peaks are observed, it can be confirmed that the scattering vector (q value) has a fixed ratio.

本申請案亦係關於使用嵌段共聚物形成聚合物層之方法。此方法包括在基板上形成包括自組裝狀態之嵌段共聚物之聚合物層。例如,此方法包括藉塗覆等在基板上形成嵌段共聚物或塗覆液(其中,嵌段共聚物係於適當溶劑中稀釋)之層,需要時,之後老化或熱處理該層。 This application is also directed to a method of forming a polymer layer using a block copolymer. The method includes forming a polymer layer comprising a block copolymer in a self-assembled state on a substrate. For example, the method includes forming a layer of a block copolymer or a coating liquid (wherein the block copolymer is diluted in a suitable solvent) on a substrate by coating or the like, and then aging or heat-treating the layer as needed.

老化或熱處理可基於,例如,嵌段共聚物的相轉變溫度或玻璃轉變溫度,進行,例如,可於高於玻璃轉變溫度或相轉變溫度的溫度進行。未特別限制熱處理的時間,且熱處理可進行約1分鐘至72小時,但可因需要而改變。此外,聚合物層的熱處理溫度可為,例如,100℃至250℃,但可考量此處所用之嵌段共聚物而改變。 The aging or heat treatment can be performed based on, for example, the phase transition temperature or the glass transition temperature of the block copolymer, for example, at a temperature higher than the glass transition temperature or the phase transition temperature. The heat treatment time is not particularly limited, and the heat treatment may be performed for about 1 minute to 72 hours, but may be changed as needed. Further, the heat treatment temperature of the polymer layer may be, for example, 100 ° C to 250 ° C, but may be changed in consideration of the block copolymer used herein.

形成的層可在非極性溶劑和/或極性溶劑中於室溫老化約1分鐘至72小時。 The layer formed can be aged in a non-polar solvent and/or a polar solvent at room temperature for about 1 minute to 72 hours.

本申請案亦係關於形成圖案的方法。該方法包含從包括基板和形成於基板表面上並包含自組裝的嵌段共聚物之聚合物層之層合物選擇性地移除嵌段共聚物中的第一或第二嵌段。此方法可為在以上基板上形成圖案之方 法。例如,此方法可包括在基板上形成聚合物層,選擇性地移除嵌段共聚物(其係於聚合物層中)中的一個嵌段或二或更多個嵌段;及之後蝕刻此基板。藉以上方法,例如,可形成奈米尺寸的微圖案。此外,根據聚合物層中之嵌段共聚物的形狀,可藉以上方法形成各種形狀的圖案(如奈米棍或奈米孔)。需要時,為形成圖案,嵌段共聚物可與另一共聚物或均聚物混合。可以無特別限制地選擇使用於此方法之基板的種類,例如,可使用氧化矽等。 This application is also directed to a method of forming a pattern. The method includes selectively removing a first or second block in a block copolymer from a laminate comprising a substrate and a polymer layer formed on a surface of the substrate and comprising a self-assembled block copolymer. This method can be a method of forming a pattern on the above substrate law. For example, the method can include forming a polymer layer on the substrate, selectively removing one block or two or more blocks in the block copolymer (which is in the polymer layer); and etching the Substrate. By the above method, for example, a micro-pattern of a nanometer size can be formed. Further, depending on the shape of the block copolymer in the polymer layer, various shapes of patterns (such as nano-sticks or nanopores) can be formed by the above method. If desired, to form a pattern, the block copolymer can be mixed with another copolymer or homopolymer. The kind of the substrate to be used in this method can be selected without particular limitation, and for example, ruthenium oxide or the like can be used.

例如,根據此方法,可形成具有高縱橫比之氧化矽的奈米尺寸圖案。例如,藉由在氧化矽上形成聚合物層、在聚合物層中的嵌段共聚物以預定結構形成的狀態下選擇性地移除嵌段共聚物的任一嵌段、且以各種方法(例如,反應性離子蝕刻)蝕刻氧化矽,可形成各種類型的圖案(如奈米棍(nanorod)或奈米孔圖案)。此外,根據以上方法,可形成具有高縱橫比的奈米圖案。 For example, according to this method, a nano-size pattern having a high aspect ratio of cerium oxide can be formed. For example, by forming a polymer layer on ruthenium oxide, the block copolymer in the polymer layer is selectively removed in a predetermined structure, and any block of the block copolymer is removed, and in various methods ( For example, reactive ion etching) etches yttrium oxide to form various types of patterns (such as nanorods or nanopore patterns). Further, according to the above method, a nano pattern having a high aspect ratio can be formed.

例如,形成的圖案尺寸可為數十奈米,且此圖案可用於各種用途,包括下一代資訊電子磁記錄介質。 For example, the formed pattern size may be several tens of nanometers, and the pattern can be used for various purposes including the next generation information electronic magnetic recording medium.

例如,藉上述方法,可形成以約6至80奈米的間距設置之具有約3至40nm寬度之奈米結構(例如,奈米線)的圖案。另一具體實施例中,可得到其中具有例如約3至40奈米之直徑之寬度的奈米孔以約6至80奈米間距設置的結構。 For example, by the above method, a pattern having a nanostructure (for example, a nanowire) having a width of about 3 to 40 nm which is disposed at a pitch of about 6 to 80 nm can be formed. In another embodiment, a structure in which nanopores having a width of, for example, a diameter of about 3 to 40 nm are disposed at a pitch of about 6 to 80 nm can be obtained.

此外,此結構中,可形成具有高縱橫比的奈米線或奈米孔。 Further, in this structure, a nanowire or a nanopore having a high aspect ratio can be formed.

此方法中,未特別限制選擇性地移除嵌段共聚物中之任何嵌段的方法,例如,可以使用藉照射適當的電磁波(例如,紫外射線)於聚合物層以移除相對軟嵌段之方法。此情況中,用於紫外照射的條件可以根據嵌段共聚物的嵌段類型而決定,具有約254nm的波長之紫外射線可照射1至60分鐘。 In this method, a method of selectively removing any block in the block copolymer is not particularly limited, and for example, it is possible to use a suitable electromagnetic wave (for example, ultraviolet ray) to irradiate the polymer layer to remove the relatively soft block. The method. In this case, the conditions for ultraviolet irradiation may be determined depending on the block type of the block copolymer, and ultraviolet rays having a wavelength of about 254 nm may be irradiated for 1 to 60 minutes.

此外,紫外射線照射之後,聚合物層經酸處理以進一步移除被紫外射線破壞的鏈段。 Further, after the ultraviolet ray irradiation, the polymer layer is subjected to an acid treatment to further remove the segment broken by the ultraviolet rays.

此外,對使用聚合物層(自彼選擇性地移除嵌段)之基板進行蝕刻處理,此可藉由使用CF4/Ar離子的反應性離子蝕刻進行,且在以上程序之後,可進一步藉氧電漿處理而自基板移除聚合物層。 In addition, the substrate using the polymer layer (selectively removing the block from the substrate) is etched, which can be performed by reactive ion etching using CF 4 /Ar ions, and can be further borrowed after the above procedure. The oxygen plasma treatment removes the polymer layer from the substrate.

本申請案提供嵌段共聚物和其應用。該嵌段共聚物具有極佳的自組裝性和相分離,且需要時,各種要求的功能可自由地賦予至彼。 This application provides block copolymers and their use. The block copolymer has excellent self-assembly and phase separation, and various desired functions can be freely imparted to it when necessary.

圖1至16是聚合物層的SEM或AFM影像並出示於聚合物層的GISAXS分析的結果。 Figures 1 through 16 are SEM or AFM images of the polymer layer and are presented as a result of a GISAXS analysis of the polymer layer.

下文中,將參考實例和比較例,詳細描述本申請案,但本申請案之範圍未受限於以下實例。 Hereinafter, the present application will be described in detail with reference to the examples and comparative examples, but the scope of the present application is not limited by the following examples.

1. NMR分析 NMR analysis

藉由使用包括具有三重共振5mm探頭的Varian Unity Inova(500MHz)光譜儀之NMR光譜儀,於室溫進行NMR分析。待分析的樣品係在於用於NMR分析的溶劑(CDCl3)中稀釋至約10mg/ml的濃度後使用,化學位移(δ)以ppm表示。 NMR analysis was carried out at room temperature by using an NMR spectrometer comprising a Varian Unity Inova (500 MHz) spectrometer with a triple resonance 5 mm probe. The sample to be analyzed was used after dilution to a concentration of about 10 mg/ml in a solvent (CDCl 3 ) for NMR analysis, and the chemical shift (δ) was expressed in ppm.

<縮寫> <abbreviation>

br=寬訊號,s=單峰,d=二重峰,dd=雙二重峰,t=三重峰,dt=雙三重峰,q=四重峰,p=五重峰,m=多重峰 Br = wide signal, s = singlet, d = doublet, dd = doublet, t = triplet, dt = double triplet, q = quartet, p = quartet, m = multiplet

2. GPC(凝膠滲透層析) 2. GPC (gel permeation chromatography)

藉GPC(凝膠滲透層析)測定數量平均分子量和多分散性。在5mL小瓶中,實例或比較例之待測定的嵌段共聚物或巨分子引發劑稀釋至約1mg/mL的濃度。之後,用於校正的標準樣品和待分析的樣品以注射濾器(孔尺寸:0.45微米)過濾並於之後分析。得自Agilent technologies,Co.的ChemStation作為分析程式。藉由比較樣品的沖提時間和校正曲線,得到數量平均分子量(Mn)和重量平均分子量(Mw),之後自其比(Mw/Mn)得到多分散 性(PDI)。GPC的測定條件如下。 The number average molecular weight and polydispersity were determined by GPC (gel permeation chromatography). The block copolymer or macroinitiator to be determined of the examples or comparative examples was diluted to a concentration of about 1 mg/mL in a 5 mL vial. Thereafter, the standard sample for calibration and the sample to be analyzed were filtered with a syringe filter (pore size: 0.45 μm) and analyzed thereafter. ChemStation from Agilent technologies, Co. as an analysis program. By comparing the elution time and the calibration curve of the sample, the number average molecular weight (Mn) and the weight average molecular weight (Mw) are obtained, and then the polydispersity is obtained from the ratio (Mw/Mn). Sex (PDI). The measurement conditions of GPC are as follows.

<GPC測定條件> <GPC measurement conditions>

裝置:Agilent technologies,Co.的1200系列 Device: Agilent technologies, Co.'s 1200 Series

管柱:使用Polymer laboratories,Co.,的PLgel mixed B中之二者 Column: Two of PLgel mixed B using Polymer laboratories, Co.

溶劑:THF Solvent: THF

管柱溫度:35℃ Column temperature: 35 ° C

樣品濃度:1mg/mL,注射200L Sample concentration: 1mg/mL, injection 200L

標準樣品:聚苯乙烯(Mp:3900000,723000,316500,52200,31400,7200,3940,485) Standard sample: polystyrene (Mp: 3900000, 723000, 316500, 52200, 31400, 7200, 3940, 485)

製備例1 Preparation Example 1

藉以下方法合成以下式A化合物(DPM-C12)。在250mL瓶中,添加氫醌(10.0g,94.2mmole)和1-溴十二烷(23.5g,94.2mmole)並溶於100mL乙腈中,過量的碳酸鉀加至其中,此混合物於75℃在氮下反應約48小時。反應之後,移除剩餘的碳酸鉀和反應所用的乙腈。添加二氯甲烷(DCM)和水之混合溶劑進行處理,收集分離的有機層並經由MgSO4脫水。之後,使用DCM,經由管柱層析術,得到白色固態中間產物,產率約37%。 The following compound of the formula A (DPM-C12) was synthesized by the following method. In a 250 mL bottle, hydroquinone (10.0 g, 94.2 mmole) and 1-bromododecane (23.5 g, 94.2 mmole) were added and dissolved in 100 mL of acetonitrile, and an excess of potassium carbonate was added thereto at 75 ° C. The reaction was carried out under nitrogen for about 48 hours. After the reaction, the remaining potassium carbonate and the acetonitrile used in the reaction were removed. Treatment with a mixed solvent of dichloromethane (DCM) and water was carried out, and the separated organic layer was collected and dried over MgSO 4 . Thereafter, using DCM, via column chromatography, a white solid intermediate was obtained with a yield of about 37%.

<中間產物的NMR分析結果> <Results of NMR analysis of intermediate products>

1H-NMR(CDCl3):δ6.77(dd,4H);δ4.45(s,1H); δ3.89(t,2H);δ1.75(p,2H);δ1.43(p,2H);δ1.33-1.26(m,16H);δ0.88(t,3H) 1 H-NMR (CDCl 3 ): δ 6.77 (dd, 4H); δ 4.45 (s, 1H); δ 3.89 (t, 2H); δ 1.75 (p, 2H); δ 1.43 (p) , 2H); δ1.33-1.26(m, 16H); δ0.88(t, 3H)

合成的中間產物(9.8g,35.2mmole)、甲基丙烯酸(6.0g,69.7mmole)、二環己碳二亞胺(DCC;10.8g,52.3mmole)和對-二甲胺基吡啶(DMPA;1.7g,13.9mmole)置於瓶中,添加120ml二氯甲烷,於室溫在氮下反應24小時。反應完全之後,藉濾器移除反應中製得的脲鹽,亦移除剩餘的二氯甲烷。使用己烷和DCM(二氯甲烷)作為流動相通過管柱層析術移除雜質,所得產物在甲醇和水的混合溶劑(以1:1重量比混合)中再結晶,藉此得到白色固體產物(DPM-C12)(7.7g,22.2mmole),產率為63%。 Synthetic intermediate (9.8 g, 35.2 mmole), methacrylic acid (6.0 g, 69.7 mmole), dicyclohexylcarbodiimide (DCC; 10.8 g, 52.3 mmole) and p-dimethylaminopyridine (DMPA; 1.7 g, 13.9 mmole) was placed in a bottle, 120 ml of dichloromethane was added, and the reaction was carried out under nitrogen at room temperature for 24 hours. After the reaction was completed, the urea salt obtained in the reaction was removed by a filter, and the remaining dichloromethane was also removed. The impurities were removed by column chromatography using hexane and DCM (dichloromethane) as a mobile phase, and the obtained product was recrystallized from a mixed solvent of methanol and water (mixed in a 1:1 weight ratio), thereby obtaining a white solid. Product (DPM-C12) (7.7 g, 22.2 mmole), yield 63%.

<DPM-C12的NMR分析結果> <NMR Results of DPM-C12>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.32(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.76(p,2H);δ1.43(p,2H);1.34-1.27(m,16H);δ0.88(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.32 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t) , 2H); δ2.05 (dd, 3H); δ 1.76 (p, 2H); δ 1.43 (p, 2H); 1.34-1.27 (m, 16H); δ 0.88 (t, 3H)

其中,R是具12個碳原子的直鏈烷基。 Wherein R is a linear alkyl group having 12 carbon atoms.

製備例2 Preparation Example 2

根據製備例1之方法合成以下式B的化合物(DPM-C8),但使用1-溴辛烷代替1-溴十二烷。關於以上化合物的NMR分析結果如下。 The compound of the following formula B (DPM-C8) was synthesized according to the method of Preparation Example 1 except that 1-bromooctane was used instead of 1-bromododecane. The NMR analysis results for the above compounds are as follows.

<DPM-C8的NMR分析結果> <NMR Results of DPM-C8>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.32(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.76(p,2H);δ1.45(p,2H);1.33-1.29(m,8H);δ0.89(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.32 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t) , 2H); δ2.05 (dd, 3H); δ 1.76 (p, 2H); δ 1.45 (p, 2H); 1.33-1.29 (m, 8H); δ 0.89 (t, 3H)

其中,R是具8個碳原子的直鏈烷基。 Wherein R is a linear alkyl group having 8 carbon atoms.

製備例3 Preparation Example 3

根據製備例1之方法合成以下式C的化合物(DPM-C10),但使用1-溴癸烷代替1-溴十二烷。關於以上化合物的NMR分析結果如下。 The compound of the following formula C (DPM-C10) was synthesized according to the method of Preparation Example 1 except that 1-bromodecane was used instead of 1-bromododecane. The NMR analysis results for the above compounds are as follows.

<DPM-C10的NMR分析結果> <NMR Results of DPM-C10>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H); δ6.33(dt,1H);δ5.72(dt,1H);δ3.94(t,2H);δ2.06(dd,3H);δ1.77(p,2H);δ1.45(p,2H);1.34-1.28(m,12H);δ0.89(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.33 (dt, 1H); δ 5.72 (dt, 1H); δ 3.94 (t) , 2H); δ2.06 (dd, 3H); δ 1.77 (p, 2H); δ 1.45 (p, 2H); 1.34-1.28 (m, 12H); δ 0.89 (t, 3H)

其中,R是具10個碳原子的直鏈烷基。 Wherein R is a linear alkyl group having 10 carbon atoms.

製備例4 Preparation Example 4

根據製備例1之方法合成以下式D的化合物(DPM-C14),但使用1-溴十四烷代替1-溴十二烷。關於以上化合物的NMR分析結果如下。 The compound of the following formula D (DPM-C14) was synthesized according to the method of Preparation Example 1 except that 1-bromotetradecane was used instead of 1-bromododecane. The NMR analysis results for the above compounds are as follows.

<DPM-C14的NMR分析結果> <NMR Results of DPM-C14>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.33(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.77(p,2H);δ1.45(p,2H);1.36-1.27(m,20H);δ0.88(t,3H.) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.33 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t) , 2H); δ2.05 (dd, 3H); δ 1.77 (p, 2H); δ 1.45 (p, 2H); 1.36-1.27 (m, 20H); δ 0.88 (t, 3H.)

其中,R是具14個碳原子的直鏈烷基。 Wherein R is a linear alkyl group having 14 carbon atoms.

製備例5 Preparation Example 5

根據製備例1之方法合成以下式E的化合物(DPM-C16),但使用1-溴十六烷代替1-溴十二烷。關於以上化合物的NMR分析結果如下。 The compound of the following formula E (DPM-C16) was synthesized according to the method of Preparation Example 1 except that 1-bromohexadecane was used instead of 1-bromododecane. The NMR analysis results for the above compounds are as follows.

<DPM-C16的NMR分析結果> <NMR Results of DPM-C16>

1H-NMR(CDCl3):δ7.01(dd,2H);δ6.88(dd,2H);δ6.32(dt,1H);δ5.73(dt,1H);δ3.94(t,2H);δ2.05(dd,3H);δ1.77(p,2H);δ1.45(p,2H);1.36-1.26(m,24H);δ0.89(t,3H) 1 H-NMR (CDCl 3 ): δ 7.01 (dd, 2H); δ 6.88 (dd, 2H); δ 6.32 (dt, 1H); δ 5.73 (dt, 1H); δ 3.94 (t , 2H); δ2.05 (dd, 3H); δ 1.77 (p, 2H); δ 1.45 (p, 2H); 1.36-1.26 (m, 24H); δ 0.89 (t, 3H)

其中,R是具16個碳原子的直鏈烷基。 Wherein R is a linear alkyl group having 16 carbon atoms.

製備例6 Preparation Example 6

藉以下方法合成以下式F的化合物(DPM-N2)。在500mL瓶中,添加Pd/C(鈀於碳上)(1.13g,1.06mmole)和200mL 2-丙醇,之後添加溶於20mL水中的甲酸銨,之後藉由在室溫反應1分鐘而活化Pd/C。之後, 4-胺基酚(1.15g,10.6mmole)和月桂醛(1.95g,10.6mmole)加至其中,藉由在氮下攪拌此此混合物,而於室溫反應1分鐘。反應之後,移除Pd/C並移除用於反應的2-丙醇,之後以水和二氯甲烷萃取此混合物,以移除未反應的產物。收集有機層並以MgSO4脫水。粗產物藉管柱層析術(流動相:己烷/乙酸乙酯)純化,藉此得到無色的固態中間產物(1.98g,7.1mmole)(產率:67重量%)。 The compound of the following formula F (DPM-N2) was synthesized by the following method. In a 500 mL bottle, Pd/C (palladium on carbon) (1.13 g, 1.06 mmole) and 200 mL of 2-propanol were added, followed by addition of ammonium formate dissolved in 20 mL of water, followed by activation at room temperature for 1 minute. Pd/C. Thereafter, 4-aminophenol (1.15 g, 10.6 mmole) and lauric aldehyde (1.95 g, 10.6 mmole) were added thereto, and the mixture was stirred at room temperature for 1 minute by stirring under nitrogen. After the reaction, Pd/C was removed and 2-propanol for the reaction was removed, after which the mixture was extracted with water and dichloromethane to remove unreacted product. The organic layer was collected and dehydrated over MgSO 4. The crude product was purified by column chromatography (mobile phase: hexane/ethyl acetate) to afford colourless solid intermediate (1.98 g, 7.1 mmole) (yield: 67%).

<中間產物的NMR分析結果> <Results of NMR analysis of intermediate products>

1H-NMR(DMSO-d):δ6.69(dd,2H);δ6.53(dd,2H);δ3.05(t,2H);δ1.59(p,2H);δ1.40-1.26(m,16H);δ0.88(t,3H) 1 H-NMR (DMSO-d): δ 6.69 (dd, 2H); δ 6.53 (dd, 2H); δ 3.05 (t, 2H); δ 1.59 (p, 2H); δ 1.40- 1.26(m,16H);δ0.88(t,3H)

合成的中間產物(1.98g,7.1mmole)、甲基丙烯酸(0.92g,10.7mmole)、二環己碳二亞胺(DCC;2.21g,10.7mmole)和對-二甲胺基吡啶(DMPA;0.35g,2.8mmole)置於瓶中,添加100ml二氯甲烷,在氮下,於室溫反應24小時。反應完全之後,藉濾器移除反應期間內製得的脲鹽,亦移除剩餘的二氯甲烷。使用己烷和DCM(二氯甲烷)作為流動相通過管柱層析術移除雜質,所得產物在甲醇和水的混合溶劑(甲醇:水=3:1(重量比))中再結晶,藉此得到白色固體產物(DPM-N2)(1.94g,5.6mmole),產率為79%。 Synthetic intermediate (1.98 g, 7.1 mmole), methacrylic acid (0.92 g, 10.7 mmole), dicyclohexylcarbodiimide (DCC; 2.21 g, 10.7 mmole) and p-dimethylaminopyridine (DMPA; 0.35 g, 2.8 mmole) was placed in a bottle, 100 ml of dichloromethane was added, and the mixture was reacted under nitrogen at room temperature for 24 hours. After the reaction was completed, the urea salt prepared during the reaction was removed by a filter, and the remaining dichloromethane was also removed. The impurities were removed by column chromatography using hexane and DCM (dichloromethane) as a mobile phase, and the obtained product was recrystallized from a mixed solvent of methanol and water (methanol: water = 3:1 (weight ratio)). This gave the product as a white solid (DPM-N2) (1.94 g, 5.6 mmole).

<DPM-N2的NMR分析結果> <NMR Results of DPM-N2>

1H-NMR(CDCl3):δ6.92(dd,2H);δ6.58(dd,2H);δ6.31(dt,1H);δ5.70(dt,1H);δ3.60(s,1H);δ3.08(t,2H);δ2.05(dd,3H);δ1.61(p,2H);δ1.30-1.27(m,16H);δ0.88(t,3H) 1 H-NMR (CDCl 3 ): δ 6.92 (dd, 2H); δ 6.58 (dd, 2H); δ 6.31 (dt, 1H); δ 5.70 (dt, 1H); δ 3.60 (s) ,1H);δ3.08(t,2H);δ2.05(dd,3H);δ1.61(p,2H);δ1.30-1.27(m,16H);δ0.88(t,3H)

其中,R是具12個碳原子的直鏈烷基。 Wherein R is a linear alkyl group having 12 carbon atoms.

製備例7 Preparation Example 7

根據製備例1之方法,合成以下式G的化合物(DPM-C4),但使用1-溴丁烷代替1-溴十二烷。關於以上化合物的NMR分析結果如下。 According to the method of Preparation Example 1, the compound of the following formula G (DPM-C4) was synthesized, except that 1-bromobutane was used instead of 1-bromododecane. The NMR analysis results for the above compounds are as follows.

<DPM-C4的NMR分析結果> <NMR Results of DPM-C4>

1H-NMR(CDCl3):δ7.02(dd,2H);δ6.89(dd,2H);δ6.33(dt,1H);δ5.73(dt,1H);δ3.95(t,2H);δ2.06(dd,3H);δ1.76(p,2H);δ1.49(p,2H);δ0.98(t,3H) 1 H-NMR (CDCl 3 ): δ 7.02 (dd, 2H); δ 6.89 (dd, 2H); δ 6.33 (dt, 1H); δ 5.73 (dt, 1H); δ 3.95 (t) , 2H); δ2.06 (dd, 3H); δ 1.76 (p, 2H); δ 1.49 (p, 2H); δ 0.98 (t, 3H)

其中,R是具4個碳原子的直鏈烷基。 Wherein R is a linear alkyl group having 4 carbon atoms.

實例1 Example 1

2.0g製備例1的化合物(DPM-C12)、64mg RAFT(可逆加成裂片鏈轉移)試劑(二硫苯甲酸氰基異丙酯)、23mg AIBN(偶氮雙異丁腈)和5.34mL苯加至10mL瓶中,之後於室溫攪拌30分鐘,之後於70℃進行4小時的RAFT(可逆加成裂片鏈轉移)聚合反應。聚合反應之後,反應的溶液在250mL甲醇(其為萃取溶劑)中沉澱,經真空過濾並乾燥,以得到粉紅色的巨分子引發劑。此巨分子引發劑的產率約86%,其數量平均分子量(Mn)和多分散性(Mw/Mn)分別是9,000和1.16。 2.0 g of the compound of Preparation Example 1 (DPM-C12), 64 mg of RAFT (reversible addition split-chain transfer) reagent (cyanoisopropyl dithiobenzoate), 23 mg of AIBN (azobisisobutyronitrile) and 5.34 mL of benzene It was added to a 10 mL bottle, followed by stirring at room temperature for 30 minutes, followed by a RAFT (reversible addition split-chain transfer) polymerization reaction at 70 ° C for 4 hours. After the polymerization, the solution of the reaction was precipitated in 250 mL of methanol (which is an extraction solvent), vacuum filtered and dried to give a pink macromolecule initiator. The yield of this macroinitiator was about 86%, and its number average molecular weight (Mn) and polydispersity (Mw/Mn) were 9,000 and 1.16, respectively.

0.3g巨分子引發劑、2.7174g五氟苯乙烯和1.306mL苯加至10mL Schlenk瓶,之後於室溫攪拌30分鐘,之後於115℃進行為時4小時的RAFT(可逆加成裂片鏈轉移)聚合反應。聚合反應之後,反應的溶液在250mL甲醇(其為萃取溶劑)中沉澱,經真空過濾並乾燥,以得到淡粉紅色的嵌段共聚物。此嵌段共聚物的產率約18%,其數量平均分子量(Mn)和多分散性(Mw/Mn)分別是16,300和1.13。此嵌段共聚物包括自製備例1的化合物(DPM-C12)衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 0.3 g of macroinitiator, 2.7174 g of pentafluorostyrene and 1.306 mL of benzene were added to a 10 mL Schlenk bottle, followed by stirring at room temperature for 30 minutes, followed by RAFT for 4 hours at 115 ° C (reversible addition split chain transfer) Polymerization. After the polymerization, the solution of the reaction was precipitated in 250 mL of methanol (which is an extraction solvent), vacuum filtered and dried to give a pale pink block copolymer. The yield of this block copolymer was about 18%, and its number average molecular weight (Mn) and polydispersity (Mw/Mn) were 16,300 and 1.13, respectively. This block copolymer includes a first block derived from the compound of Preparation Example 1 (DPM-C12) and a second block derived from pentafluorostyrene.

實例2 Example 2

藉如實例1中之相同的方法製備嵌段共聚物,但使用以製備例2的化合物(DPM-C8)代替製備例1的化合物(DPM-C12)製得的巨分子引發劑並使用五氟苯乙烯。此嵌段共聚物包括自製備例2的化合物(DPM-C8)衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 A block copolymer was prepared by the same method as in Example 1, except that the macroinitiator prepared by substituting the compound of Preparation Example 2 (DPM-C8) in place of the compound of Preparation Example 1 (DPM-C12) and using pentafluorocarbon was used. Styrene. This block copolymer includes a first block derived from the compound of Preparation Example 2 (DPM-C8) and a second block derived from pentafluorostyrene.

實例3 Example 3

藉如實例1中之相同的方法製備嵌段共聚物,但使用以製備例3的化合物(DPM-C10)代替製備例1的化合物(DPM-C12)製得的巨分子引發劑並使用五氟苯乙烯。此嵌段共聚物包括自製備例3的化合物(DPM-C10)衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 The block copolymer was prepared by the same method as in Example 1, except that the macroinitiator prepared by substituting the compound of Preparation Example 3 (DPM-C10) in place of the compound of Preparation Example 1 (DPM-C12) and using pentafluorocarbon was used. Styrene. This block copolymer includes a first block derived from the compound of Preparation Example 3 (DPM-C10) and a second block derived from pentafluorostyrene.

實例4 Example 4

藉如實例1中之相同的方法製備嵌段共聚物,但使用以製備例4的化合物(DPM-C14)代替製備例1的化合物(DPM-C12)製得的巨分子引發劑並使用五氟苯乙烯。此嵌段共聚物包括自製備例4的化合物(DPM-C14)衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 The block copolymer was prepared by the same method as in Example 1, except that the macroinitiator prepared by substituting the compound of Preparation Example 4 (DPM-C14) in place of the compound of Preparation Example 1 (DPM-C12) and using pentafluorocarbon was used. Styrene. This block copolymer includes a first block derived from the compound of Preparation Example 4 (DPM-C14) and a second block derived from pentafluorostyrene.

實例5 Example 5

藉如實例1中之相同的方法製備嵌段共聚物,但使用以製備例5的化合物(DPM-C16)代替製備例1的化合物(DPM-C12)製得的巨分子引發劑並使用五氟苯乙 烯。此嵌段共聚物包括自製備例5的化合物(DPM-C16)衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 The block copolymer was prepared by the same method as in Example 1, except that the macroinitiator prepared by substituting the compound of Preparation Example 5 (DPM-C16) in place of the compound of Preparation Example 1 (DPM-C12) and using pentafluorocarbon was used. Phenylene Alkene. This block copolymer includes a first block derived from the compound of Preparation Example 5 (DPM-C16) and a second block derived from pentafluorostyrene.

實例6 Example 6 單體之合成 Monomer synthesis

根據以下方法合成3-羥基-1,2,4,5-四氟苯乙烯。五氟苯乙烯(25g,129mmole)加至400mL三級丁醇和氫氧化鉀(37.5g,161mmole)的混合溶液中;之後進行2小時的回流反應。反應之後,產物冷卻至室溫,添加1200mL水,剩餘之反應所用的丁醇係被揮發。加成物以二乙醚(300mL)萃取三次,含水相以10重量%氫氯酸溶液酸化直到其pH變成3,藉此沉澱出標的產物。沉澱的產物以二乙醚(300mL)萃取三次並收集有機層。有機層藉MgSO4脫水並移除溶劑。粗產物在管柱層析法中藉由使用己烷和DCM(二氯甲烷)作為流動相而純化,並藉此得到無色的液態3-羥基-1,2,4,5-四氟苯乙烯(11.4g)。其NMR分析結果如下。 3-Hydroxy-1,2,4,5-tetrafluorostyrene was synthesized according to the following method. Pentafluorostyrene (25 g, 129 mmole) was added to a mixed solution of 400 mL of tertiary butanol and potassium hydroxide (37.5 g, 161 mmole); followed by a reflux reaction for 2 hours. After the reaction, the product was cooled to room temperature, and 1200 mL of water was added, and the remaining butanol used in the reaction was volatilized. The adduct was extracted three times with diethyl ether (300 mL) and the aqueous phase was acidified with a 10% by weight hydrochloric acid solution until its pH became 3, whereby the title product was precipitated. The precipitated product was extracted three times with diethyl ether (300 mL) and organic layer was collected. The organic layer was dried over MgSO 4 and the solvent was removed. The crude product was purified by column chromatography using hexanes and DCM (dichloromethane) as a mobile phase, whereby colorless liquid 3-hydroxy-1,2,4,5-tetrafluorostyrene was obtained. (11.4g). The NMR analysis results are as follows.

<NMR分析結果> <NMR analysis results>

1H-NMR(DMSO-d):δ11.7(s,1H);δ6.60(dd,1H);δ5.89(d,1H);δ5.62(d,1H) 1 H-NMR (DMSO-d): δ 11.7 (s, 1H); δ 6.60 (dd, 1H); δ 5.89 (d, 1H); δ 5.62 (d, 1H)

嵌段共聚物之合成 Synthesis of block copolymer

在苯中,AIBN(偶氮雙異丁腈)、RAFT(可逆加成裂片鏈轉移)試劑(2-氰基-2-丙基十二基三硫碳酸酯)和製備例1的化合物(DPM-C12)以50:1:0.2(DPM-C12:RAFT試劑:AIBN)的重量比溶解(濃度:70重量%),之後,藉由使此混合物於氮下於70℃反應4小時而製得巨分子引發劑(數量平均分子量:14000,多分散性:1.2)。之後,在苯中,合成的巨分子引發劑、3-羥基-1,2,4,5-四氟苯乙烯(TFS-OH)和AIBN(偶氮雙異丁腈)以1:200:0.5(巨分子引發劑:TFS-OH:AIBN)的重量比溶解(濃度:30重量%),藉由令此混合物在氮下於70℃反應6小時,製得嵌段共聚物(數量平均分子量:35000,多分散性:1.2)。此嵌段共聚物包括自製備例1的化合物衍生的第一嵌段和自3-羥基-1,2,4,5-四氟苯乙烯衍生的第二嵌段。 In benzene, AIBN (azobisisobutyronitrile), RAFT (reversible addition split-chain transfer) reagent (2-cyano-2-propyldodecyltrithiocarbonate) and the compound of Preparation Example 1 (DPM -C12) was dissolved in a weight ratio of 50:1:0.2 (DPM-C12:RAFT reagent: AIBN) (concentration: 70% by weight), and then obtained by reacting the mixture under nitrogen at 70 ° C for 4 hours. Macromolecular initiator (quantitative average molecular weight: 14,000, polydispersity: 1.2). Thereafter, in benzene, the synthesized macroinitiator, 3-hydroxy-1,2,4,5-tetrafluorostyrene (TFS-OH) and AIBN (azobisisobutyronitrile) are 1:200:0.5 The weight ratio of the (macromolecule initiator: TFS-OH: AIBN) was dissolved (concentration: 30% by weight), and the mixture was reacted under nitrogen at 70 ° C for 6 hours to obtain a block copolymer (quantitative average molecular weight: 35000, polydispersity: 1.2). This block copolymer includes a first block derived from the compound of Preparation Example 1 and a second block derived from 3-hydroxy-1,2,4,5-tetrafluorostyrene.

實例7 Example 7 單體之合成 Monomer synthesis

根據以下方法合成以下的式I化合物。五氟苯乙烯(25g,129mmole)加至400mL三級丁醇和氫氧化鉀(37.5g,161mmole)的混合溶液中;之後進行2小時的回流反應。反應之後,產物冷卻至室溫,添加1200mL水,剩餘之反應所用的丁醇係被揮發。加成物以二乙醚(300mL)萃取三次,含水相以10重量%氫氯酸溶液酸化直 到其pH變成3,藉此沉澱出標的產物。沉澱的產物以二乙醚(300mL)萃取三次並收集有機層。有機層藉MgSO4脫水並移除溶劑。粗產物在管柱層析法中藉由使用己烷和DCM(二氯甲烷)作為流動相而純化,並藉此得到無色的液態中間產物(3-羥基-1,2,4,5-四氟苯乙烯)(11.4g)。其NMR分析結果如下。 The following compound of formula I was synthesized according to the following procedure. Pentafluorostyrene (25 g, 129 mmole) was added to a mixed solution of 400 mL of tertiary butanol and potassium hydroxide (37.5 g, 161 mmole); followed by a reflux reaction for 2 hours. After the reaction, the product was cooled to room temperature, and 1200 mL of water was added, and the remaining butanol used in the reaction was volatilized. The adduct was extracted three times with diethyl ether (300 mL) and the aqueous phase was acidified with a 10% by weight hydrochloric acid solution until its pH became 3, whereby the title product was precipitated. The precipitated product was extracted three times with diethyl ether (300 mL) and organic layer was collected. The organic layer was dried over MgSO 4 and the solvent was removed. The crude product was purified by column chromatography using hexanes and DCM (dichloromethane) as a mobile phase to give a colorless liquid intermediate (3-hydroxy-1,2,4,5- Fluorostyrene) (11.4 g). The NMR analysis results are as follows.

<NMR分析結果> <NMR analysis results>

1H-NMR(DMSO-d):δ11.7(s,1H);δ6.60(dd,1H);δ5.89(d,1H);δ5.62(d,1H) 1 H-NMR (DMSO-d): δ 11.7 (s, 1H); δ 6.60 (dd, 1H); δ 5.89 (d, 1H); δ 5.62 (d, 1H)

中間產物(11.4g,59mmole)溶於DCM(二氯甲烷)(250mL)中,之後將咪唑(8.0g,118mmole)、DMPA(對-二甲胺基吡啶)(0.29g,2.4mmole)和三級丁基氯二甲基矽烷(17.8g,118mmole)加至其中。藉攪拌而使此混合物於室溫反應24小時,藉添加100mL鹽水而中止反應,之後藉DCM進行另外的萃取。收集的DCM有機層藉MgSO4脫水並移除溶劑,以得到粗產物。在管柱層析法中藉使用己烷和DCM作為流動相加以純化之後,得到無色的液態標的產物(10.5g)。標的產物的NMR結果如下。 The intermediate product (11.4 g, 59 mmole) was dissolved in DCM (dichloromethane) (250 mL), then imidazole (8.0 g, 118 mmole), DMPA (p-dimethylaminopyridine) (0.29 g, 2.4 mmole) and three Grade butyl chlorodimethyl decane (17.8 g, 118 mmole) was added thereto. The mixture was reacted at room temperature for 24 hours by stirring, and the reaction was stopped by adding 100 mL of brine, followed by additional extraction by DCM. The collected DCM organic layer was dried over MgSO 4 and solvent was evaporated to afford crude. After purification by column chromatography using hexanes and DCM as a mobile phase, a colourless liquid title product (10.5 g) was obtained. The NMR results of the subject product are as follows.

<NMR分析結果> <NMR analysis results>

1H-NMR(CDCl3):δ6.62(dd,1H);δ6.01(d,1H);δ5.59(d,1H);δ1.02(t,9H),δ0.23(t,6H) 1 H-NMR (CDCl 3 ): δ 6.62 (dd, 1H); δ 6.01 (d, 1H); δ 5.59 (d, 1H); δ 1.02 (t, 9H), δ 0.23 (t , 6H)

嵌段共聚物之合成 Synthesis of block copolymer

在苯中,AIBN(偶氮雙異丁腈)、RAFT(可逆加成裂片鏈轉移)試劑(2-氰基-2-丙基十二基三硫碳酸酯)和製備例1的化合物(DPM-C12)以50:1:0.2(DPM-C12:RAFT試劑:AIBN)的重量比溶解(濃度:70重量%),之後,藉由使此混合物於氮下於70℃反應4小時而製得巨分子引發劑(數量平均分子量:14000,多分散性:1.2)。之後,在苯中,合成的巨分子引發劑、式I的化合物(TFS-S)和AIBN(偶氮雙異丁腈)以1:200:0.5(巨分子引發劑:TFS-S:AIBN)的重量比溶解(濃度:30重量%),之後藉由令此混合物在氮下於70℃反應6小時,製得嵌段共聚物(數量平均分子量:35000,多分散性:1.2)。此嵌段 共聚物包括自製備例1的化合物衍生的第一嵌段和自式I化合物衍生的第二嵌段。 In benzene, AIBN (azobisisobutyronitrile), RAFT (reversible addition split-chain transfer) reagent (2-cyano-2-propyldodecyltrithiocarbonate) and the compound of Preparation Example 1 (DPM -C12) was dissolved in a weight ratio of 50:1:0.2 (DPM-C12:RAFT reagent: AIBN) (concentration: 70% by weight), and then obtained by reacting the mixture under nitrogen at 70 ° C for 4 hours. Macromolecular initiator (quantitative average molecular weight: 14,000, polydispersity: 1.2). Thereafter, in benzene, the synthesized macroinitiator, the compound of formula I (TFS-S) and AIBN (azobisisobutyronitrile) are 1:200:0.5 (macroinitiator: TFS-S: AIBN) The weight ratio was dissolved (concentration: 30% by weight), and then a block copolymer (quantitative average molecular weight: 35,000, polydispersity: 1.2) was obtained by allowing the mixture to react at 70 ° C for 6 hours under nitrogen. This block The copolymer comprises a first block derived from the compound of Preparation Example 1 and a second block derived from a compound of formula I.

實例8 Example 8

在苯中,AIBN(偶氮雙異丁腈)、RAFT(可逆加成裂片鏈轉移)試劑(2-氰基-2-丙基十二基三硫基碳酸酯)和製備例6的化合物(DPM-N1)以26:1:0.5(DPM-C12:RAFT試劑:AIBN)的重量比溶解(濃度:70重量%),之後,藉由使得此混合物於氮下於70℃反應4小時而製得巨分子引發劑(數量平均分子量:9700,多分散性:1.2)。之後,在苯中,合成的巨分子引發劑、五氟苯乙烯(PFS)和AIBN(偶氮雙異丁腈)以1:600:0.5(巨分子引發劑:PFS:AIBN)的重量比溶解(濃度:30重量%),之後藉由令此混合物在氮下於115℃反應6小時,製得嵌段共聚物(數量平均分子量:17300,多分散性:1.2)。此嵌段共聚物包括自製備例6的化合物衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 In benzene, AIBN (azobisisobutyronitrile), RAFT (reversible addition split-split chain transfer) reagent (2-cyano-2-propyldodecylamidocarbonate) and the compound of Preparation 6 ( DPM-N1) was dissolved in a weight ratio of 26:1:0.5 (DPM-C12: RAFT reagent: AIBN) (concentration: 70% by weight), and then made by reacting the mixture under nitrogen at 70 ° C for 4 hours. A macroinitiator was obtained (quantitative average molecular weight: 9700, polydispersity: 1.2). Thereafter, in benzene, the synthesized macroinitiator, pentafluorostyrene (PFS) and AIBN (azobisisobutyronitrile) are dissolved in a weight ratio of 1:600:0.5 (macroinitiator: PFS: AIBN). (Concentration: 30% by weight), and then the mixture was reacted under nitrogen at 115 ° C for 6 hours to obtain a block copolymer (number average molecular weight: 17300, polydispersity: 1.2). This block copolymer includes a first block derived from the compound of Preparation Example 6 and a second block derived from pentafluorostyrene.

比較例1 Comparative example 1

藉與實例1相同的方法製得嵌段共聚物,但使用以製備例7的化合物(DPM-C4)代替製備例1的化合物(DPM-C12)所製得之巨分子引發劑並使用五氟苯乙烯。此嵌段共聚物包括自製備例7的化合物(DPM-C4)衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 A block copolymer was obtained by the same method as in Example 1, except that the macroinitiator prepared by substituting the compound of Preparation Example 7 (DPM-C4) in place of the compound of Preparation Example 1 (DPM-C12) and using pentafluorocarbon was used. Styrene. This block copolymer includes a first block derived from the compound of Preparation Example 7 (DPM-C4) and a second block derived from pentafluorostyrene.

比較例2 Comparative example 2

藉與實例1相同的方法製得嵌段共聚物,但使用以甲基丙烯酸4-甲氧基苯酯代替製備例1的化合物(DPM-C12)所製得之巨分子引發劑並使用五氟苯乙烯。此嵌段共聚物包括自甲基丙烯酸4-甲氧基苯酯衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 A block copolymer was obtained in the same manner as in Example 1, except that a macromolecular initiator prepared by substituting 4-methoxyphenyl methacrylate for the compound of Preparation Example 1 (DPM-C12) and using pentafluorocarbon was used. Styrene. This block copolymer comprises a first block derived from 4-methoxyphenyl methacrylate and a second block derived from pentafluorostyrene.

比較例3 Comparative example 3

藉與實例1相同的方法製得嵌段共聚物,但使用以甲基丙烯酸十二酯代替製備例1的化合物(DPM-C12)所製得之巨分子引發劑並使用五氟苯乙烯。此嵌段共聚物包括自甲基丙烯酸十二酯衍生的第一嵌段和自五氟苯乙烯衍生的第二嵌段。 A block copolymer was obtained in the same manner as in Example 1, except that a macroinitiator prepared by substituting the compound of Preparation Example 1 (DPM-C12) with dodecyl methacrylate was used and pentafluorostyrene was used. This block copolymer comprises a first block derived from dodecyl methacrylate and a second block derived from pentafluorostyrene.

試驗例1 Test example 1

藉使用實例1至8和比較例1至3之嵌段共聚物製得自組裝聚合物層並觀察其結果。具言之,各嵌段共聚物溶於溶劑中至1.0重量%的濃度,之後以3000rpm的速度以60秒鐘旋轉塗覆於矽晶圓上。之後,藉溶劑退火或熱退火進行自組裝。所用溶劑和老化方法示於以下的表1。之後,藉由使各聚合物層進行SEM(掃描式電子顯微鏡)或AFM(原子力顯微鏡)分析,以評估自組裝性。圖1至8分別為實例1至8的結果,而圖9至11分別是比較 例1至3的結果。 A self-assembled polymer layer was obtained by using the block copolymers of Examples 1 to 8 and Comparative Examples 1 to 3 and the results were observed. In other words, each block copolymer was dissolved in a solvent to a concentration of 1.0% by weight, and then spin-coated on a tantalum wafer at a speed of 3000 rpm for 60 seconds. Thereafter, self-assembly is performed by solvent annealing or thermal annealing. The solvent used and the aging method are shown in Table 1 below. Thereafter, each polymer layer was subjected to SEM (scanning electron microscope) or AFM (atomic force microscope) analysis to evaluate self-assembly. Figures 1 to 8 are the results of Examples 1 to 8, respectively, and Figures 9 to 11 are comparisons, respectively. The results of Examples 1 to 3.

試驗例2 Test example 2

自試驗例1可證實實例中之嵌段共聚物基本上具有極佳的自組裝性。實例中,以實例1製造的嵌段共聚物,評估GISAXS(掠角入射小角度X射線散射)性質。以上性質係在Pohang Light Source的3C beam line中評估。聚合物層係藉由將塗覆液(將實例1的嵌段共聚物溶於氟苯中,使得固體含量為0.7重量%)旋轉塗覆於具有親 水或疏水表面的基板上,以使得塗層具有5nm的厚度(塗覆區域:寬=1.5cm,長=1.5cm)並使其於室溫乾燥約1小時並使其之後於約160℃接受約1小時的熱退火處理而形成。所形成的聚合物層以X射線照射,使得入射角由約0.12度至0.23度,此對應於介於層的臨界角和基板的臨界角之間的角度,之後藉由使用2D marCCD,得到自層散射的X射線繞射圖案。此時,選擇層至偵測器之間的距離,以使得層中的自組裝圖案可以在約2m至3m的範圍內有效地被觀察到。作為具有親水表面的基板,使用對於純水在室溫之潤濕角為約5度的基板,且,作為具有疏水表面的基板,使用對於純水在室溫之潤濕角為約60度的基板。圖12係根據以上方法,對於純水在室溫之潤濕角為約5度的表面之GISAXS(掠角入射小角度X射線散射)分析的結果。圖13係根據以上方法,對於純水在室溫之潤濕角為約60度的表面(作為疏水表面)之GISAXS(掠角入射小角度X射線散射)分析的結果。由圖可證實任何情況中有面內相繞射圖案,且實例1之嵌段共聚物具有直立排列性質。 From Test Example 1, it was confirmed that the block copolymer in the examples had substantially excellent self-assembly. In the examples, the block copolymers produced in Example 1 were evaluated for GISAXS (grazing angle incident small angle X-ray scattering) properties. The above properties were evaluated in the 3C beam line of Pohang Light Source. The polymer layer was spin-coated by having a coating liquid (the block copolymer of Example 1 was dissolved in fluorobenzene so that the solid content was 0.7% by weight). On a substrate of water or a hydrophobic surface such that the coating has a thickness of 5 nm (coating area: width = 1.5 cm, length = 1.5 cm) and allowed to dry at room temperature for about 1 hour and then accepted at about 160 ° C. It is formed by a thermal annealing treatment for about 1 hour. The formed polymer layer is irradiated with X-rays such that the incident angle is from about 0.12 degrees to 0.23 degrees, which corresponds to an angle between the critical angle of the layer and the critical angle of the substrate, and is then obtained by using a 2D marCCD. The layer scatters the X-ray diffraction pattern. At this time, the distance from the layer to the detector is selected such that the self-assembly pattern in the layer can be effectively observed in the range of about 2 m to 3 m. As the substrate having a hydrophilic surface, a substrate having a wetting angle of about 5 degrees at room temperature for pure water is used, and as a substrate having a hydrophobic surface, a wetting angle of about 60 degrees at room temperature for pure water is used. Substrate. Figure 12 is a graph showing the results of a GISAXS (grazing angle incident small angle X-ray scattering) analysis of a surface having a wetting angle of about 5 degrees at room temperature for pure water according to the above method. Figure 13 is a graph of the GISAXS (grazing angle incident small angle X-ray scattering) analysis of a surface having a wetting angle of about 60 degrees at room temperature (as a hydrophobic surface) of pure water according to the above method. It can be confirmed from the figure that there is an in-phase phase diffraction pattern in any case, and the block copolymer of Example 1 has an upright alignment property.

此外,根據與實例1相同的方法,製得具有不同體積分率的嵌段共聚物,但單體和巨分子引發劑的莫耳比係經控制。 Further, according to the same method as in Example 1, block copolymers having different volume fractions were obtained, but the molar ratio of the monomer and the macroinitiator was controlled.

體積分率如下。 The volume fraction is as follows.

基於藉GPC(凝膠滲透層析)測得的分子量及於室溫的密度,計算嵌段共聚物之各嵌段的體積分率。其中,密度係藉浮力法測定,具言之,係藉在溶劑(乙醇)(其質量和在空氣中的密度為已知者)的質量計算,且GPC係根據前述方法進行。各樣品的GISAXS分析之結果示於圖14至16。圖14至16分別係樣品1至3的結果,且由圖可證實在GISAXS觀察到面內相繞射圖案,且因而可預測具有直立排列性質。 The volume fraction of each block of the block copolymer was calculated based on the molecular weight measured by GPC (gel permeation chromatography) and the density at room temperature. Among them, the density is measured by the buoyancy method, in other words, by the mass of the solvent (ethanol) (the mass and the density in the air are known), and the GPC is carried out according to the aforementioned method. The results of the GISAXS analysis of each sample are shown in Figures 14 to 16. 14 to 16 are the results of the samples 1 to 3, respectively, and it can be confirmed from the figure that the in-phase phase diffraction pattern was observed in the GISAXS, and thus it was predicted to have the upright alignment property.

試驗例3 Test Example 3

由試驗例1可證實實例中的嵌段共聚物基本上具有極佳的自組裝性。實例中,評估比較例1和2及實例1至5(自彼觀察到適當的結果)的表面能和密度。 It was confirmed from Test Example 1 that the block copolymer in the example basically had excellent self-assembly. In the examples, the surface energies and densities of Comparative Examples 1 and 2 and Examples 1 to 5 (the appropriate results were observed from the others) were evaluated.

表面能係藉由使用液滴形狀分析儀(DSA 100,KRUSS,Co.的產品)測定。表面能係以聚合物層評估,該聚合物層係藉由將塗覆液(將待評估的材料溶於氟苯中,使得固體含量為2重量%而製得)旋轉塗覆於矽晶圓上以使得塗層具有50nm的厚度(塗覆區域:寬=2cm,長=2cm)並之後使其於室溫乾燥約1小時並使其於約160℃ 接受約1小時的熱退火處理而形成。表面能可自平均值計算,此平均值係由以去離子水(H2O)和二碘甲烷(已知此兩種液體的表面張力)分別滴落5次而測得的平均值所算得。以下的表中,各嵌段的表面能係就根據以上方法而以形成相應嵌段之單體所形成的均聚物測得的表面能。 The surface energy was measured by using a droplet shape analyzer (DSA 100, product of KRUSS, Co.). The surface energy is evaluated by a polymer layer which is spin-coated on a tantalum wafer by coating a coating liquid (a material to be evaluated is dissolved in fluorobenzene so that a solid content is 2% by weight). Formed so that the coating has a thickness of 50 nm (coating area: width = 2 cm, length = 2 cm) and then allowed to dry at room temperature for about 1 hour and subjected to thermal annealing treatment at about 160 ° C for about 1 hour. . The surface energy can be calculated from the average value, which is calculated from the average value measured by deionized water (H 2 O) and diiodomethane (the surface tension of the two liquids are known). . In the table below, the surface energy of each block is the surface energy measured by the homopolymer formed by the monomers forming the corresponding blocks according to the above method.

測定密度的方法與前述者相同。 The method of measuring the density is the same as the foregoing.

測得的結果示於以下的表。 The measured results are shown in the table below.

由以上的表可證實在確認適當自組裝性的情況(實例1至5)中,有特定的趨勢。具言之,在實例1至 5的嵌段共聚物中,第一和第二嵌段的表面能間之差的絕對值在2.5mN/m至7mN/m的範圍內;但是,比較例的表面能間之差的絕對值不在以上範圍內。此外,第一嵌段的表面能比第二嵌段高,且在20mN/m至35mN/m的範圍內。此外,實例7至11之嵌段共聚物之第一和第二嵌段的密度間之差的絕對值為0.3g/cm3或更高。 From the above table, it can be confirmed that there is a specific tendency in the case of confirming appropriate self-assembly property (Examples 1 to 5). In other words, in the block copolymers of Examples 1 to 5, the absolute value of the difference between the surface energies of the first and second blocks is in the range of 2.5 mN/m to 7 mN/m; however, the comparative example The absolute value of the difference between the surface energies is not in the above range. Further, the surface energy of the first block is higher than the second block and is in the range of 20 mN/m to 35 mN/m. Further, the absolute value of the difference between the densities of the first and second blocks of the block copolymers of Examples 7 to 11 was 0.3 g/cm 3 or more.

試驗例4 Test Example 4

比較例1和2及已觀察到適當結果之實例1至5的XRD分析結果示於以下的表4。 The results of XRD analysis of Comparative Examples 1 and 2 and Examples 1 to 5 in which appropriate results have been observed are shown in Table 4 below.

藉由使得X射線通過在Pohang Light Source的3Cbeam line中的樣品,以根據散射向量(q),測定散射強度,評估XRD圖案。自未經任何特定的用以自彼移除雜質之純化之前處理的嵌段共聚物所得的粉末係在將其置於用於XRD測定的槽中後用以作為樣品。在XRD圖案分析期間內,所用X射線是直立尺寸為0.023mm且水平尺寸為0.3mm的X射線,所用偵測器是測定裝置(例如, 2D marCCD)。得到自樣品散射的2D繞射圖案的影像,藉由使用二十二酸銀(silver behenate),將所得繞射圖案校正至散射向量(q),之後根據散射向量(q)繪成散射強度。藉由根據散射向量(q)和峰擬合,繪出散射強度,得到峰的位置和FWHM。由以上結果可證實,相較於未確認有自組裝性之比較例,展現極佳自組裝性之嵌段共聚物係展現特定XRD圖案。具言之,在散射向量於0.5nm-1至10nm-1的範圍內,觀察到FWHM在0.2nm-1至1.5nm-1範圍內的峰;但是,比較例中未觀察到這樣的峰。 The XRD pattern was evaluated by passing X-rays through a sample in the 3Cbeam line of Pohang Light Source to determine the scattering intensity from the scattering vector (q). The powder obtained from the block copolymer which was not treated before any specific purification to remove impurities was used as a sample after being placed in a bath for XRD measurement. During the XRD pattern analysis, the X-rays used were X-rays having an upright size of 0.023 mm and a horizontal dimension of 0.3 mm, and the detector used was an assay device (for example, 2D marCCD). An image of the 2D diffraction pattern scattered from the sample is obtained, and the resulting diffraction pattern is corrected to the scattering vector (q) by using silver behenate, and then the scattering intensity is plotted according to the scattering vector (q). The position of the peak and the FWHM are obtained by plotting the scattering intensity from the scattering vector (q) and the peak fit. From the above results, it was confirmed that the block copolymer exhibiting excellent self-assembly exhibited a specific XRD pattern as compared with the comparative example in which self-assembly was not confirmed. With words, the scattering vector to 0.5nm -1 to 10nm - 1 range observed FWHM 0.2nm -1 to a peak in the range of 1.5nm -1; however, Comparative Examples to such a peak was not observed.

Claims (24)

一種嵌段共聚物,其包含式4所示的第一嵌段和包括具有至少一個鹵原子之芳族結構的第二嵌段: 其中,R是氫或具1至4個碳原子的烷基,X是單鍵、氧原子、硫原子、-S(=O)2-、羰基、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1是氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,而Y是單價取代基,其包含具有8或更多個成鏈原子的鏈連接之環狀結構。 A block copolymer comprising a first block of formula 4 and a second block comprising an aromatic structure having at least one halogen atom: Wherein R is hydrogen or an alkyl group having 1 to 4 carbon atoms, and X is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, a carbonyl group, an alkylene group, an alkenyl group, an alkynyl group. , -C(=O)-X 1 - or -X 1 -C(=O)-, wherein X 1 is an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group or An alkynyl group, and Y is a monovalent substituent comprising a chain-linked cyclic structure having 8 or more chain-forming atoms. 如申請專利範圍第1項之嵌段共聚物,其中,X是單鍵、氧原子、-C(=O)-O-或-O-C(=O)-。 The block copolymer of claim 1, wherein X is a single bond, an oxygen atom, -C(=O)-O- or -O-C(=O)-. 如申請專利範圍第1項之嵌段共聚物,其中,X是-C(=O)-O-。 The block copolymer of claim 1, wherein X is -C(=O)-O-. 如申請專利範圍第1項之嵌段共聚物,其中,該鏈包括8至20個成鏈原子。 The block copolymer of claim 1, wherein the chain comprises 8 to 20 chain-forming atoms. 如申請專利範圍第1項之嵌段共聚物,其中,該成鏈原子係碳、氧、氮或硫。 The block copolymer of claim 1, wherein the chain-forming atom is carbon, oxygen, nitrogen or sulfur. 如申請專利範圍第1項之嵌段共聚物,其中,該成 鏈原子係碳或氧。 Such as the block copolymer of claim 1, wherein the The chain atom is carbon or oxygen. 如申請專利範圍第1項之嵌段共聚物,其中,該鏈係直鏈烴鏈。 The block copolymer of claim 1, wherein the chain is a linear hydrocarbon chain. 如申請專利範圍第1項之嵌段共聚物,其中,該環狀結構係芳族結構和脂環族結構。 The block copolymer of claim 1, wherein the cyclic structure is an aromatic structure and an alicyclic structure. 如申請專利範圍第1項之嵌段共聚物,其中,Y的鏈係經由連接物連接至環狀結構,該連接物為氧原子、硫原子、-NR1-、-S(=O)2-、伸烷基、伸烯基或伸炔基,其中R1是氫、烷基、烯基、炔基、烷氧基或芳基。 The block copolymer of claim 1, wherein the chain of Y is linked to the cyclic structure via a linker, which is an oxygen atom, a sulfur atom, -NR 1 -, -S(=O) 2 An alkyl, alkenyl or alkynyl group, wherein R 1 is hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl. 如申請專利範圍第1項之嵌段共聚物,其中,Y係由以下的式2表示: 其中,P是伸芳基,Q是單鍵、氧原子或-NR3-,其中R3是氫、烷基、烯基、炔基、烷氧基或芳基,而Z是具8或更多個成鏈原子的鏈。 The block copolymer of claim 1, wherein Y is represented by the following formula 2: Wherein P is an exoaryl group, Q is a single bond, an oxygen atom or -NR 3 -, wherein R 3 is hydrogen, alkyl, alkenyl, alkynyl, alkoxy or aryl, and Z is 8 or more A chain of multiple chains of atoms. 一種嵌段共聚物,其包含式5所示的第一嵌段和包括具有至少一個鹵原子之芳族結構的第二嵌段: 其中,R是氫或具1至4個碳原子的烷基,X是-C(=O)-O-,P是具6至12個碳原子的伸芳基,Q是氧原子而Z是具8或更多個成鏈原子的鏈。 A block copolymer comprising a first block of formula 5 and a second block comprising an aromatic structure having at least one halogen atom: Wherein R is hydrogen or an alkyl group having 1 to 4 carbon atoms, X is -C(=O)-O-, P is an extended aryl group having 6 to 12 carbon atoms, Q is an oxygen atom and Z is A chain having 8 or more chained atoms. 如申請專利範圍第1或11項之嵌段共聚物,其中,該第二嵌段係由以下的式8表示: 其中,X2是單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1是單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,而W是經至少一個鹵原子取代的芳基。 The block copolymer of claim 1 or 11, wherein the second block is represented by the following formula 8: Wherein X 2 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 - C(=O)-, wherein X 1 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group or an alkynyl group, and W is substituted with at least one halogen atom Aryl. 如申請專利範圍第1或11項之嵌段共聚物,其中,該第二嵌段係由以下的式9表示: 其中,X2是單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基、伸炔基、-C(=O)-X1-或-X1-C(=O)-,其中X1是單鍵、氧原子、硫原子、-S(=O)2-、伸烷基、伸烯基或伸炔基,而R1至R5係各自獨立地為氫、烷基、鹵烷基或鹵原子;且R1至R5所含括的鹵原子數為1或更高。 The block copolymer of claim 1 or 11, wherein the second block is represented by the following formula 9: Wherein X 2 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an alkenyl group, an alkynyl group, -C(=O)-X 1 - or -X 1 - C(=O)-, wherein X 1 is a single bond, an oxygen atom, a sulfur atom, -S(=O) 2 -, an alkylene group, an extended alkenyl group or an alkynyl group, and R 1 to R 5 are each independently The ground is hydrogen, an alkyl group, a haloalkyl group or a halogen atom; and the number of halogen atoms included in R 1 to R 5 is 1 or more. 如申請專利範圍第13項之嵌段共聚物,其中,R1至R5所含括的鹵原子數為3或更高。 The block copolymer of claim 13, wherein R 1 to R 5 have a halogen atom number of 3 or more. 如申請專利範圍第13項之嵌段共聚物,其中,R1至R5所含括的鹵原子數為5或更高。 The block copolymer of claim 13, wherein R 1 to R 5 have a halogen atom number of 5 or more. 如申請專利範圍第1或11項之嵌段共聚物,其中,該鹵原子係氟原子。 The block copolymer of claim 1 or 11, wherein the halogen atom is a fluorine atom. 如申請專利範圍第1或11項之嵌段共聚物,其中,進行X射線繞射分析時,其在0.5nm-1至10nm-1的q值範圍內,展現半高寬在0.2nm-1至1.5nm-1之範圍的峰。 When the block copolymer as patent application range of 1 or 11, wherein the X-ray diffraction analysis, the value of q within the range of 0.5nm -1 to 10nm -1, showing the FWHM 0.2nm -1 A peak in the range of 1.5 nm -1 . 如申請專利範圍第1或11項之嵌段共聚物,其中,該第一嵌段的體積分率在0.4至0.8的範圍內,而該第二嵌段的體積分率在0.2至0.6的範圍內。 The block copolymer of claim 1 or 11, wherein the first block has a volume fraction in the range of 0.4 to 0.8, and the second block has a volume fraction in the range of 0.2 to 0.6. Inside. 如申請專利範圍第1或11項之嵌段共聚物,其中,該第一和第二嵌段的表面能(surface energy)間之差的絕對值在2.5mN/m至7mN/m的範圍內。 The block copolymer of claim 1 or 11, wherein the absolute value of the difference between the surface energies of the first and second blocks is in the range of 2.5 mN/m to 7 mN/m. . 如申請專利範圍第1或11項之嵌段共聚物,其中,該第一嵌段的表面能在20mN/m至35mN/m的範圍內。 The block copolymer of claim 1 or 11, wherein the surface energy of the first block is in the range of 20 mN/m to 35 mN/m. 如申請專利範圍第1或11項之嵌段共聚物,其中,該第一和第二嵌段的密度間之差的絕對值為0.3g/cm3或更高。 The block copolymer of claim 1 or 11, wherein the difference between the densities of the first and second blocks is 0.3 g/cm 3 or more. 一種聚合物層,其包含如申請專利範圍第1或11項之嵌段共聚物的自組裝產物。 A polymer layer comprising a self-assembled product of a block copolymer as claimed in claim 1 or 11. 一種形成聚合物層之方法,其包含形成包括如申請專利範圍第1或11項之嵌段共聚物的自組裝產物之聚合物層。 A method of forming a polymer layer comprising forming a polymer layer comprising a self-assembled product of a block copolymer as claimed in claim 1 or 11. 一種形成圖案之方法,其包含從包括基板和形成於該基板上且包含如申請專利範圍第1或11項之嵌段共聚物的自組裝產物之聚合物層的層合物(laminate),選擇性地移除嵌段共聚物中的第一嵌段或第二嵌段。 A method of forming a pattern comprising selecting a laminate comprising a substrate and a polymer layer formed on the substrate and comprising a self-assembled product of the block copolymer of claim 1 or 11 The first block or the second block in the block copolymer is removed.
TW103142784A 2013-12-06 2014-12-08 Block copolymer TWI532780B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR20130151865 2013-12-06
KR20130151866 2013-12-06
KR20130151867 2013-12-06
KR20130159994 2013-12-20
KR20140131964 2014-09-30

Publications (2)

Publication Number Publication Date
TW201534651A true TW201534651A (en) 2015-09-16
TWI532780B TWI532780B (en) 2016-05-11

Family

ID=53514851

Family Applications (14)

Application Number Title Priority Date Filing Date
TW103142777A TWI596124B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142745A TWI596119B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142963A TWI596152B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142780A TWI598368B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142782A TWI596125B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142794A TWI596127B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142798A TWI597300B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142784A TWI532780B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142786A TWI596126B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142790A TWI591085B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142955A TWI586692B (en) 2013-12-06 2014-12-08 Monomer and block copolymer
TW103142802A TWI586691B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142956A TWI557173B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142805A TWI596128B (en) 2013-12-06 2014-12-08 Block copolymer

Family Applications Before (7)

Application Number Title Priority Date Filing Date
TW103142777A TWI596124B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142745A TWI596119B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142963A TWI596152B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142780A TWI598368B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142782A TWI596125B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142794A TWI596127B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142798A TWI597300B (en) 2013-12-06 2014-12-08 Block copolymer

Family Applications After (6)

Application Number Title Priority Date Filing Date
TW103142786A TWI596126B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142790A TWI591085B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142955A TWI586692B (en) 2013-12-06 2014-12-08 Monomer and block copolymer
TW103142802A TWI586691B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142956A TWI557173B (en) 2013-12-06 2014-12-08 Block copolymer
TW103142805A TWI596128B (en) 2013-12-06 2014-12-08 Block copolymer

Country Status (2)

Country Link
KR (15) KR101763010B1 (en)
TW (14) TWI596124B (en)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3078690B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
CN105980342B (en) 2013-12-06 2019-02-15 株式会社Lg化学 Monomer and block copolymer
JP6334706B2 (en) 2013-12-06 2018-05-30 エルジー・ケム・リミテッド Block copolymer
WO2015084124A1 (en) 2013-12-06 2015-06-11 주식회사 엘지화학 Block copolymer
JP6483695B2 (en) 2013-12-06 2019-03-13 エルジー・ケム・リミテッド Block copolymer
EP3101043B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
KR101763010B1 (en) * 2013-12-06 2017-08-03 주식회사 엘지화학 Block copolymer
EP3078693B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
WO2015084133A1 (en) 2013-12-06 2015-06-11 주식회사 엘지화학 Block copolymer
EP3078688B1 (en) 2013-12-06 2020-03-04 LG Chem, Ltd. Block copolymer
JP6419820B2 (en) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド Block copolymer
JP6402867B2 (en) 2013-12-06 2018-10-10 エルジー・ケム・リミテッド Block copolymer
EP3078694B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
WO2015084126A1 (en) 2013-12-06 2015-06-11 주식회사 엘지화학 Block copolymer
US10227436B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
JP6394798B2 (en) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド Block copolymer
US10703897B2 (en) 2014-09-30 2020-07-07 Lg Chem, Ltd. Block copolymer
JP6532941B2 (en) 2014-09-30 2019-06-19 エルジー・ケム・リミテッド Block copolymer
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
JP6637495B2 (en) 2014-09-30 2020-01-29 エルジー・ケム・リミテッド Manufacturing method of patterned substrate
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
WO2016053010A1 (en) 2014-09-30 2016-04-07 주식회사 엘지화학 Block copolymer
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
WO2016053007A1 (en) 2014-09-30 2016-04-07 주식회사 엘지화학 Method for producing patterned substrate
US10240035B2 (en) 2014-09-30 2019-03-26 Lg Chem, Ltd. Block copolymer
KR102183698B1 (en) 2016-11-30 2020-11-26 주식회사 엘지화학 Preparation method for polymer layer
CN109983044B (en) 2016-11-30 2021-10-22 株式会社Lg化学 Polymer composition
JP6822561B2 (en) 2016-11-30 2021-01-27 エルジー・ケム・リミテッド Laminate
CN110023384B (en) 2016-11-30 2022-06-07 株式会社Lg化学 Laminate
KR101946775B1 (en) * 2016-11-30 2019-02-12 주식회사 엘지화학 Block copolymer
KR102096272B1 (en) * 2016-11-30 2020-04-02 주식회사 엘지화학 Block copolymer
KR102096271B1 (en) * 2016-11-30 2020-05-27 주식회사 엘지화학 Block copolymer
KR102096274B1 (en) * 2016-11-30 2020-04-02 주식회사 엘지화학 Block copolymer
US11193036B2 (en) 2017-07-14 2021-12-07 Lg Chem, Ltd. Neutral layer composition
KR102096270B1 (en) * 2017-07-14 2020-04-02 주식회사 엘지화학 Compositon for neural layer
KR102277770B1 (en) * 2017-07-14 2021-07-15 주식회사 엘지화학 Method for planarization of block copolymer layer and method for forming pattern
JP7027668B2 (en) * 2017-07-14 2022-03-02 エルジー・ケム・リミテッド Neutral layer composition
TWI805617B (en) * 2017-09-15 2023-06-21 南韓商Lg化學股份有限公司 Laminate
CN111164146B (en) 2017-10-27 2022-08-16 株式会社Lg化学 Random copolymer and pinning composition comprising the same
TWI695860B (en) * 2017-11-07 2020-06-11 南韓商Lg化學股份有限公司 Polymer composition
KR102436923B1 (en) * 2018-01-26 2022-08-26 주식회사 엘지화학 Block copolymer containing photo-sensitive moiety
KR102522249B1 (en) * 2018-08-16 2023-04-17 주식회사 엘지화학 Preparation method of patterened substrate
KR102484626B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Preparation method of substrate
KR102484628B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Compositon for neural layer
KR102550419B1 (en) 2018-08-16 2023-07-04 주식회사 엘지화학 Block copolymer
KR102484629B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Compositon for neural layer
KR102498631B1 (en) * 2018-08-16 2023-02-10 주식회사 엘지화학 Preparation method of patterened substrate
KR102484630B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Preparation method of patterened substrate
KR102484627B1 (en) * 2018-08-16 2023-01-04 주식회사 엘지화학 Pinning layer composition
KR102522182B1 (en) * 2018-08-31 2023-04-14 주식회사 엘지화학 Preparation method of patterened substrate
KR102534530B1 (en) * 2018-08-31 2023-05-19 주식회사 엘지화학 Preparation method of patterened substrate
KR20210103167A (en) 2020-02-13 2021-08-23 삼성전자주식회사 Semiconductor package

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3121116B2 (en) * 1992-05-21 2000-12-25 出光興産株式会社 Styrene block copolymer and method for producing the same
US5728431A (en) * 1996-09-20 1998-03-17 Texas A&M University System Process for forming self-assembled polymer layers on a metal surface
AU2384100A (en) 1998-12-30 2000-07-24 B.F. Goodrich Company, The Branched/block copolymers for treatment of keratinous substrates
JP4625901B2 (en) * 2000-11-08 2011-02-02 独立行政法人産業技術総合研究所 Syndiotactic aromatic vinyl block copolymer and process for producing the same
JP4453814B2 (en) * 2003-11-12 2010-04-21 Jsr株式会社 Polymerizable compound and mixture, and method for producing liquid crystal display device
JP2010115832A (en) 2008-11-12 2010-05-27 Panasonic Corp Method for promoting self-formation of block copolymer and method for forming self-formation pattern of block copolymer using the method for promoting self-formation
CN101492520A (en) * 2009-03-04 2009-07-29 中国科学院上海有机化学研究所 Diblock copolymer containing full-fluorine cyclobutyl aryl aether block and uses thereof
JP5505371B2 (en) * 2010-06-01 2014-05-28 信越化学工業株式会社 Polymer compound, chemically amplified positive resist material, and pattern forming method
WO2013036555A1 (en) * 2011-09-06 2013-03-14 Cornell University Block copolymers and lithographic patterning using same
KR101763010B1 (en) * 2013-12-06 2017-08-03 주식회사 엘지화학 Block copolymer

Also Published As

Publication number Publication date
KR101780097B1 (en) 2017-09-19
TW201538548A (en) 2015-10-16
KR20150067073A (en) 2015-06-17
TW201538546A (en) 2015-10-16
TW201536824A (en) 2015-10-01
KR101762487B1 (en) 2017-07-27
TWI597300B (en) 2017-09-01
KR101768291B1 (en) 2017-08-17
KR20150067071A (en) 2015-06-17
TWI586692B (en) 2017-06-11
TWI586691B (en) 2017-06-11
KR101770882B1 (en) 2017-08-24
KR101832025B1 (en) 2018-02-23
TW201534652A (en) 2015-09-16
KR20150067067A (en) 2015-06-17
KR20150067069A (en) 2015-06-17
TWI596128B (en) 2017-08-21
KR101780099B1 (en) 2017-09-19
TWI557173B (en) 2016-11-11
TW201538551A (en) 2015-10-16
KR101780098B1 (en) 2017-09-19
TWI596124B (en) 2017-08-21
TW201538552A (en) 2015-10-16
TWI596152B (en) 2017-08-21
TW201538550A (en) 2015-10-16
KR20150067074A (en) 2015-06-17
KR101768290B1 (en) 2017-08-18
KR20150067072A (en) 2015-06-17
TWI596125B (en) 2017-08-21
KR20150066487A (en) 2015-06-16
KR101768289B1 (en) 2017-08-30
KR101763009B1 (en) 2017-08-03
TW201536818A (en) 2015-10-01
KR20150066488A (en) 2015-06-16
TW201536822A (en) 2015-10-01
KR101763008B1 (en) 2017-08-14
TW201538549A (en) 2015-10-16
KR101763010B1 (en) 2017-08-03
TWI532780B (en) 2016-05-11
KR20150066489A (en) 2015-06-16
TW201602214A (en) 2016-01-16
KR20150067066A (en) 2015-06-17
KR20150067068A (en) 2015-06-17
TWI596126B (en) 2017-08-21
TW201538547A (en) 2015-10-16
TWI596119B (en) 2017-08-21
KR101768288B1 (en) 2017-08-17
KR20150067065A (en) 2015-06-17
TWI591085B (en) 2017-07-11
KR101780101B1 (en) 2017-09-19
KR20150067070A (en) 2015-06-17
TWI596127B (en) 2017-08-21
KR20150067064A (en) 2015-06-17
TW201536823A (en) 2015-10-01
KR20150066486A (en) 2015-06-16
TWI598368B (en) 2017-09-11
KR101780100B1 (en) 2017-09-19

Similar Documents

Publication Publication Date Title
TWI532780B (en) Block copolymer
TWI591086B (en) Block copolymer
JP6521974B2 (en) Block copolymer
CN107075052B (en) Block copolymer
JP6496318B2 (en) Block copolymer
CN107075054B (en) Block copolymer
JP6483694B2 (en) Monomers and block copolymers
JP6521975B2 (en) Block copolymer
US10239980B2 (en) Block copolymer
JP2017533303A (en) Block copolymer