TW201515089A - 電漿蝕刻方法 - Google Patents

電漿蝕刻方法 Download PDF

Info

Publication number
TW201515089A
TW201515089A TW103116021A TW103116021A TW201515089A TW 201515089 A TW201515089 A TW 201515089A TW 103116021 A TW103116021 A TW 103116021A TW 103116021 A TW103116021 A TW 103116021A TW 201515089 A TW201515089 A TW 201515089A
Authority
TW
Taiwan
Prior art keywords
gas
etched
plasma
processing
etching
Prior art date
Application number
TW103116021A
Other languages
English (en)
Other versions
TWI633598B (zh
Inventor
Tomiko Kamada
Akinori Kitamura
Hiroto Ohtake
Yutaka Osada
Yuji Otsuka
Masayuki Kohno
Yusuke Takino
Eiji Suzuki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201515089A publication Critical patent/TW201515089A/zh
Application granted granted Critical
Publication of TWI633598B publication Critical patent/TWI633598B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)

Abstract

本發明之課題在於以高均一性來實現等向性蝕刻。 一實施形態之蝕刻方法係用以對含矽之被蝕刻層進行電漿蝕刻;包含下述製程:將具有被蝕刻層之被處理體安置於處理容器內之製程;生成不含氧但含有氟碳氣體或是氫氟碳氣體之第1處理氣體之電漿,將被蝕刻層之表面氧化膜加以除去之製程;生成不含氧之第2處理氣體之電漿,將在除去氧化膜之製程中所生成之碳系反應產物加以除去之製程;以及,以微波來生成含有氟碳氣體或是氫氟碳氣體之第3處理氣體之電漿,在未對構成載置被處理體之載置台的下部電極施加高頻偏壓電力的情況下,將被蝕刻層加以蝕刻之製程。

Description

電漿蝕刻方法
本發明之實施形態係關於一種電漿蝕刻方法。
於半導體元件等電子元件之製造中會對被處理體之被蝕刻層進行蝕刻。此蝕刻中有時使用電漿蝕刻。電漿蝕刻包含有蝕刻往全方向進行之等向性蝕刻與蝕刻朝特定方向進行之異向性蝕刻,因應於蝕刻形狀而選擇等向性蝕刻或是異向性蝕刻。等向性蝕刻由於以截面形狀成為圓弧狀的方式進行蝕刻,而能以例如將被蝕刻層位於罩體圖案下部之部分加以除去的方式來對被蝕刻層進行加工。
使用如此之等向性蝕刻來加工被蝕刻層之方法已記載於專利文獻1。專利文獻1中記載了將位於散熱體(fin)下方之被蝕刻層亦即填埋氧化膜之一部分利用乾式蝕刻程序加以除去,並於橫向進行底切(under cut)來形成凹口(recess)形狀。
先前技術文獻
專利文獻1 日本特表2006-522486號公報
另一方面,對含矽之被蝕刻層進行蝕刻之際,做為前處理必須採用將因曝露於大氣而生成於矽表面之自然氧化膜加以除去之擊穿(break through)製程。此擊穿製程中,一般係產生CF4等含氟碳之處理氣體電漿來生成氟自由基,以氟自由基來除去矽表面之自然氧化膜。此時,為了防止源自氟碳之碳系殘渣附著於被處理體表面,一般會於處理氣體添加O2氣體。
但是,當於擊穿製程中對處理氣體添加O2氣體之情況,被蝕刻層會因O2氣體而再氧化,有時於被蝕刻層表面會生成源自SiO2之氧化物系殘渣。藉此,於擊穿製程後之主蝕刻製程中,會有此氧化物系殘渣產生微罩體之機能而使得被蝕刻層表面之蝕刻均一性惡化之情況。尤其,於等向性蝕刻中,由於係在設定低偏壓而減少電漿中離子之影響的狀態下進行蝕刻,故蝕刻均一性會顯著受到被處理體表面狀態之影響。從而,當如專利文獻1所記載般於被蝕刻層進行等向性蝕刻之情況,會因為於擊穿製程所生成之氧化物系殘渣之影響而使得蝕刻均一性惡化。
此外,為了防止碳系殘渣以及氧化物系殘渣之發生,有人想到於擊穿製程使用Cl2、HBr做為蝕刻劑,但由於此等蝕刻劑對矽之選擇比低而有時會蝕刻矽本身。其結果,有凹口形狀之控制變得困難之缺點。
從而,本技術區域中,需要一種能以高均一性來實現等向性蝕刻之蝕刻方法。
本發明之一觀點之電漿蝕刻方法,係用以對含矽之被蝕刻層進行電漿蝕刻;包含下述製程:將具有被蝕刻層之被處理體安置於處理容器內之製程;生成不含氧但含有氟碳氣體或是氫氟碳氣體之第1處理氣體之電漿,將被蝕刻層之表面氧化膜加以除去之製程;生成不含氧之第2處理氣體之電漿,將在除去氧化膜之製程中所生成之碳系反應產物加以除去之製程;以及,以微波來生成含有氟碳氣體或是氫氟碳氣體之第3處理氣體之電漿,在未對構成載置被處理體之載置台的下部電極施加高頻偏壓電力的情況下,將被蝕刻層加以蝕刻之製程。
本電漿蝕刻方法中,由於藉由不含氧但含有氟碳氣體或是氫氟碳氣體之第1處理氣體之電漿來除去被蝕刻層之氧化膜,可防止被蝕刻層再氧化而生成氧化物系殘渣。此外,藉由不含氧之第2處理氣體之電漿來除去碳系反應產物。此外,藉由第3處理氣體之電漿來蝕刻被蝕刻層。此蝕刻係在沒有氧化物系殘渣以及碳系反應產物的狀態下,未對下部電極施加高頻偏壓電力而進行,故能以高均一性將被蝕刻層加以等向性蝕刻。此外,由於第3處理氣體之電漿係使用微波而生成,而可實施高密度之自由基主體之蝕刻,其結果,可促進等向性蝕刻。
於本發明之其他觀點之電漿蝕刻方法中,被處理體可具有被蝕刻層以及設置於該被蝕刻層上之虛設閘極,於將被蝕刻層加以蝕刻之製程中,可將位於虛設閘極下方之被蝕刻層的被蝕刻層之一部分加以除去。
依據本電漿蝕刻方法,可於虛設閘極之下方形成凹口區域。
本發明之其他觀點之電漿蝕刻方法,亦可於將被蝕刻層加以蝕刻之製程中,供給1000W~1500W之範圍內的微波電力。
依據本電漿蝕刻方法,藉由供給1000W~1500W此種相對低的微波電力,則於蝕刻被蝕刻層之際,可抑制於被蝕刻層產生氧化物系殘渣。
本發明之其他觀點之電漿蝕刻方法,亦可在將被蝕刻層加以蝕刻之製程中,將處理容器內設定在100mTorr~400mTorr之範圍內之壓力。
依據本電漿蝕刻方法,將處理容器內之壓力設定為100mTorr~400mTorr來進行蝕刻,可促進在被蝕刻層水平方向之蝕刻,其結果,可進行等向性高的蝕刻。
本發明之其他觀點之電漿蝕刻方法,第3處理氣體亦可不含N2而含有Ar。
依據本電漿蝕刻方法,若載氣取代N2改用Ar,則於蝕刻被蝕刻層之際,可抑制於被蝕刻層產生氧化物系殘渣。
如以上說明般,依據本發明之各種觀點以及實施形態,能以高均一性來實現等向性蝕刻。
10‧‧‧電漿處理裝置
12‧‧‧處理容器
14‧‧‧天線
16‧‧‧同軸導波管
18‧‧‧介電質窗
20‧‧‧載置台
22‧‧‧平板
24‧‧‧靜電夾
26‧‧‧擋板
28‧‧‧排氣管
30‧‧‧排氣裝置
32‧‧‧微波產生器
34‧‧‧調諧器
36‧‧‧導波管
38‧‧‧模式變換器
40‧‧‧冷卻夾套
42‧‧‧介電質板
44‧‧‧狹縫板
50‧‧‧中央導入部
52‧‧‧周邊導入部
180‧‧‧平坦面
181‧‧‧凹部
Cnt‧‧‧控制部
DG‧‧‧虛設閘極
E‧‧‧半導體層
EL‧‧‧被蝕刻層
ER‧‧‧蝕刻區域
M‧‧‧罩體
NOL‧‧‧自然氧化膜
R‧‧‧反應產物
REC‧‧‧凹口區域
RFG‧‧‧高頻電源
SP‧‧‧間隔物
W‧‧‧被處理體
Z‧‧‧軸線
圖1係一實施形態之電漿蝕刻方法之流程圖。
圖2係說明一實施形態之電漿蝕刻方法之各製程之圖。
圖3係說明一實施形態之電漿蝕刻方法之各製程之圖。
圖4係說明一實施形態之電漿蝕刻方法之各製程之圖。
圖5係說明一實施形態之電漿蝕刻方法之各製程之圖。
圖6係說明一實施形態之電漿蝕刻方法之各製程之圖。
圖7係示意顯示一實施形態之電漿處理裝置之截面圖。
圖8係顯示狹縫板之一例之俯視圖。
圖9係顯示介電質窗之一例之俯視圖。
圖10係沿著圖9之X-X線所得截面圖。
圖11係顯示圖9所示介電質窗上設有圖8所示狹縫板之狀態的俯視圖。
圖12係顯示包含第1流量控制單元群、第1氣體源群、第2流量控制單元群、以及第2氣體源群之氣體供給系統之圖。
圖13係表示由實驗例1以及比較實驗例1、2所得被處理體之基於XPS之測定結果圖。
圖14係表示由實驗例2以及比較實驗例3所得被處理體之基於XPS之測定結果圖。
圖15係表示由實驗例2以及比較實驗例3所得被處理體之基於XPS之測定結果圖。
圖16係由實驗例3~6所得被處理體之基於XPS之測定結果圖。
圖17係表示由實驗例4~6所得被處理體之中心部以及周緣部之LV比之圖。
圖18係由實驗例7~9所得被處理體之基於XPS之測定結果圖。
圖19係由實驗例10~13所得被處理體之基於XPS之測定結果圖。
圖20係顯示由實驗例14~16所得被處理體之凹口區域形狀之圖。
圖21係表示壓力與橫向蝕刻速率以及LV比之關係圖。
圖22係表示由實驗例17、18所得被處理體之LV比以及罩體耗損之圖。
圖23係顯示由實驗例19所得被處理體之凹口區域形狀之圖。
圖24係表示由實驗例20、21所得被處理體中心部之凹口區域形狀之圖。
以下,參見圖式針對各種實施形態來詳細說明。此外,各圖式中針對同一或是對應之部分賦予同一符號。
圖1係一實施形態之電漿蝕刻方法之流程圖。圖1所示方法係將被處理體之被蝕刻層加以蝕刻以將位於虛設閘極下方之被蝕刻層之一部分予以除去而形成凹口區域。於一實施形態之電漿蝕刻方法中,首先於製程ST1係準備被處理體W。圖2係顯示適用圖1所示電漿蝕刻方法所得被處理體W之一例之截面圖。
圖2所示被處理體W乃於一般平面型或是散熱片型場效電晶體之製造的中間階段所製造之製造物。被處理體W具有做為結晶矽層之被蝕刻層EL。被蝕刻層EL係例如以化學氣相成長法(CVD)所形成。此被蝕刻層EL上設有複數虛設閘極DG。
虛設閘極DG係於結晶矽層上設置罩體M,以轉印該罩體M圖案的方式來蝕刻結晶矽層而形成。罩體M例如由SiN所構成。此外,虛設閘極DG具有間隔物SP。間隔物SP係沿著虛設閘極DG之一對側面而設。間隔物SP係例如由SiN所構成。
在被蝕刻層EL表面之複數虛設閘極DG之間的位置處形成有自然氧化膜NOL。此自然氧化膜NOL乃於被處理體W之製程中使得被蝕刻層EL暴露於大氣中而於結晶矽層表面所產生之氧化膜。
回到圖1,一實施形態之電漿蝕刻方法中,於後續製程ST2中係從被處理體W除去自然氧化膜NOL。自然氧化膜NOL之除去係於處理容器內生成第1處理氣體之電漿,使得圖2所示被處理體W暴露於該電漿中來實施。做為第1處理氣體係使用含有氟碳氣體或是氫氟碳氣體且不含氧之氣體。例如第1氣體可包含CF4或是CHF3。此外,第1處理氣體只要是可選擇性蝕刻自然氧化膜NOL,可包含任意氣體。例如第1處理氣體可進一步包含N2(氮)氣體、Ar(氬)氣體等惰性氣體做為載氣。於一實施形態,亦可使用微波來激發第1處理氣體以生成第1處理氣體之電漿。此外,以下之說明中,有時將氟碳氣體或是氫氟碳氣體總稱為「氟碳系氣體」。
於此製程ST2中,可將用以蝕刻自然氧化膜NOL之最低限度高頻偏壓電力施加於下部電極(構成載置被處理體W之載置台)。經此製程ST2,自然氧化膜NOL和氟碳系氣體起反應,從被蝕刻層EL表面除去自然氧化膜NOL。此外,由於第1處理氣體不含氧,而可防止於製程ST2中被蝕刻層EL被再氧化。另一方面,製程ST2中,氟碳系氣體之解離種之CFx(x為一以上之整數)係以反應產物R的形式生成。如圖3所示般,此反應產物R會附著於被處理體W表面。亦即,此反應產物R為碳系反應產物,乃用以阻礙被蝕刻層EL蝕刻進行之物質。此外,以下有時將製程ST2中自然氧化膜NOL之除去製程稱為「擊穿製程」。
接著於製程ST3中,從被處理體W除去反應產物R。反應產物R之除去係於處理容器內生成第2處理氣體之電漿,而將圖3所示被處理體W暴露於該電漿中來實施。第2處理氣體係使用可和反應產物R產生反應而將反應產物R以氣體形式除去、且不含氧之氣體。具體而言,第2處理氣體可使用含有Ar(氬)以及Cl2(氯)之氣體。藉由使用如此之第2氣體,可對於罩體M以及間隔物SP以高選擇比來除去反應產物R。於一實施形態,也可使用微波來激發第2處理氣體,以生成第2處理氣體之電漿。
此製程ST3中,亦可將用以除去反應產物R之最低限度的高頻偏壓電力施加於下部電極(構成載置被處理體W之載置台)。藉由此製程ST3,反應產物R可和第2處理氣體產生反應而從被處理體W表面除去反應產物R。此外,此反應產物R係以氣體形式排出到處理容器外部。如圖4所示般,於製程ST3中從被處理體W除去反應產物R,則會成為被蝕刻層EL之結晶矽層露出於表面之狀態。
於後續製程ST4中,對被蝕刻層EL實施等向性蝕刻。此外,以下有時將製程ST4之蝕刻稱為「主蝕刻」。此製程ST4之蝕刻係於處理容器內生成第3處理氣體之電漿,將圖4所示被處理體W暴露於該電漿中而實施。此電漿係使用微波來激發第3處理氣體而生成。第3處理氣體係使用氟碳系氣體。例如,第3氣體可包含CF4或是CHF3。此外,第3處理氣體只要是可選擇性蝕刻被蝕刻層EL,可包含任意氣體。例如第3處理氣體也可進而包含N2氣體、Ar氣體此等惰性氣體做為載氣。此外,第3處理氣體也可不包含N2而是包含Ar氣體來做為載氣。
此製程ST4中,係在下部電極未被施以高頻偏壓電力之情況下來實施蝕刻。藉此,因氟碳系氣體之解離而生成之F(氟)活性種會擴散至被蝕刻層EL之表面附近而和Si(矽)反應。與F活性種反應後之Si係例如以SiF4氣體的形式排出處理容器外。如此般,由於在製程ST4中未施加高頻偏壓電力,故如圖5所示般,蝕刻於被蝕刻層EL之縱向(垂直方向)以及橫向(水平方向)進行,而成為實施等向性蝕刻。以下,將製程ST4中已被蝕刻之區域稱為蝕刻區域ER。
藉由如此之製程ST4,形成凹口區域REC(位於虛設閘極DG下方之被蝕刻層EL之一部分被除去)。此凹口區域REC以具有因應於半導體元件要求之 形狀為所希望者,能以例如凹口區域REC之寬度L為12nm、寬度L對凹口區域之深度V的比(亦即LV比)為大於1之值的方式來形成。
凹口區域REC之形狀能以調整製程ST4中處理容器內壓力的方式獲得控制。此外,於製程ST4之主蝕刻製程中,若將處理容器內設定為100mTorr以上之壓力,則有蝕刻朝被蝕刻層EL之橫向進行的傾向。此外,若將處理容器內設定為100mTorr~400mTorr之壓力,有LV比提高之傾向。因此,於一實施形態中,可於主蝕刻製程中將處理容器內設定在100mTorr~400mTorr之範圍內的壓力。
於一實施形態,製程ST2~製程ST4可在同一電漿處理裝置內實施。此外,製程ST4中,亦可從後述微波產生器供給1000W~1500W範圍內之微波電力來生成第3處理氣體之電漿。若以如此相對低之電力來解離處理氣體,可抑制於被蝕刻層EL產生氧化物系殘渣。
於一實施形態,可於被處理體W上形成半導體層E。半導體層E例如可使用化學氣相成長法(CVD)使得SiGe或是SiC磊晶成長於被蝕刻層EL上而形成。半導體層E如圖6所示般,以將主蝕刻製程中所形成之蝕刻區域ER加以充填的方式從蝕刻區域ER底部形成至虛設閘極DG之高度方向之中途位置的高度為止。藉此,可於凹口區域REC填埋半導體層E。
以下,就一實施形態之電漿蝕刻方法之實施上所能使用之電漿處理裝置一例,係針對將微波當作電漿源使用之電漿處理裝置來說明。圖7係示意顯示一實施形態之電漿處理裝置之截面圖。
圖7所示電漿處理裝置10具備有處理容器12。處理容器12區劃出用以收容被處理體W之處理空間S。處理容器12可包含側壁12a、底部12b、以及頂部12c。
側壁12a具有朝軸線Z所延伸之方向(以下稱為「軸線Z方向」)來延伸之大致圓筒形狀。底部12b設置於側壁12a之下端側。側壁12a之上端部呈現開口。側壁12a之上端部開口由介電質窗18所封閉。介電質窗18被夾持在側壁12a之上端部與頂部12c之間。亦可於此介電質窗18與側壁12a之上端部之間介設密封構件SL1。密封構件SL1為例如O型環,有助於處理容器12之密閉。
電漿處理裝置10更具備有載置台20。載置台20設置於處理容器12內且為介電質窗18之下方。此載置台20包含有平板22以及靜電夾24。
平板22為大致圓盤狀之金屬製構件,例如由鋁所構成。平板22藉由筒狀支撐部SP1而受到支撐。支撐部SP1係從底部12b往垂直上方延伸。平板22兼做高頻電極。平板22經由匹配單元MU以及供電棒PFR而和產生高頻偏壓電力之高頻電源RFG成為電性連接狀態。高頻電源RFG係輸出可適合於對拉入被處理體W之離子能量進行控制之一定頻率(例如13.65MHZ)之高頻偏壓電力。匹配單元MU收容有用以在高頻電源RFG側之阻抗與主要為電極、電漿、處理容器12等負荷側阻抗之間取得整合之整合器。此整合器中包含有自偏壓生成用之阻斷電容器。
於平板22之上面設有靜電夾24。靜電夾24包含有底板24a以及夾頭部24b。底板24a為大致圓盤狀之金屬製構件,例如由鋁所構成。底板24a設置於平板22上。於底板24a之上面設有夾頭部24b。夾頭部24b之上面成為用以載置被處理體W之載置區域MR。夾頭部24b係將被處理體W以靜電吸附力加以保持。夾頭部24b包含有夾在介電質膜之間的電極膜。直流電源DCS經由開關SWT以及被覆線CL而電性連接於夾頭部24b之電極膜。夾頭部24b可藉由從直流電源DCS所施加之直流電壓而產生的庫倫力來將被處理體W吸附保持於其上面。此夾頭部24b之徑向外側處設有將被處理體W之邊緣加以環狀包圍之聚焦環FR。
於底板24a之內部設有沿著圓周方向延伸之環狀冷媒室24g。此冷媒室24g係從冷凝器單元經由配管PP1、PP3而被循環供給既定溫度之冷媒(例如冷卻水)。夾頭部24b上之被處理體W之處理溫度可藉由冷媒之溫度來控制。再者,來自熱傳導氣體供給部之熱傳導氣體(例如He氣體)係經由供給管PP2而被供給至夾頭部24b之上面與被處理體W之內面之間。
於載置台20之周圍設有環狀之排氣流路VL。於排氣流路VL之軸線Z方向的中間位置處設有環狀之擋板26(形成有複數貫通孔)。排氣流路VL連接於提供排氣口28h之排氣管28。排氣管28安裝於處理容器12之底部12b。排氣管28連接著排氣裝置30。排氣裝置30具有壓力調整器以及渦輪分子泵等真空泵。此排氣裝置30可將處理容器12內之處理空間S減壓至所希望之真空度。此外,若使得排氣裝置30運作,可從載置台20之外周經由排氣流路VL來進行氣體之排氣。
此外,電漿處理裝置10可進而具備做為溫度控制機構之加熱器HT、HS、HC以及HE。加熱器HT設於頂部12c內,以包圍天線14的方式環狀延伸著。此外,加熱器HS設於側壁12a內,以環狀延伸著。加熱器HC設於底板24a內。加熱器HC於底板24a內設置於上述載置區域MR之中央部分下方、亦即交叉於軸線Z之區域處。此外,加熱器HE設於底板24a內,以包圍加熱器HC的方式環狀延伸著。加熱器HE設於上述載置區域MR之外緣部分下方。
此外,電漿處理裝置10可進而具備天線14、同軸導波管16、介電質窗18、微波產生器32、調諧器34、導波管36、以及模式變換器38。微波產生器32係例如產生頻率2.45GHZ之微波。微波產生器32經由調諧器34、導波管36、以及模式變換器38而連接於同軸導波管16之上部。同軸導波管16係沿著其中心軸線之軸線Z而延伸著。於一實施形態,載置台20之載置區域MR之中心位於軸線Z上。
同軸導波管16包含有外側導體16a以及內側導體16b。外側導體16a具有延伸於軸線Z中心之圓筒形狀。外側導體16a之下端可和具有導電性表面之冷卻夾套40之上部成為電性連接。內側導體16b在外側導體16a內側係和該外側導體16a以同軸方式設置。內側導體16b具有延伸於軸線Z中心之圓筒形狀。內側導體16b之下端連接於天線14之狹縫板44。
於一實施形態中,天線14為輻線狹縫天線。此天線14配置於在頂部12c所形成之開口內,而設置於介電質窗18之上面之上。天線14包含有介電質板42以及狹縫板44。介電質板42用以縮短微波波長,具有大致圓盤形狀。介電質板42係由例如石英或是氧化鋁所構成。介電質板42被夾持於狹縫板44與冷卻夾套40之下面之間。天線14從而能以介電質板42、狹縫板44以及冷卻夾套40之下面所構成。圖8係顯示狹縫板之一例之俯視圖。狹縫板44為薄板狀且為圓盤狀。狹縫板44之板厚方向之兩面分別平坦。圓形之狹縫板44之中心CS位於軸線Z上。於狹縫板44設有複數狹縫對44p。複數狹縫對44p分別包含貫通於板厚方向之二個狹縫孔44a、44b。狹縫孔44a、44b分別之平面形狀為長孔形狀。於各狹縫對44p,狹縫孔44a之長軸所延伸之方向與狹縫孔44b之長軸所延伸之方向為相互交叉或是正交。
圖8所示例中,複數狹縫對44p被大致區分為設置在以軸線Z為中心之假想圓VC內側的內側狹縫對群ISP、以及設置於假想圓VC外側之外側狹縫對 群OSP。內側狹縫對群ISP包含有複數狹縫對44p。圖8所示例中,內側狹縫對群ISP包含有七對的狹縫對44p。內側狹縫對群ISP之複數狹縫對44p相對於中心CS在圓周方向上以等間隔配置排列著。內側狹縫對群ISP所含複數狹縫孔44a係以該狹縫孔44a之重心相對於狹縫板44之中心CS位於半徑r1之圓上的方式以等間隔配置排列著。此外,內側狹縫對群ISP所含複數狹縫孔44b係以該狹縫孔44b之重心相對於狹縫板44之中心CS位於半徑r2之圓上的方式以等間隔配置排列著。此處,半徑r2大於半徑r1。
外側狹縫對群OSP包含複數狹縫對44p。圖8所示例中,外側狹縫對群OSP包含有28個狹縫對44p。外側狹縫對群OSP之複數狹縫對44p相對於中心CS在圓周方向上以等間隔配置排列著。外側狹縫對群OSP所含複數狹縫孔44a係以該狹縫孔44a之重心相對於狹縫板44之中心CS位於半徑r3之圓上的方式以等間隔配置排列著。此外,外側狹縫對群OSP所含複數狹縫孔44b係以該狹縫孔44b之重心相對於狹縫板44之中心CS位於半徑r4之圓上的方式以等間隔配置排列著。此處,半徑r3大於半徑r2,半徑r4大於半徑Rr。
此外,內側狹縫對群ISP以及外側狹縫對群OSP之狹縫孔44a分別以其長軸相對於將中心CS與其重心加以連結之線具有同一角度的方式而形成。此外,內側狹縫對群ISP以及外側狹縫對群OSP之狹縫孔44b分別以其長軸相對於將中心CS與其重心加以連結之線具有同一角度的方式而形成。
圖9係顯示介電質窗之一例之俯視圖,乃顯示從處理空間S側觀看該介電質窗之狀態。圖10係沿著圖9之X-X線所取截面圖。介電質窗18具有大致圓盤形狀,由石英或是氧化鋁等介電質所構成。於介電質窗18之上面18u上設有狹縫板44。
於介電質窗18之中央形成有貫通孔18h。貫通孔18h之上側部分成為收容後述中央導入部50之注射器50b的空間18s,下側部分成為後述中央導入部50之中央導入口18i。此外,介電質窗18之中心軸線和軸線Z成為一致。
與介電質窗之上面18u成為相反側之面、亦即下面18b相接於處理空間S,成為生成電漿側之面。此下面18b係區劃出各種形狀。具體而言,下面18b於包圍中央導入口18i之中央區域具有平坦面180。此平坦面180為正交於軸線Z之平坦面。下面18b於平坦面180之徑向外側區域係連成環狀而區劃出朝向介電質窗18之板厚方向內方側凹陷為錐形狀之環狀第1凹部181。
第1凹部181係由內側錐形面181a、底面181b、以及外側錐形面181c所區劃出。底面181b相對於平坦面180設置於上面18u側,和平坦面180係成為平行地環狀延伸著。內側錐形面181a在平坦面180與底面181b之間以環狀延伸,相對於平坦面180成為傾斜。外側錐形面181c於底面181b與下面18b之周緣部之間以環狀延伸,相對於底面181b成為傾斜。此外,下面18b之周緣區域成為和側壁12a相接之面。
此外,下面18b區劃出從平坦面180往板厚方向內方側凹陷之複數第2凹部182。複數第2凹部182之個數在圖9以及圖10所示例中為7個。此等複數第2凹部182係沿著圓周方向以等間隔形成。此外,複數第2凹部182在正交於軸線Z之面具有圓形平面形狀。具體而言,區劃第2凹部182之內側面182a係延伸於軸線Z方向之圓筒面。此外,區劃第2凹部182之底面182b相對於平坦面180設置於上面18u側,成為和平坦面180平行之圓形面。
圖11係顯示在圖9所示介電質窗上設有圖8所示狹縫板之狀態之俯視圖,顯示從下側觀看介電質窗18之狀態。如圖11所示般,若俯視觀看、亦即從軸線Z方向觀看,則外側狹縫對群OSP之複數狹縫孔44a以及複數狹縫孔44b、以及內側狹縫對群ISP之複數狹縫孔44b係重疊於第1凹部181。具體而言,俯視上,外側狹縫對群OSP之複數狹縫孔44b之一部分重疊於外側錐形面181c,另一部分重疊於底面181b。此外,俯視上,外側狹縫對群OSP之複數狹縫孔44a重疊於底面181b。此外,俯視上,內側狹縫對群ISP之複數狹縫孔44b之一部分重疊於內側錐形面181a,另一部分重疊於底面181b。
此外,俯視上、亦即從軸線Z方向觀看,內側狹縫對群ISP之複數狹縫孔44a重疊於第2凹部182。具體而言,俯視上,複數第2凹部182之底面之重心(中心)分別以位於內側狹縫對群ISP之複數狹縫孔44a內的方式所構成。
再次參見圖7。電漿處理裝置10,由微波產生器32所產生之微波係通過同軸導波管16傳遞於介電質板42而從狹縫板44之狹縫孔44a以及44b供給至介電質窗18。
介電質窗18如上述般區劃第1凹部181之部分的板厚、以及區劃第2凹部182之部分的板厚相較於其他部分來得薄。從而,介電質窗18中區劃第1凹部181之部分以及區劃第2凹部182之部分的微波穿透性被提高。此外,從軸線Z方向觀看之情況,外側狹縫對群OSP之狹縫孔44a以及44b以及內側狹縫 對群ISP之狹縫孔44b係重疊於第1凹部181,內側狹縫對群ISP之狹縫孔44a係重疊於第2凹部182。從而,微波電場會集中於第1凹部181以及第2凹部182,使得微波能量集中於該第1凹部181以及第2凹部182。其結果,可於第1凹部181以及第2凹部182安定產生電漿,可於介電質窗18之正下方安定地產生分布於徑向以及圓周方向上的電漿。
此外,電漿處理裝置10具備有中央導入部50以及周邊導入部52。中央導入部50包含導管50a、注射器50b、以及中央導入口18i。導管50a通過同軸導波管16之內側導體16b的內孔。此外,導管50a之端部延伸至介電質窗18沿著軸線Z所區劃出之空間18s(參見圖10)內為止。於此空間18s內且於導管50a之端部下方收容有注射器50b。注射器50b設有延伸於軸線Z方向之複數貫通孔。此外,介電質窗18區劃出中央導入口18i。中央導入口18i係連續於空間18s下方,且沿著軸線Z而延伸著。相關構成之中央導入部50係經由導管50a而對注射器50b供給氣體,從注射器50b經由中央導入口18i而噴射氣體。如此般,中央導入部50係沿著軸線Z而對介電質窗18之正下方噴射氣體。亦即,中央導入部50係對電子溫度高之電漿生成區域導入氣體。
周邊導入部52包含複數周邊導入口52i。複數周邊導入口52i主要對於被處理體W之邊緣區域供給氣體。複數周邊導入口52i朝被處理體W之邊緣區域或是載置區域MR之緣部開口。複數周邊導入口52i相對於中央導入口18i在下方且於載置台20之上方沿著圓周方向而配置排列著。亦即,複數周邊導入口52i相對於介電質窗之正下方在電子溫度低之區域(電漿擴散區域)以軸線Z為中心呈環狀配置排列。此周邊導入部52從電子溫度低的區域朝向被處理體W供給氣體。從而,從周邊導入部52導入處理空間S之氣體之解離度相較於從中央導入部50供給於處理空間S之氣體之解離度會受到抑制。
中央導入部50經由第1流量控制單元群FCG1連接著第1氣體源群GSG1。此外,周邊導入部52經由第2流量控制單元群FCG2連接著第2氣體源群GSG2。圖12顯示包含第1流量控制單元群、第1氣體源群、第2流量控制單元群、以及第2氣體源群之氣體供給系統之圖。如圖12所示般,第1氣體源群GSG1包含有複數第1氣體源GS11~GS14。第1氣體源GS11~GS14分別為CF4氣體源、Cl2氣體源、Ar氣體源、N2氣體源。第1氣體源群GSG1亦可為進而包含有別於此等氣體之氣體源。
第1流量控制單元群FCG1包含複數第1流量控制單元FC11~FC14。複數第1流量控制單元FC11~FC14分別包含有例如二個閥以及設置於該二個閥間之流量控制器。流量控制器為例如質流控制器。複數第1氣體源GS11~GS14分別經由複數第1流量控制單元FC11~FC14連接於共通氣體線路GL1。此共通氣體線路GL1連接於中央導入部50。
第2氣體源群GSG2包含複數第1氣體源GS21~GS24。第2氣體源GS21~GS24分別為CF4氣體源、Cl2氣體源、Ar氣體源、N2氣體源。第2氣體源群GSG2也為可進而包含有別於此等氣體之氣體源。
第2流量控制單元群FCG2包含有複數第2流量控制單元FC21~FC24。複數第2流量控制單元FC21~FC24分別包含有例如二個閥與設於該二個閥間之流量控制器。流量控制器為例如質流控制器。複數第2氣體源GS21~GS24分別經由複數第2流量控制單元FC21~FC24而連接於共通氣體線路GL2。此共通氣體線路GL2連接於周邊導入部52。
如此般,電漿處理裝置10,複數第1氣體源以及複數第1流量控制單元設置為中央導入部50專用,而和此等複數第1氣體源以及複數第1流量控制單元相互獨立之複數第2氣體源以及複數第2流量控制單元設置為周邊導入部52專用。從而,從中央導入部50導入處理空間S之氣體種類、從中央導入部50導入處理空間S之一以上氣體流量可獨立控制,此外,從周邊導入部52導入處理空間S之氣體種類、從周邊導入部52導入處理空間S之一以上氣體流量可獨立控制。
例如,電漿處理裝置10,可將從周邊導入部52導入處理空間S之氣體中反應性氣體流量對稀有氣體流量的比設定為較從中央導入部50導入處理空間S之氣體中反應性氣體流量相對於稀有氣體流量的比來得大。此處所說反應性氣體可為CF4氣體源、Cl2氣體源。此外,可使得從周邊導入部52導入處理空間S之反應性氣體流量多於從中央導入部50導入處理空間S之反應性氣體流量。例如,可從中央導入部50將稀有氣體導入處理空間S,而將反應性氣體從周邊導入部52導入處理空間S。具體而言,於一實施形態之電漿蝕刻方法之製程ST4中,亦可從周邊導入部52將CF4氣體導入處理空間S,而從中央導入部50導入Ar氣體。從而,電漿處理裝置10可使得更多的反應性氣體之活性種在不失活性之前提下供給至被處理體W之邊緣區域。此外,電漿 處理裝置10,可抑制反應性氣體之過度解離,尤其可抑制會產生氟活性種之解離。
一實施形態中,電漿處理裝置10如圖7所示般可進而具備控制部Cnt。控制部Cnt可為可程式化電腦裝置之控制器。控制部Cnt可依據配方衍生之程式而控制電漿處理裝置10之各部。例如,控制部Cnt可對複數第1流量控制單元FC11~FC14送出控制訊號,而對於供給至中央導入部50之氣體種以及氣體流量進行調整。此外,控制部Cnt可對複數第2流量控制單元FC21~FC24送出控制訊號,而對供給至周邊導入部52之氣體種以及氣體流量進行調整。此外,控制部Cnt能以對微波功率、RF偏壓功率以及ON/OFF、以及處理容器12內壓力進行控制的方式來對微波產生器32、高頻電源RFG、排氣裝置30供給控制訊號。再者,控制部Cnt為了調整加熱器HT、HS、HC以及HE之溫度,可對連接於此等加熱器之加熱器電源送出控制訊號。
一實施形態中,周邊導入部52可進而包含環狀管52p。此管52p形成有複數周邊導入口52i。環狀管52p例如可由石英所構成。如圖7所示般,環狀管52p於一實施形態中係沿著側壁12a之內壁面來設置。換言之,環狀管52p並未被配置在將介電質窗18之下面與載置區域MR(亦即被處理體W)加以連結之路徑上。從而,環狀管52p並未妨礙電漿擴散。此外,由於環狀管52p沿著側壁12a之內壁面設置,可抑制該環狀管52p因電漿所致耗損,可減少該環狀管52p之交換頻率。再者,環狀管52p由於沿著可利用加熱器做溫度控制之側壁12a來設置,可提高從周邊導入部52導入處理空間S之氣體溫度之安定性。
此外,一實施形態中,複數周邊導入口52i朝被處理體W之邊緣區域開口著。亦即,複數周邊導入口52i係以朝向被處理體W之邊緣區域噴射氣體的方式相對於與軸線Z正交之平面呈傾斜。如此般由於周邊導入口52i以朝向被處理體W之邊緣區域成為傾斜的方式開口,所以從該周邊導入口52i噴射之反應性氣體之活性種會直接朝向被處理體W之邊緣區域。藉此,可將反應性氣體之活性種在不失活的情況下供給於被處理體W之邊緣。其結果,可降低被處理體W徑向之各區域的處理速度差異。
以上說明之一實施形態之電漿蝕刻方法中,由於藉由不含氧而是含有氟碳氣體或是氫氟碳氣體之第1處理氣體之電漿來除去被蝕刻層EL之自然 氧化膜NOL,可防止被蝕刻層EL再氧化而生成氧化物系之殘渣。此外,藉由不含氧之第2處理氣體之電漿來除去碳系反應產物R。此外,被蝕刻層EL受第3處理氣體之電漿所蝕刻。由於此蝕刻是在沒有氧化物系之殘渣以及碳系之反應產物R的狀態下、未對載置台20施加高頻偏壓電力的情況下來進行,故以高均一性來等向性蝕刻被蝕刻層EL。此外,由於第3處理氣體之電漿係使用微波而生成,可實施高密度之自由基主體之蝕刻,其結果,可促進等向性蝕刻。
以下,針對用以評價一實施形態之電漿蝕刻方法而使用電漿處理裝置10所進行之各種實驗例來說明。
(實驗例1以及比較實驗例1、2)
實驗例1中,使用電漿處理裝置10實施圖1所示電漿蝕刻方法之製程ST2,評估製程ST2之效果。具體而言,實驗例1中,從電漿處理裝置10之中央導入部50以及周邊導入部52將做為第1處理氣體之Ar氣體、CF4氣體分別以600sccm、12sccm之流量來供給於處理容器12內將被處理體W加以電漿蝕刻以除去被處理體W之自然氧化膜。此外,對於實驗例1所得被處理體W表面以X線光電子分光法(XPS)進行解析。此外,對上述第1處理氣體各添加O2氣體5sccm、9sccm,同樣地將被處理體W加以電漿蝕刻以此做為比較實驗例1、2。此外,對於實驗例1所得被處理體W與比較實驗例1、2所得被處理體W以及製程ST1中所準備之初期狀態之被處理體W進行比較。於實驗例1以及比較實驗例2、3中,其他處理條件如以下所述。
(實驗例1以及比較實驗例1、2中製程ST2之處理條件)
處理容器12內之壓力:20mT(2.666Pa)
微波:2.45GHZ、1000W
高頻偏壓電力:13.65MHZ、50W
處理時間:20秒
由實驗例1以及比較實驗例1、2所得被處理體W之基於XPS之測定結果如圖13所示。圖13(a)表示被處理體W表面之C的1s軌道之XPS光譜,圖13(b)表示被處理體W表面之O的1s軌道之XPS光譜。如圖13(a)所示般,確認了由實驗例1所得之被處理體W相較於初期狀態之被處理體W以及由比較實驗例1、2所得之被處理體W存在有較多碳化物。據推測此乃由於處理氣體中未 添加O2之實驗例1中,於製程ST2之擊穿製程中大量產生碳系反應產物而附著於被處理體W表面之故。另一方面,如圖13(b)所示般,確認了由實驗例1所得之被處理體W相較於初期狀態之被處理體W以及由比較實驗例1、2所得之被處理體W減少了氧化物。從此結果確認了實驗例1中從被處理體W表面適切地除去了自然氧化物,且氧化物難以生成於被處理體W表面。
(實驗例2以及比較實驗例3)
實驗例2中,使用電漿處理裝置10於一實施形態之電漿蝕刻方法之製程ST2之擊穿製程後實施製程ST3,評價製程ST3之效果。具體而言,實驗例2中,從電漿處理裝置10之中央導入部50以及周邊導入部52將做為第2處理氣體之Ar氣體以及Cl2氣體供給於處理容器12內而電漿蝕刻被處理體W以將附著於被處理體W表面之碳系反應產物加以除去。之後,對於實施製程ST4之主蝕刻所得被處理體W表面以X線光電子分光法(XPS)來解析。此外,比較實驗例3中,於擊穿製程後不實施製程ST3而是實施製程ST4之主蝕刻。此外,將由實驗例2所得之被處理體W與由比較實驗例3所得之被處理體W以及製程ST1中所準備之初期狀態之被處理體W加以比較。實驗例2以及比較實驗例3中,其他處理條件如以下所述。
(實驗例2以及比較實驗例3之製程ST2之處理條件)
處理容器12內之壓力:20mT(2.666Pa)
微波:2.45GHZ、2000W
高頻偏壓電力:13.65MHZ、75W
Ar氣體流量:600sccm
CF4氣體流量:12sccm
處理時間:20秒
(實驗例2之製程ST3之處理條件)
處理容器12內之壓力:20mT(2.666Pa)
微波:2.45GHZ、2000W
高頻偏壓電力:13.65MHZ、75W
Ar氣體流量:600sccm
Cl2氣體流量:32sccm
處理時間:10秒
(實驗例2以及比較實驗例3之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、3000W
高頻偏壓電力:13.65MHZ、0W
N2氣體流量:500sccm
Cl2氣體流量:120sccm
CF4氣體流量:12sccm
處理時間:20秒
實驗例2以及比較實驗例3所得之被處理體W基於XPS之測定結果如圖14以及圖15所示。圖14(a)表示被處理體W表面之C的1s軌道之XPS光譜,圖14(b)表示被處理體W表面之O的1s軌道之XPS光譜。圖15表示被處理體W表面之Si的2p軌道之XPS光譜。如圖14(a)所示般,確認了由實驗例2所得之被處理體W相較於由比較實驗例3所得之被處理體W大幅減少了碳化物,而和初期狀態之被處理體W則存在同程度之碳化物。由此結果確認了可利用製程ST3來將製程ST2中所產生之碳系反應產物的大部分予以除去。此外,如圖14(b)所示般,確認了由實驗例2所得之被處理體W相較於初期狀態之被處理體W大幅減少了氧化物,而和比較實驗例3所得之被處理體W則存在同程度之氧化物。由此結果確認了利用製程ST3可避免被處理體W受到氧化。進而,如圖15所示般,確認了由實驗例2所得之被處理體W相較於初期狀態之被處理體W減少了SiO2,而Si之存在量則被維持。由此結果確認了包含製程ST3之一實施形態之電漿蝕刻方法,可從被處理體W選擇性除去自然氧化膜NOL。
(實驗例3~6)
實驗例3~6中,以供給於處理容器12內之微波電力為參數進行各種變更,實施了一實施形態之電漿蝕刻方法之製程ST4。然後,將實驗例3~6所得之被處理體W表面以X線光電子分光法(XPS)來解析。此外,實驗例3~6中做為前製程之製程ST2、製程ST3之處理條件相同,製程ST4之其他條件則如以下所示。
(實驗例3之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、3000W
高頻偏壓電力:13.65MHZ、0W
N2氣體流量:500sccm
Cl2氣體流量:120sccm
CF4氣體流量:12sccm
處理時間:20秒
(實驗例4之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、2000W
高頻偏壓電力:13.65MHZ、0W
Ar氣體流量:200sccm
Cl2氣體流量:120sccm
CF4氣體流量:12sccm
處理時間:30秒
(實驗例5之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、1500W
高頻偏壓電力:13.65MHZ、0W
Ar氣體流量:200sccm
Cl2氣體流量:120sccm
CF4氣體流量:12sccm
處理時間:40秒
(實驗例6之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、1000W
高頻偏壓電力:13.65MHZ、0W
Ar氣體流量:200sccm
Cl2氣體流量:120sccm
CF4氣體流量:12sccm
處理時間:60秒
實驗例3~6所得之被處理體W之基於XPS之測定結果如圖16所示。圖16表示被處理體W表面之O的1s軌道之XPS光譜。如圖16所示般,確認了微波電力愈降低,則存在於被處理體W表面的氧化物愈減少。此氧化物被推定為源自於製程ST4之主蝕刻中所產生之SiO2的氧化物系殘渣。
此外,圖17表示實驗例4~6所得之被處理體W之中心部以及周緣部之LV比之圖。如圖17所示般,確認了藉由降低微波產生器32所供給之微波電力,則無論是被處理體之中心部以及周緣部均可提高LV比。從圖16以及圖17所示結果可確認:若將微波產生器32所供給之微波電力設定於1000W~1500W之範圍內可抑制於被處理體W所產生之氧化物系殘渣,並可提高LV比。此外,若將微波電力設定為大於1500W,有時候會因為氧化物系殘渣之影響造成元件性能降低,又若將微波電力設定為小於1000W則電漿之生成變得困難。
(實驗例7~9)
實驗例7~9中,以一實施形態之電漿蝕刻方法之製程ST4中添加於處理氣體之N2氣體流量為參數進行各種變更,對於被處理體W所產生之氧化物系殘渣之量進行評價。具體而言,實驗例7~9中,添加於處理氣體之N2氣體流量分別定為500sccm、100sccm、0sccm。此外,將實驗例7~9所得之被處理體W表面基於X線光電子分光法(XPS)來解析。此外,實驗例7~9中做為前製程之製程ST2、製程ST3之處理條件定為相同,而製程ST4中其他條件如以下所示。
(實驗例7~9之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、3000W
高頻偏壓電力:13.65MHZ、0W
Cl2氣體流量:120sccm
CF4氣體流量:12sccm
處理時間:20秒
實驗例7~9所得之被處理體W基於XPS之測定結果如圖18所示。圖18表示被處理體W表面之O的1s軌道之XPS光譜。如圖18所示般,確認了製程ST4 中添加於處理氣體之N2氣體流量愈小,則製程ST4中於被處理體W所產生之氧化物系殘渣之量愈為減少。
(實驗例10~13)
實驗例10~13中,對於一實施形態之電漿蝕刻方法之製程ST4中添加於處理氣體之載氣的種類以及流量進行各種變更,對於被處理體W所產生之氧系殘渣之量進行評價。具體而言,實驗例10中,在製程ST4中對於處理氣體以500sccm之流量添加N2氣體。實驗例11、12中,於製程ST4中並未於處理氣體添加N2氣體,取而代之以440sccm之流量添加Ar氣體。實驗例13中,於製程ST4中並未於處理氣體添加N2氣體,取而代之以200sccm之流量添加Ar氣體。此外,實驗例10、11中從微波產生器32供給3000W之微波電力,實驗例12、13中從微波產生器32供給2000W之微波電力。此外,將實驗例10~13所得之被處理體W表面以X線光電子分光法(XPS)來解析。此外,實驗例10~13中做為前製程之製程ST2、製程ST3之處理條件定為相同,製程ST4中其他條件則如以下所示。
(實驗例10~13之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、3000W
高頻偏壓電力:13.65MHZ、0W
Cl2氣體流量:120sccm
CF4氣體流量:12sccm
處理時間:20秒(實驗例10、11)、30秒(實驗例12、13)
實驗例10~13所得之被處理體W基於XPS之測定結果如圖19所示。圖19表示被處理體W表面之O的1s軌道之XPS光譜。如圖19所示般,確認了若製程ST4中未於處理氣體添加N2氣體,而是添加Ar氣體做為載氣,則製程ST4中於被處理體W所產生之氧化物系殘渣之量會減少。此外,確認了製程ST4中被處理體W所產生之氧化物系殘渣之量也取決於對處理氣體所添加之Ar氣體流量,Ar氣體流量愈小則氧化物系殘渣之量愈為減少。
(實驗例14~16)
實驗例14~16中,以一實施形態之電漿蝕刻方法之製程ST4中處理容器12內之壓力為參數進行各種變更,對於所形成之凹口區域REC之形狀進行 評價。具體而言,實驗例14~16中,將處理容器12內之壓力分別設定為20mT(2.66Pa)、100mT(13.33Pa)、200mT(26.66Pa)來實施製程ST4之主蝕刻。此外,實驗例14~16中,將處理時間分別設定為45秒、10秒、20秒。此外,實驗例14~16中,做為前製程之製程ST2、製程ST3之處理條件定為相同,製程ST4中其他條件如以下所示。
(實驗例14~16之製程ST4之處理條件)
微波:2.45GHZ、3000W
高頻偏壓電力:13.65MHZ、0W
N2氣體流量:400sccm
Cl2氣體流量:240sccm
CF4氣體流量:12sccm
圖20顯示實驗例14~16所得之被處理體W之凹口區域形狀之圖。圖20中顯示了實驗例14~16所得之被處理體W之凹口區域REC之示意圖以及該凹口區域REC之尺寸。如圖20所示般,確認了製程ST4中藉由改變處理容器內之壓力來進行主蝕刻,則可控制凹口區域REC之形狀。具體而言,由實驗例14所得之被處理體W會形成具有大致圓形截面形狀之凹口區域REC。相對於此,由實驗例15所得之被處理體W所形成之凹口區域REC係呈現凹口區域REC側面相對於其底面為大致垂直直立的形狀。由實驗例16所得之被處理體W所形成之凹口區域REC係呈現凹口區域REC之側面相對於其底面為傾斜之形狀。此外,從圖20所示結果確認了若製程S4中處理容器內之壓力大,則有凹口區域REC之LV比提高之傾向。此外,圖21顯示壓力與橫向蝕刻速率以及LV比之關係圖。如圖21所示般,確認了較100mT來得小的壓力是難以進行橫向蝕刻。
(實驗例17、18)
實驗例17、18中,以一實施形態之電漿蝕刻方法之製程ST4中處理容器內之壓力為參數進行各種變更,就所形成之凹口區域形狀以及對於罩體之選擇比進行評價。具體而言,實驗例17、18中,將處理容器內之壓力分別設定為200mT(26.66Pa)、400mT(53.32Pa)來實施製程ST4之主蝕刻。此外,實驗例17、18中做為前製程之製程ST2、製程ST3之處理條件定為相同,製程ST4之其他條件則如以下所示。
(實驗例17、18之製程ST4之處理條件)
微波:2.45GHZ、1000W
高頻偏壓電力:13.65MHZ、0W
Ar氣體流量:880sccm
CF4氣體流量:53sccm
處理時間:40秒
此外,就實驗例17、18所得之被處理體W中凹口區域REC之形狀以及對於罩體之選擇比進行測量。圖22表示製程ST4中處理容器內之壓力與凹口區域REC之LV比以及罩體耗損之關係圖。此處,罩體耗損表示因製程ST4之蝕刻而除去之罩體M厚度。圖22(a)表示被處理體W之中心部的LV比以及罩體耗損之圖,圖22(b)表示被處理體W之周緣部的LV比以及罩體耗損之圖。如圖22所示般,確認了若壓力從200mT提高到400mT則LV比會提高,且對於罩體M之選擇比會提高而減少罩體耗損。從圖20~圖22所示結果確認了藉由將製程ST4中處理容器內之壓力設定於100mTorr~400mTorr之範圍內,則對於罩體能以高選擇比來將被蝕刻層加以蝕刻,並可提高LV比。
(實驗例19)
實驗例19係對於一實施形態之電漿蝕刻方法之製程ST4中變更反應性氣體之情況下所形成之凹口區域REC之形狀進行評價。具體而言,實驗例19中,未對處理氣體添加CF4氣體,而是添加Cl2氣體做為反應性氣體來實施製程ST4之主蝕刻。實驗例19之處理條件如以下所示。
(實驗例19之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、3000W
高頻偏壓電力:13.65MHZ、0W
N2氣體流量:400ccm
Cl2氣體流量:240sccm
處理時間:20秒
圖23係顯示實驗例19所得之被處理體W之凹口區域REC形狀之圖。圖23中顯示了由實驗例19所得之被處理體W之凹口區域REC之示意圖以及其 凹口區域REC之LV比。如圖23所示般,確認了當反應性氣體取代CF4氣體改用Cl2氣體來實施主蝕刻之情況,於被蝕刻層之橫向不會進行蝕刻。
(實驗例20、21)
實驗例20、21中,針對一實施形態之電漿蝕刻方法之製程ST4中變更處理氣體流量比之情況下,蝕刻區域之形狀進行評價。具體而言,實驗例20中,將做為處理氣體之Ar氣體與CF4氣體以880:53之流量比供給於處理容器內。另一方面,實驗例21中,將做為處理氣體之Ar氣體與CF4氣體以880:22之流量比供給於處理容器內。此外,實驗例21、22中,做為前製程之製程ST2、製程ST3之處理條件定為相同,製程ST4之其他條件則如以下所示。
(實驗例20、21之製程ST4之處理條件)
處理容器12內之壓力:200mT(26.66Pa)
微波:2.45GHZ、1000W
高頻偏壓電力:13.65MHZ、0W
處理時間:30秒
圖24(a)係顯示由實驗例20所得之被處理體W之中心部的凹口區域REC形狀之示意圖。圖24(b)係顯示由實驗例21所得之被處理體W之中心部的凹口區域REC形狀之示意圖。如圖24(a)、(b)所示般,確認了由實驗例21所得之被處理體W相較於由實驗例20所得之被處理體W在蝕刻均一性上惡化。由此結果確認了:於製程ST4中,藉由將Ar氣體與CF4氣體之流量比設定為880:53,能以高均一性來蝕刻被蝕刻層。此外,雖省略實驗例之說明,但確認了藉由在維持Ar氣體與CF4氣體之流量比的狀態下來增加處理氣體全體之流量,可提高主蝕刻之面內均一性之控制性。
以上,針對各種實施形態做了說明,但本發明不限定於上述實施形態而可構成各種變形態樣。
ST1‧‧‧被處理體之準備
ST2‧‧‧自然氧化膜之除去
ST3‧‧‧反應產物之除去
ST4‧‧‧主蝕刻

Claims (7)

  1. 一種電漿蝕刻方法,用以對含矽之被蝕刻層進行電漿蝕刻;包含下述製程:將具有該被蝕刻層之被處理體安置於處理容器內之製程;生成不含氧但含有氟碳氣體或是氫氟碳氣體之第1處理氣體之電漿,將該被蝕刻層之表面氧化膜加以除去之製程;生成不含氧之第2處理氣體之電漿,將在除去該氧化膜之製程中所生成之碳系反應產物加以除去之製程;以及以微波來生成含有氟碳氣體或是氫氟碳氣體之第3處理氣體之電漿,在未對構成載置該被處理體之載置台的下部電極施加高頻偏壓電力的情況下,將該被蝕刻層加以蝕刻之製程。
  2. 如申請專利範圍第1項之電漿蝕刻方法,其中該被處理體具有該被蝕刻層以及設置於該被蝕刻層上之虛設閘極;在將該被蝕刻層加以蝕刻之製程中,將位於該虛設閘極下方之被蝕刻層之一部分加以除去。
  3. 如申請專利範圍第1項之電漿蝕刻方法,其中在將該被蝕刻層加以蝕刻之製程中,供給1000W~1500W之範圍內的微波電力。
  4. 如申請專利範圍第2項之電漿蝕刻方法,其中在將該被蝕刻層加以蝕刻之製程中,供給1000W~1500W之範圍內的微波電力。
  5. 如申請專利範圍第1至4項中任一項之電漿蝕刻方法,其中在將該被蝕刻層加以蝕刻之製程中,將該處理容器內設定在100mTorr~400mTorr之範圍內之壓力。
  6. 如申請專利範圍第1至4項中任一項之電漿蝕刻方法,其中該第3處理氣體不含N2而含有Ar。
  7. 如申請專利範圍第5項之電漿蝕刻方法,其中該第3處理氣體不含N2而含有Ar。
TW103116021A 2013-05-08 2014-05-06 Plasma etching method TWI633598B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013-098762 2013-05-08
JP2013098762A JP2014220387A (ja) 2013-05-08 2013-05-08 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
TW201515089A true TW201515089A (zh) 2015-04-16
TWI633598B TWI633598B (zh) 2018-08-21

Family

ID=51864018

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103116021A TWI633598B (zh) 2013-05-08 2014-05-06 Plasma etching method

Country Status (4)

Country Link
US (1) US9412607B2 (zh)
JP (1) JP2014220387A (zh)
KR (1) KR102185192B1 (zh)
TW (1) TWI633598B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI782220B (zh) * 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
JP6861535B2 (ja) * 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US10242885B2 (en) 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330045A (ja) * 1998-05-08 1999-11-30 Nec Corp 酸化膜及びシリコン層の積層膜のエッチング方法
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP4176365B2 (ja) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
CN100334693C (zh) * 2004-05-21 2007-08-29 中国科学院微电子研究所 15-50纳米线宽多晶硅栅的刻蚀方法
US7794616B2 (en) * 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
JP4946017B2 (ja) 2005-11-25 2012-06-06 ソニー株式会社 半導体装置の製造方法
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
KR100950470B1 (ko) * 2007-06-22 2010-03-31 주식회사 하이닉스반도체 반도체 메모리소자의 스토리지전극 형성방법
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
KR100971414B1 (ko) * 2008-04-18 2010-07-21 주식회사 하이닉스반도체 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법
KR100875180B1 (ko) * 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
JP5530088B2 (ja) * 2008-10-20 2014-06-25 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR101033354B1 (ko) * 2008-10-23 2011-05-09 주식회사 동부하이텍 반도체 소자의 미세패턴 형성방법
JP5814663B2 (ja) * 2011-07-13 2015-11-17 株式会社日立ハイテクノロジーズ プラズマ処理方法及びゲートラスト方式のメタルゲートの製造方法

Also Published As

Publication number Publication date
TWI633598B (zh) 2018-08-21
KR20140132688A (ko) 2014-11-18
US20140332372A1 (en) 2014-11-13
KR102185192B1 (ko) 2020-12-01
US9412607B2 (en) 2016-08-09
JP2014220387A (ja) 2014-11-20

Similar Documents

Publication Publication Date Title
US8748322B1 (en) Silicon oxide recess etch
TW201526117A (zh) 蝕刻方法
US11462412B2 (en) Etching method
JP6086862B2 (ja) 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
TWI633598B (zh) Plasma etching method
KR20210061937A (ko) 막을 에칭하는 방법 및 플라즈마 처리 장치
TWI597777B (zh) 蝕刻方法
TW201535509A (zh) 蝕刻矽層之方法、以及電漿處理裝置
TWI797134B (zh) 電漿處理方法及電漿處理裝置
EP2840592B1 (en) Semiconductor device manufacturing method
TWI759348B (zh) 被處理體之處理方法
EP2846349A1 (en) Plasma processing method
EP3016134A1 (en) Method of etching organic film
WO2015170676A1 (ja) プラズマエッチング処理方法
US12009219B2 (en) Substrate processing method
TW202245056A (zh) 基板處理方法及基板處理裝置
JP2016143811A (ja) プラズマ処理方法