TW201436042A - 基板處理裝置、半導體裝置之製造方法及基板處理裝置的檔板構造 - Google Patents

基板處理裝置、半導體裝置之製造方法及基板處理裝置的檔板構造 Download PDF

Info

Publication number
TW201436042A
TW201436042A TW103119481A TW103119481A TW201436042A TW 201436042 A TW201436042 A TW 201436042A TW 103119481 A TW103119481 A TW 103119481A TW 103119481 A TW103119481 A TW 103119481A TW 201436042 A TW201436042 A TW 201436042A
Authority
TW
Taiwan
Prior art keywords
plate
gas
substrate processing
coil
disposed
Prior art date
Application number
TW103119481A
Other languages
English (en)
Other versions
TWI562233B (en
Inventor
Hidehiro Yanai
Shin Hiyama
Toru Kakuda
Toshiya Shimada
Tomihiro Amano
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201436042A publication Critical patent/TW201436042A/zh
Application granted granted Critical
Publication of TWI562233B publication Critical patent/TWI562233B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

[課題]於習知之產生電漿的基板處理裝置中,不容易產生高密度之電漿,其結果會產生基板處理之生產率低的問題。[解決課題之手段]為了解決上述課題,提供一種基板處理裝置,其具有:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;第一板,其設於該氣體導入口與該線圈之上端之間;第二板,其設於該第一板與該線圈之上端之間;基板處理室,其係於該反應容器內設在與該蓋部不同之方向;及排氣部,其與該基板處理室連接。

Description

基板處理裝置、半導體裝置之製造方法及基板處理裝置的檔板構造
本發明有關於一種產生電漿並藉由此電漿對基板進行處理之基板處理裝置、半導體裝置之製造方法及用於基板處理裝置的檔板構造。
於製造半導體裝置時,使用電漿進行各種之處理。作為產生電漿的方法之一,具有所謂感應耦合方式(ICP(Inductive Coupling Plasma)方式)。ICP方式係利用對線圈供給高頻電力,於電漿產生空間形成電場,以使供給於此空間內之氣體成為電漿狀態者。作為ICP方式之基板處理裝置,具有例如專利文獻1之裝置。
先前技術 專利文獻
專利文獻1 日本特開2003-77893號公報
然而,於習知之基板處理裝置中,不容易產生高密度之電漿,其結果會產生基板處理之生產率低的問題。
本發明之目的在於提供一種在使用電漿之基板處理中能進行高生產率之基板處理的基板處理裝置、半導體裝置之製造方法及用於基板處理裝置的檔板構造。
為了達成上述目的,本發明提供一種基板處理裝置,其包含:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;第一板,其設於該氣體導入口與該線圈之上端之間;第二板,其設於該第一板與該線圈之上端之間;基板處理室,其係於該反應容器內設在與該蓋部不同之方向;及排氣部,其與該基板處理室連接。
又,為了達成上述目的,本發明提供一種半導體裝置之製造方法,係使用了基板處理裝置之半導體裝置的製造方法,該基板處理裝置具有:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;第一板,其設於該氣體導入口與該線圈之上端之間;第二板,其設於該第一板與該線圈之上端之間;基板處理室,其係於該反應容器內設在與該蓋部不同之方向;及排氣部,其 與該基板處理室連接;該半導體裝置之製造方法包含:經由該第一板及第二板將自該氣體導入口導入之氣體導向該線圈附近的製程;藉由該線圈使該氣體成為電漿狀態,對載置於該基板處理室內之基板進行處理的製程;及藉由該排氣部對氣體進行排氣的製程。
又,為了達成上述目的,本發明提供一種檔板構造,係使用於基板處理裝置之檔板構造,該基板處理裝置具有:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;基板處理室,其係於該反應容器內設在與該蓋部不同之方向;及排氣部,其與該基板處理室連接;該檔板構造係於該氣體導入口與該線圈之上端之間積層地配置第一板及第二板。
根據本發明,可進行高生產率之基板處理。
10‧‧‧灰化裝置
100‧‧‧EFEM
110,120‧‧‧FOUP
130‧‧‧常壓機器人
200‧‧‧真空預備室部
210,220‧‧‧緩衝單元
211,221‧‧‧晶舟
212,222‧‧‧指標組件
250,260‧‧‧真空預備室
300‧‧‧傳送室部
310‧‧‧傳送室
311,312,313,314‧‧‧閘閥
320‧‧‧真空機械臂機器人單元
321‧‧‧機械手指
325‧‧‧θ軸
326‧‧‧Y軸
400‧‧‧製程反應室部
410,420‧‧‧電漿處理單元
411,421‧‧‧晶圓承載盤
412,422‧‧‧Z軸
413,423‧‧‧昇降銷
430,440‧‧‧電漿產生室
431,441‧‧‧反應容器
432,442‧‧‧共振線圈
433,443‧‧‧氣體導入口
445‧‧‧處理室
444‧‧‧高頻電源
446‧‧‧頻率整合器
448‧‧‧水平底座板
452‧‧‧外側屏蔽
453‧‧‧O型密封環
454‧‧‧頂板
454a‧‧‧蓋部
455‧‧‧氣體供給管
458‧‧‧檔環
460‧‧‧檔板
462,466‧‧‧活動式插座
463‧‧‧加熱器
464‧‧‧固定接地
468‧‧‧RF感測器
470,500‧‧‧控制器
472‧‧‧顯示器(顯示裝置)
480‧‧‧排氣管
482‧‧‧氣體供給單元
600‧‧‧晶圓
第1圖為用以說明本發明之較佳具體實施例的灰化裝置之概略橫剖視圖。
第2圖為用以說明本發明之較佳具體實施例的灰化裝置之概略縱剖視圖。
第3圖為用以說明本發明之較佳具體實施例的灰化裝置所具有之電漿處理單元的縱剖視圖。
第4圖為用以說明本發明之較佳具體實施例的灰化裝置所具有之電漿處理單元的檔板之縱剖視圖。
第5圖為用以說明本發明之較佳具體實施例的灰化裝置所具有之電漿處理單元的檔板之安裝構造之縱剖視圖。
第6圖為用以說明本發明之較佳具體實施例的灰化裝置所具有之電漿處理單元的氣體流速之縱剖視圖。
第7圖為正常放電界限電力之說明圖。
第8圖為本發明之較佳具體實施例的灰化裝置所具有之電漿處理單元的處理流程圖。
以下,參照圖面,針對本發明之較佳具體實施例進行說明。本發明有關於例如在半導體製造裝置中使用之基板處理方法者。尤其有關於一種乾灰化製程,其係藉由ICP方式使反應性氣體成為電漿狀態,並藉由依此獲得之具有高反應性的反應種(反應性活性種),以剝離基板表面之既定有機薄膜(光阻、光阻膜)。
於本發明之較佳實施例中,藉由半導體製造裝置、作為基板處理裝置而使用之灰化裝置,實現半導體裝置之製造方法及基板處理方法。第1圖為用以說明本發明之較佳具體實施例的灰化裝置之概略橫剖視圖,第2圖為用以說明本發明之較佳具體實施例的灰化裝置之概略縱剖視圖。如第1及第2圖所示,灰化裝置10係具備:EFEM(設備前端模組:Equipment Front End Module)100、真空預備室部200、傳送模組部300、及用作為執行灰化處理之處理室的製程反應室部400。
EFEM100係具備:FOUP(前開式標準晶圓盒:Front Opening Unified Pod)110,120、及作為將晶圓自這些FOUP朝真空預備室搬送之第一搬送部的常壓機器人130。於FOUP搭載有25片晶圓,常壓機器人130之機械臂部係自FOUP中各抽取5片晶圓。
真空預備室部200係具備:真空預備室250,260、及在真空預備室250,260內分別保持自FOUP所搬送出之晶圓600的緩衝單元210,220。緩衝單元210,220係具備晶舟211,221及這些晶舟下部之指標組件212,222。晶舟211及其下部之指標組件212係依θ軸214而同時進行旋轉。同樣,晶舟221及其下部之指標組件222係依θ軸224而同時進行旋轉。
傳送模組部300係具有用作為搬送室之傳送模組310,前述之真空預備室250,260係隔著閘閥311,312而被安裝於傳送模組310上。於傳送模組310上設有用作為第二搬送部之真空機械臂機器人單元320。
製程反應室部400係具有用作為處理室之電漿處理單元410,420、及設於上部的電漿產生室430,440。電漿處理單元410,420係隔著閘閥313,314而被安裝於傳送模組310上。
電漿處理單元410,420係具備載置晶圓600之晶圓承載盤411,421。並且,分別貫穿晶圓承載盤411,421而設有昇降銷413,423。昇降銷413,423係於Z軸412,422之方向上分別上下移動。
電漿產生室430,440係分別具備反應容器431,441。於反應容器431,441之外部設有後述的共振線圈。藉由對共振線圈施加高頻電力,以使自後述之氣體導入口導入的灰化處理用之反應氣體成為電漿狀態。利用此電漿對載置於晶圓承載盤411,421上之晶圓600上的光阻進行灰化處理(電漿處理)。
又,具有電性連接於各構成之控制器500。控制器500係用以控制各構成之動作。
於如上述所構成之灰化裝置10中,自FOUP 110,120分別朝真空預備室250,260搬送晶圓600。此時,首先如第2圖所示,常壓機器人130使晶圓鑷子進入FOUP之晶圓盒,且朝晶圓鑷子上載置5片晶圓。此時,使常壓機器人之晶圓鑷子及機械臂上下移動,而對準於取出之晶圓的高度方向的位置。於朝晶圓鑷子上載置晶圓之後,常壓搬送機器人130朝θ軸131方向旋轉,分別於緩衝單元210,220之晶舟211,221上搭載晶圓。此時,藉由晶舟211,221之Z軸230方向的動作,晶舟211,221分別自大氣搬送機器人130接受25片晶圓600。待接受25片晶圓之後,分別使晶舟211,221朝Z軸230方向動作,以使位於晶舟211,221之最下層的晶圓與傳送模組部300之高度位置一致。
於真空預備室250,260中,於此真空預備室250,260內將藉由緩衝單元210,220所分別保持之晶圓600搭載於真空機械臂機器人單元320的機械手指321上。使真空機械臂機器人單元320於θ軸朝325方向旋 轉,再使機械手指朝Y軸326方向延伸,分別移載於電漿處理單元410,420內之晶圓承載盤411,421上。
在此,針對將晶圓600自機械手指321朝晶圓承載盤411,421上移載時之灰化裝置10的動作進行說明。
藉由真空機械臂機器人單元320之機械手指321與昇降銷413,423的協同動作,分別將晶圓600移載於晶圓承載盤411,421上。另外,藉由相反之動作,藉真空機械臂機器人單元320分別自晶圓承載盤411,421上將完成處理之晶圓600移載於真空預備室250,260內之緩衝單元210,220上。
第3圖顯示電漿處理單元410之詳細構成。又,前述之電漿處理單元420係與電漿處理單元410為相同之構成。另外,電漿處理單元420所具有之前述的晶圓承載盤421與晶圓承載盤411為相同之構成。
電漿處理單元410係以乾式處理對半導體基板或半導體元件實施灰化處理之ICP方式的電漿處理單元。如第3圖所示,電漿處理單元410係具備:用以產生電漿之電漿產生室430、收容半導體基板等之晶圓600的處理室445、將高頻電力提供給電漿產生室430(尤其是共振線圈432)之高頻電源444、及控制高頻電源444的振盪頻率之頻率整合器446。例如,可構成為在作為台架的水平之底座板448的上部配置該電漿產生室430,且於底座板448的下部配置處理室445的構成。另外,由共振線圈432及外側屏蔽452構成螺旋共振器。
電漿產生室430係構成為可進行減壓且被供給電漿用之反應氣體,其由反應容器431、捲繞於反應容器外周之共振線圈432、及配置於共振線圈432外周且被電性接地之外側屏蔽452構成。
反應容器431係以高純度之石英玻璃或陶瓷而形成為筒狀之所謂的腔室。反應容器431係以其軸線成為垂直的方式配置,且藉由頂板454及設於與頂板454不同方向的處理室445而使得其上下端被氣密性地密封。頂板454係被支撐於反應容器431之凸緣431b及外側屏蔽452的上端。
頂板454係具有將反應容器431之一端封閉的蓋部454a、及支撐蓋部454a之支撐部454b。蓋部454a係自接觸於前端部431a之部分算起位於徑向內側之面,支撐部454b係被支撐於凸緣部431b及側屏蔽452的部分。於蓋部454a之大致中央設有氣體導入口433。於前端部431a之外周與支撐部454b及凸緣部431b與支撐部454b之間設有O型密封環453,用以氣密性地密封電漿產生室430。
於反應容器431下方之處理室445的底面設有由複數個(例如,4根)支柱461所支撐的晶圓承載器459。晶圓承載器459係具備:晶圓承載盤411、及作為基板加熱部而用以加熱晶圓承載器上之晶圓的加熱器463。於晶圓承載器459之下方配設有排氣板465。排氣板465係藉導桿467而被支撐於底板469上,底板469係氣密性地設於處理室445的下面。昇降板471係由導 桿467引導而以能自由昇降地移動之方式設置。昇降板471係支撐至少3根昇降銷413。
如第3圖所示,昇降銷413係貫穿晶圓承載器459之晶圓承載盤411。另外,於昇降銷413之頂端設有支撐晶圓600之支撐部414。支撐部414係朝晶圓承載器459之中心方向延伸。藉由昇降銷413之昇降,可將晶圓600載置於晶圓承載盤411上,或者,可自晶圓承載盤411上抬起晶圓600。昇降驅動部(省略圖示)之昇降軸473係經由底板469而連結於昇降板471。藉由昇降驅動部驅動昇降軸473作昇降,借助昇降板471及昇降銷413而使支撐部414進行昇降。又,第3圖中,圖示安裝有支撐部414之狀態的昇降銷413。
於晶圓承載器459與排氣板465之間設有檔環458。由檔環458、晶圓承載器459及排氣板465形成第一排氣室474。圓筒狀之檔環458係均勻地設有多個通氣孔。藉此,第一排氣室474係與處理室445之處理空間形成區隔。另外,藉由通氣孔而與處理空間連通。又,所謂處理空間係指處理基板之空間。
於排氣板465設有排氣連通孔475。藉由排氣連通孔475連通第一排氣室474及第二排氣室476。第二排氣室476內係連通排氣管480,於排氣管480上自上游起設有壓力調整閥479、排氣泵481。排氣部係由排氣管480、壓力調整閥479、排氣泵481構成。排氣部係經由第二排氣室476而與處理室445連接。
於反應容器431上部之頂板454上,自氣體供給單元482延伸且用以供給所需之電漿用的反應氣體之氣體供給管455被附設於氣體導入口433。氣體導入口433係呈圓錐狀,以越接近處理室,直徑越大的方式形成。氣體供給單元482(氣體供給部)係自上游側起依序具有氣體源483、作為流量控制部之質量流量控制器477、開閉閥478。氣體供給單元482係藉由控制質量流量控制器477及開閉閥478,以控制氣體之供給量。
另外,可藉由質量流量控制器477及壓力調整閥479來調整供給量、排氣量,以對處理室445之壓力進行調整。
第4(a)圖顯示本發明之較佳具體實施例的檔板460之周邊。第4(b)圖顯示比較例之檔板460的周邊。
如第4(a)圖所示,本發明之較佳具體實施例的檔板460係由例如由石英構成之第一檔板460a、及第二檔板460b構成。第一檔板460a係於反應容器431內設於共振線圈432上端與氣體導入口433之間。另外,第二檔板460b係設於第一檔板460a與共振線圈432上端之間。亦即,第一檔板460a及第二檔板460b係於共振線圈432上端與氣體導入口433之間以隔著空間而重疊之方式設置。另外,第一檔板460a及第二檔板460b係設於晶圓承載盤411與氣體導入口433之間。
另外,第一檔板460a及第二檔板460b係大致為相同形狀且無孔之板的形狀。另外,依照反應容器431之內周的形狀而形成。亦即,若反應容器431之內 周為圓形,則各檔板之端部亦作成圓形。換言之,依照反應容器431之內周,將檔板作成圓盤形狀。
藉由作成此種構成,可形成流動於頂板454與第一檔板460a之間的氣體流路、及沿反應容器431之內周而流動於反應容器431與檔板端部之間的氣體流路。自氣體導入口433供給之氣體,係經由各氣體流路而被供給,所以,朝反應容器431之中央供給的氣體不會集中。亦即,氣體係經由第一檔板460a及第二檔板460b所供給,所以,可如第4(a)圖之虛線箭頭所示那樣形成氣流,使得氣體於共振線圈432之上端附近(第4(a)圖之A-A線)大致垂直落下。藉此,不會有氣體之損失。
另一方面,如第4(b)圖所示,比較例之檔板460係由一片檔板460構成。於比較例之檔板460中,如第4(b)圖之虛線箭頭所示,形成自氣體導入口433朝向檔板460外周呈傾斜狀之氣體流路,使得氣體於共振線圈432之上端附近(第4(b)圖之A-A線)被擴散。亦即,氣體被擴散至電場強度弱之區域,因而導致產生弱勢的電漿。
在此,已知於ICP方式之電漿產生裝置的情況下,越是接近於共振線圈432,則用以產生電漿之電場越強。因此,使氣體集中於電場強之場所、即接近於共振線圈432之場所,即可提高電漿之產生效率。另外,於此種場所中,可產生具有高能量且壽命長的電漿。亦即,藉由設置至少2片檔板460a,460b,如箭頭所示,以使氣體沿接近於共振線圈之反應容器431的內壁流動 的方式使氣體集中於電場強之場所、即接近於共振線圈432之場所,即可提高電漿之產生效率。另外,於此種場所中,可產生具有高能量且壽命長的電漿。
其次,參照第5圖,針對檔板460a,460b之安裝構造進行說明。第5圖為檔板460a,460b及頂板454之放大圖,為用以說明檔板460a,460b之安裝構造的說明圖。首先,參照第5(a)圖,針對固定機構進行說明。將插入頂板454之螺栓491,依序插入中央開孔之第一套管492、設於第一檔板460a之固定用孔、中央開孔之第二套管493、設於第二檔板460b之固定用孔,並以固定用螺栓494進行固定。第一套管492係金屬製(例如,鋁合金),且以成為封壓設定之方式構成頂板454與墊圈492。固定機構係於檔板460之圓周方向均等地設於至少三處。
接著,參照第5(b)圖,針對檔板460a,460b、頂板454、反應容器431之間的位置關係進行說明。如第5(b)圖之記載,將頂板454之蓋部454a與第一檔板460a中之面對蓋部454a的面之間的距離設為GAP(a)。以GAP(a)係設定為1mm~5mm較為適宜、又以2mm~4mm更為適宜。將第一檔板460a與第二檔板460b之對向的面之間的距離設為GAP(b)。GAP(b)係設定為30mm~50mm。檔板460之直徑與反應容器之間的距離係設定為0.1mm~10mm,具體而言,將檔板設定為比基板之直徑小的269mm,並將反應容器431之內徑設定為275mm。
第一檔板460a與氣體導入口433之距離,係設定為不會於第一檔板460a與蓋部454a之間引起異常放電的程度之距離,尤其是設定為不會於氣體導入口433附近引起異常放電的程度之距離。
在此所謂之異常放電係指例如如下的現象。於處理氣體中添加容易放電之Ar氣體的情況下,受到由共振線圈432所產生的電場之影響,而於第一檔板460a與蓋部454a之間引起放電的現象。尤其是,因氣體導入口433附近係容易蓄積處理氣體之區域,所以更容易引起放電。此放電之原因可推測是因添加Ar氣體而造成的緣故。Ar氣體係具有容易放電之性質,所以,即使由共振線圈432產生之電場為弱電場的距離,仍有可能會使得處理氣體放電。
於放電之情況下,可能會引起以下之問題。第一、於氣體導入口433附近所產生之電漿進入氣體供給管455,所以,會對氣體供給管455造成蝕刻之問題。因為此蝕刻,可能會產生粉塵。尤其是在以金屬形成氣體供給管455的情況下,處理室445受到金屬污染,可能會對基板處理產生不良影響。第二、恐有產生於第一檔板460a與蓋部454a之間的電漿,接觸於O型密封環431a,進而促進O型密封環431a之劣化的擔憂。
為了抑制上述放電,發明者等經過刻意之研究,發現藉由提高處理氣體之流速,即可抑制放電。具體而言,將第一檔板460a與蓋部454a之間的距離作成狹小化。藉由作成此種構造,可提高第一檔板460a與蓋部454a之間的壓力,其結果可提高氣體之流速。
接著,針對第二檔板460b與共振線圈432之位置關係進行說明。第二檔板460b係以第二檔板460b中與晶圓承載盤411對向之面位於比共振線圈432之上端(A-A線)高之高度的方式設置。換言之,以位於共振線圈432之上端與第一檔板460a之間的方式構成。藉由作成此種構成,氣體流動於共振線圈432之附近,所以可效率佳地產生電漿。又,可防止電漿之擴散、失去活性,可盡量多地朝下游之晶圓供給活性反應種。藉由使第二檔板460b接近於共振線圈432之上端,可減小電漿產生區域之體積,可增加每單位體積之電漿密度。藉此,可盡量多地將活性反應種朝下游搬送。如上述,構成檔板構造。
在此,參照第6圖,針對氣體之流速進行說明。第6圖為流速分布圖。第6(a)圖為使用比較例之檔板460的例子,為設定一片檔板之情況。第6(b)圖為使用本具體實施例之檔板460的例子,為設定二片檔板460a,460b之情況。於比較例之使用一片檔板460之例子的情況下,可知氣體導入口433附近的流速低,檔板460與蓋部454a之間的流速高。又,可知氣體呈渦旋狀滯留。由此可推測,於檔板460與蓋部454a之間,氣體會產生異常放電。另一方面,於本具體實施例之使用二片檔板460之例子的情況下,可知於第一檔板460a與蓋部454a之間不會滯留氣體,所以可抑制放電。
第7圖為RF電力與檔板460、放電關係的示意圖。縱軸為施加於共振線圈432之RF電力。於無檔板 460之狀態、一片檔板460之狀態、二片檔板460之狀態下分別測量出正常放電界限電力。正常放電界限電力係指不產生異常放電之電力。此時之處理條件如下。環境氣體:PR-GAS(CH4:10%、Ar:90%)流量:0.2~3.0slm壓力:50~250mTorr
由此圖亦可知,於無檔板460之狀態下,可正常放電迄至1000W為止。另外,於一片檔板460之狀態下,可正常放電迄至3000W為止。另外,於二片檔板460之狀態下,可正常放電迄至4900W為止。
於ICP方式電漿產生裝置的情況下,RF電力越高,則可更有效率地形成電漿狀態,所以,以在不產生異常放電之範圍內且RF電力高之狀態較為適宜。藉此,以二片檔板之狀態比無檔板之狀態或一片檔板之狀態更為適宜。
為了形成既定波長之常駐波,共振線圈432係以按一定波長模式進行共振之方式設定捲繞直徑、捲繞間距、及圈數。亦即,共振線圈432之電性長度,係設定為相當於自高頻電源444所供給之電力的既定頻率中之一波長的整數倍(1倍、2倍、...)或者半波長、1/4波長的長度。例如,於一波長之長度為13.56MHz之情況下,約為22M,27.12MHz之情況下,約為11M,54.24MHz之情況下,約為5.5M。共振線圈432係以絕緣性材料形成為平板狀,且藉由垂直立設於底座板448之上端面的複數個支撐件而支撐。
共振線圈432之兩端係電性接地,但為了於裝置之最初設置時或處理條件變更時能對該共振線圈的電性長度進行微調,共振線圈432之至少一端係經由活動式插座462被接地。第3圖中之符號464顯示另一固定地線。又,為了於裝置之最初設置時或處理條件變更時對共振線圈432的阻抗進行微調,於共振線圈432的被接地之兩端之間,藉由活動式插座466構成供電部。
亦即,共振線圈432係於兩端具備被電性接地之地線部,且於各地線部之間具備自高頻電源444供給電力之供電部,而且,至少一端之地線部係被作成可進行位置調整的可變式地線部,另外,供電部係被作成可進行位置調整的可變式供電部。於共振線圈432具備可變式地線部及可變式供電部的情況下,如後述,當對電漿產生室430之共振頻率及負荷阻抗進行調整時,可更為簡便地進行調整。
外側屏蔽452係為了遮蔽電磁波朝共振線圈432之外側的洩漏,並於共振線圈432之間形成用以構成共振電路而需要的電容成分而設。外側屏蔽452一般係使用鋁合金、銅或銅合金等之導電性材料而形成為圓筒狀。外側屏蔽452係自共振線圈432之外周以例如5~150mm程度之間隔而配置。
於高頻電源444之輸出側設有RF感測器468,用以監視行進波、反射波等。由RF感測器468所監測到之反射波電力,被輸入頻率整合器446。頻率整合器446係以反射波成為最小的方式控制頻率。
控制器470係相當於第1圖中之控制器500,不只是用以控制高頻電源444,還對灰化裝置10整體進行控制。於控制器470上連接有作為顯示部之顯示器472。顯示器472係顯示例如RF感測器468之對反射波的監視結果等、由設於灰化裝置10之各種檢測部所檢測出的資料等。
例如,具有於灰化製程時、灰化製程前之電漿產生時的電漿處理中對處理條件進行變動之情況(增加氣體種等)等中氣體流量、氣體混合比、壓力發生變化之情況,並具有高頻電源444之負荷阻抗發生變動的情況。於此種情況下,亦因為灰化裝置10具有頻率整合器446,所以,可立即追蹤氣體流量、氣體混合比、壓力的變化,對高頻電源444之發信頻率進行整合。
具體而言係執行如下之動作。於電漿產生時,被收束於共振線圈432之共振頻率。此時,RF感測器468監視來自共振線圈432之反射波,並將被監測之反射波的位準傳送至頻率整合器446。頻率整合器446係以反射波電力之反射波成為最小的方式調整高頻電源444之發信頻率。
接著,參照第8圖,針對採用本發明之基板處理方法(光阻除去方法)作為一個製程的半導體製造方法進行說明。第8圖係用以說明採用本發明之基板處理方法,且使用灰化裝置10等來製造半導體裝置(半導體元件)的製程。
第8圖係使用灰化裝置10對基板(晶圓600)進行處理之製程,顯示本發明之具體實施例的基板處理方法。於本發明之基板處理方法中,如第8圖所示,經過至少包含如下製程的一系列之製程對基板進行處理,這些製程包含:搬入製程S100,係將基板搬入處理室之製程;加熱製程S200,係對基板進行加熱之製程;處理製程S300,係供給反應氣體而對基板進行處理之製程;及搬出製程S400,係將基板從處理室搬出之製程。
於搬入製程S100,將塗布有光阻之晶圓600搬入處理室445。於加熱製程S200,對以搬入製程S100搬入處理室445內之晶圓600進行加熱。於處理製程S300,朝處理室445內供給至少包含氫成分及氬成分的反應氣體。例如,供給PR-GAS(CH4與氬之混合氣體)。又,將供給於處理室內之反應氣體作成電漿狀態而對晶圓600進行處理。於搬出製程S400,從處理室445搬出處理完成之晶圓600。
以下,針對使用灰化裝置10之基板處理的一例,更為具體地進行說明。灰化裝置10之各部分動作係由控制器470所控制。
<搬入製程S100>於搬入製程S100,真空機械臂機器人320之機械手指321將晶圓600朝處理室445搬送。亦即,搭載有晶圓600之機械手指321進入處理室445內,機械手指321將晶圓600載置於已上昇之昇降銷413上。昇降銷413之前端係被維持於自晶圓承載盤411浮起之狀態。晶圓600係於昇降銷413,亦即是 在自晶圓承載盤411浮起之狀態下被移交。此時,晶圓600係被保持於例如室溫。
<加熱製程S200>於加熱製程S200,晶圓600係在自晶圓承載盤411浮起之狀態下被保持,藉由晶圓承載盤411之加熱器463所加熱。晶圓溫度係以晶圓承載盤411與晶圓600之間的距離所控制。於加熱製程S200中,將晶圓600之溫度設定於200℃以上且400℃以下。
<處理製程S300>於供給反應氣體之處理製程S300,自氣體導入口433朝反應容器431供給之反應氣體(灰化氣體)被供給於電漿產生室430。所供給之反應氣體係供給至少包含氫成分及氬成分的反應氣體。
待處理室445達到既定條件之後,供給之反應氣體藉由共振線圈432而成為電漿狀態。亦即,於供給反應氣體之製程中被供給反應氣體之後,高頻電源444將電力供給於共振線圈432,藉由在共振線圈432內部所激發之感應磁場對自由電子進行加速,並使此自由電子與氣體分子衝突,藉此激發氣體分子而產生電漿。另外,藉由成為此電漿狀態之反應氣體,進行基板處理而除去光阻。
於本具體實施例中,作為在處理製程S300所使用之反應氣體,供給至少包含氫成分及氬成分的反應氣體。在此雖使用氬氣,但更為具體而言,還可使用於氫中添加稀釋氣體而形成之氣體,該稀釋氣體係由選自由N2氣體、He氣體所構成之群中的至少一種氣體而構成。
<搬出製程S400>於搬出製程S400,待灰化處理結束之後,昇降銷413上昇。真空機械臂機器人320之機械手指321抬起昇降銷413上之完成處理的晶圓600,經由傳送室部310,被搬送至真空預備室210或者真空預備室220。
於本具體實施例中,以灰化處理為例進行說明,但不限於此,亦可就蝕刻處理、膜之改質處理或成膜處理等使用電漿之處理來實施。
另外,於本具體實施例中,以使用2片檔板之例子進行說明,但不限於此,亦可於線圈上端與氣體導入口之間使用複數個。
如上述,藉由本具體實施例,可使氣體沿反應容器431之內壁流動,使得可朝電場強之區域供給氣體,因而可提高電漿之產生效率。另外,可產生高能量且壽命長的電漿。藉此,可進行高灰化率之處理,其結果可提高裝置整體之生產率。
本發明係如申請專利範圍所記載,還包含以下附記之事項。
[附記1]一種基板處理裝置,其包含:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;第一板,其設於該氣體導入口與該線圈之上端之間;第二板,其設於該第一板與該線圈之上端之間;基板處理室,其係於該反應容器內設於與該蓋部不同之方向;及排氣部,其與該基板處理室連接。
[附記2]如附記1之基板處理裝置,其中於反應容器之前端部外周具有O型密封環。
[附記3]一種半導體裝置之製造方法,係使用基板處理裝置之半導體裝置的製造方法,該基板處理裝置具有:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;第一板,其設於該氣體導入口與該線圈之上端之間;第二板,其設於該第一板與該線圈之上端之間;基板處理室,其係於該反應容器內設於與該蓋部不同之方向;及排氣部,其與該基板處理室連接;該半導體裝置之製造方法包含:經由該第一板及第二板將自該氣體導入口導入之氣體導向該線圈附近的製程;藉由該線圈使該氣體成為電漿狀態,對載置於該基板處理室內之基板進行處理的製程;及藉由該排氣部對氣體進行排氣的製程。
[附記4]一種檔板構造,係使用於基板處理裝置之檔板構造,該基板處理裝置具有:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;基板處理室,其係於該反應容器內設於與該蓋部不同之方向;及排氣部,其與該基板處理室連接;該檔板構造係於該氣體導入口與該線圈之上端之間積層地配置第一板及第二板。
[附記5]一種檔板構造,係使用於基板處理裝置之檔板構造,該基板處理裝置具有:反應容器,係構成為筒狀,於其外周設置線圈;蓋部,其設於該反應容 器之端部;氣體導入口,其設於該蓋部;基板處理室,其係於該反應容器內設於與該蓋部不同之方向;及排氣部,其與該基板處理室連接;該檔板構造係以隔著空間而使第一板及第二板重疊之方式形成檔板構造,該檔板構造之高度係以比該氣體導入口與該線圈之上端之間的距離變低的方式所構成。
410‧‧‧電漿處理單元
411‧‧‧晶圓承載盤
413‧‧‧昇降銷
414‧‧‧支撐部
430‧‧‧電漿產生室
431‧‧‧反應容器
431a‧‧‧O型密封環
431b‧‧‧凸緣部
432‧‧‧共振線圈
433‧‧‧氣體導入口
444‧‧‧高頻電源
445‧‧‧處理室
446‧‧‧頻率整合器
448‧‧‧水平底座板
452‧‧‧外側屏蔽
453‧‧‧O型密封環
454‧‧‧頂板
454a‧‧‧蓋部
454b‧‧‧支撐部
455‧‧‧氣體供給管
458‧‧‧檔環
459‧‧‧晶圓承載器
460‧‧‧檔板
460a‧‧‧第一檔板
460b‧‧‧第二檔板
461‧‧‧支柱
462‧‧‧活動式插座
463‧‧‧加熱器
464‧‧‧固定接地
465‧‧‧排氣板
466‧‧‧活動式插座
467‧‧‧導桿
468‧‧‧RF感測器
469‧‧‧底板
470‧‧‧控制器
471‧‧‧昇降板
472‧‧‧顯示器
473‧‧‧昇降軸
474‧‧‧第一排氣室
475‧‧‧排氣連通孔
476‧‧‧第二排氣室
477‧‧‧質量流量控制器
478‧‧‧開閉閥
479‧‧‧壓力調整閥
480‧‧‧排氣管
481‧‧‧排氣泵
482‧‧‧氣體供給單元
483‧‧‧氣體源
600‧‧‧晶圓

Claims (6)

  1. 一種基板處理裝置,其包含:反應容器,係構成為筒狀;線圈,其設置在該反應容器的外周;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;第一板,其設於該氣體導入口與該線圈之上端;第二板,其設於該第一板與該線圈之上端;氣體流路,其形成在該第一板的端部和該第二板的端部及該反應容器的內壁之間,供氣體流動;基板處理室,其於該反應容器內設在與該蓋部不同之方向;及排氣部,其與該基板處理室連接。
  2. 如申請專利範圍第1項之基板處理裝置,其中,該第一板和該第二板係為沿著該反應容器的內周之形狀。
  3. 如申請專利範圍第1項之基板處理裝置,其中,該第一板和該第二板之直徑係建構成比該基板之直徑還小。
  4. 一種半導體裝置之製造方法,係使用反應容器進行處理之半導體裝置的製造方法,該反應容器具有:設置在外周之線圈;設置在端部之蓋部,設置在該蓋部之氣體導入口;第一板,其設於該氣體導入口與該線圈之上端之間;第二板,其設於該第一板與該線圈之上端之間;該半導體裝置之製造方法包含:經由形成在該第一板的端部和該第二板的端部及該反應容器的內壁之間的氣體流路,將自該氣體導入口導入之氣體導向該線圈側的製程;藉由該線圈使該氣體成為電漿狀態,對基板進行處理的製程。
  5. 一種檔板構造,係使用於基板處理裝置之檔板構造,該基板處理裝置具有:反應容器,係構成為筒狀;線圈,其設置在該反應容器的外周;蓋部,其設於該反應容器之端部;氣體導入口,其設於該蓋部;基板處理室,其於該反應容器內設在與該蓋部不同之方向;及排氣部,其與該基板處理室連接;該檔板構造係將設於該氣體導入口與該線圈之上端之間的第一板與第二板積層地配置,且於該第一板的端部和該第二板的端部及該反應容器的內壁之間形成供氣體流動之氣體流路。
  6. 如申請專利範圍第5項之檔板構造,其中,該第一板和該第二板之直徑係建構成比該基板之直徑還小。
TW103119481A 2010-11-30 2011-11-28 Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus TWI562233B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010267073 2010-11-30
JP2011225617A JP5837793B2 (ja) 2010-11-30 2011-10-13 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造

Publications (2)

Publication Number Publication Date
TW201436042A true TW201436042A (zh) 2014-09-16
TWI562233B TWI562233B (en) 2016-12-11

Family

ID=46125812

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103119481A TWI562233B (en) 2010-11-30 2011-11-28 Substrate processing apparatus, method of manufacturing semiconductor device, and baffle structure of the substrate processing apparatus
TW100143469A TWI464806B (zh) 2010-11-30 2011-11-28 基板處理裝置、半導體裝置之製造方法及基板處理裝置的檔板構造

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100143469A TWI464806B (zh) 2010-11-30 2011-11-28 基板處理裝置、半導體裝置之製造方法及基板處理裝置的檔板構造

Country Status (4)

Country Link
US (4) US9911580B2 (zh)
JP (1) JP5837793B2 (zh)
KR (1) KR101300486B1 (zh)
TW (2) TWI562233B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828022B (zh) * 2020-12-29 2024-01-01 韓商细美事有限公司 接合基板和基板的基板接合設備中的基板收容及對準裝置

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5837793B2 (ja) * 2010-11-30 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
JP6060242B2 (ja) * 2010-11-30 2017-01-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びバッフル構造
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10861679B2 (en) * 2014-09-08 2020-12-08 Tokyo Electron Limited Resonant structure for a plasma processing system
KR102187121B1 (ko) * 2019-04-30 2020-12-07 피에스케이 주식회사 기판 처리 장치
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58170536A (ja) 1982-03-31 1983-10-07 Fujitsu Ltd プラズマ処理方法及びその装置
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
JPS61104625A (ja) * 1984-10-29 1986-05-22 Hitachi Ltd プラズマ処理装置
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JPH05299382A (ja) * 1992-04-21 1993-11-12 Mitsubishi Electric Corp プラズマ処理装置およびその方法
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP2001007083A (ja) 1999-06-18 2001-01-12 Sony Corp プラズマ処理装置及び方法
US6494719B1 (en) 1999-10-20 2002-12-17 Brent E. Logan Method and system for reproducing a progressive or regressive pattern of sonic variations
JP4178775B2 (ja) 2001-08-31 2008-11-12 株式会社日立国際電気 プラズマリアクター
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP4567979B2 (ja) 2004-01-15 2010-10-27 キヤノンアネルバ株式会社 プラズマ処理システム及びプラズマ処理方法
US7821655B2 (en) * 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
KR100777841B1 (ko) * 2006-09-15 2007-11-21 최대규 향상된 수직 식각 성능을 갖는 유도 결합 플라즈마 반응기
JP2008091836A (ja) * 2006-10-05 2008-04-17 Hitachi Kokusai Electric Inc 基板処理装置
US7667208B2 (en) 2006-10-17 2010-02-23 Varian Semiconductor Equipment Associates, Inc. Technique for confining secondary electrons in plasma-based ion implantation
JP4826483B2 (ja) * 2007-01-19 2011-11-30 東京エレクトロン株式会社 プラズマ処理装置
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
JP5583413B2 (ja) * 2007-02-28 2014-09-03 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
JP4931716B2 (ja) * 2007-07-18 2012-05-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ生成室
US7745350B2 (en) 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7867921B2 (en) 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
JP2009094115A (ja) * 2007-10-04 2009-04-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5304062B2 (ja) * 2008-07-09 2013-10-02 東京エレクトロン株式会社 プラズマ処理装置
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
JP2010161350A (ja) * 2008-12-09 2010-07-22 Hitachi Kokusai Electric Inc 基板処理方法
JP5837793B2 (ja) * 2010-11-30 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828022B (zh) * 2020-12-29 2024-01-01 韓商细美事有限公司 接合基板和基板的基板接合設備中的基板收容及對準裝置

Also Published As

Publication number Publication date
KR20120059380A (ko) 2012-06-08
KR101300486B1 (ko) 2013-09-02
US20120132228A1 (en) 2012-05-31
TW201234478A (en) 2012-08-16
US11101111B2 (en) 2021-08-24
TWI562233B (en) 2016-12-11
US11948778B2 (en) 2024-04-02
US9911580B2 (en) 2018-03-06
US20210343507A1 (en) 2021-11-04
JP5837793B2 (ja) 2015-12-24
US20180144908A1 (en) 2018-05-24
US20200381221A1 (en) 2020-12-03
US10763084B2 (en) 2020-09-01
JP2012134453A (ja) 2012-07-12
TWI464806B (zh) 2014-12-11

Similar Documents

Publication Publication Date Title
TWI464806B (zh) 基板處理裝置、半導體裝置之製造方法及基板處理裝置的檔板構造
US20130137274A1 (en) Substrate processing method
KR101014811B1 (ko) 반도체 장치의 제조 방법
KR101097723B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US20090194237A1 (en) Plasma processing system
JP2010206139A (ja) 基板処理装置
JP7121786B2 (ja) 基板処理装置、半導体装置の製造方法および基板処理方法
JP5848626B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2012069657A (ja) 基板処理方法及び基板処理装置
KR20150046736A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2010021166A (ja) プラズマ処理装置
JP4709192B2 (ja) プラズマ処理装置
JP5269548B2 (ja) 基板処理装置及び基板処理装置の基板搬送方法
KR101418368B1 (ko) 기판 지지 장치 및 이를 구비하는 기판 에지 식각 장치