TW201411693A - 藉由檢測技術在半導體中決定重疊製程窗口的方法和系統 - Google Patents

藉由檢測技術在半導體中決定重疊製程窗口的方法和系統 Download PDF

Info

Publication number
TW201411693A
TW201411693A TW102125035A TW102125035A TW201411693A TW 201411693 A TW201411693 A TW 201411693A TW 102125035 A TW102125035 A TW 102125035A TW 102125035 A TW102125035 A TW 102125035A TW 201411693 A TW201411693 A TW 201411693A
Authority
TW
Taiwan
Prior art keywords
pattern
layer
layout
test
patterns
Prior art date
Application number
TW102125035A
Other languages
English (en)
Other versions
TWI532075B (zh
Inventor
Lothar Bauch
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW201411693A publication Critical patent/TW201411693A/zh
Application granted granted Critical
Publication of TWI532075B publication Critical patent/TWI532075B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

本發明揭露一種用於藉由檢測技術決定半導體重疊製程窗口的方法及系統,其中,精密半導體裝置中重疊區的形成是一項無法基於習知測量及設計策略有效率地予以評估的關鍵態樣。為此,本揭露提供測量技術及系統,其中上覆裝置圖案轉換成相同材料層,藉以形成藉由建立良好的缺陷檢測技術而可接取的組合圖案。一旦幾何性地調制這些組合圖案中的一些組合圖案,即可達成重疊製程窗口的系統性評估。

Description

藉由檢測技術在半導體中決定重疊製程窗口的方法和系統
本揭露涉及製造如積體電路的微結構的領域,並且更涉及圖案化處理期間用於決定對齊(alignment)精確度以及圖案放置精密度的技術。
如積體電路的微結構的製造需要尺寸受到精確控制的細微區而予以形成於如矽基板、SOI(上覆矽絕緣體)基板、或其他合適載體材料等合適基板的材料層中。這些尺寸受到精確控制的細微區使用微影(lithography)、蝕刻、植入、沉積、氧化處理及諸如此類藉由圖案化材料層予以產生,其中,通常至少在圖案化處理的特定階段,可於待處理的材料層上形成遮罩層(mask layer)以界定這些細微區。一般而言,遮罩層可由藉由通常是光微影(photolithography)處理的微影處理予以圖案化的光阻層組成或形成。在光微影處理期間,阻劑(resist)可予以旋轉塗布在基板表面上並且接著透過如分劃板(reticle)的相應的 微影遮罩選擇性地予以曝露於照射(radiation),藉以使分劃板圖案成像(image)於阻劑層以形成潛像(latent image)於其中。光阻顯影後,取決於阻劑種類,也就是,正阻劑或負阻劑,移除曝露部分或非曝露部分用以在光阻層中形成所需的圖案。基於此阻劑圖案,可藉由如蝕刻、植入、退火處理、以及諸如此類的進一步製造程式形成真實的裝置圖案。鑒於效能增強,由於對縮減精密集成微結構裝置中的圖案尺寸有固定需求,用於圖案化裝置特徵的處理工具及製程配方(recipe)對於解析度及上覆(overlay)精確度必須符合非常嚴苛的要求。在這方面,解析度視為測度(measure),用於指定在預界定製造變異的條件下印製最小尺寸影像的一致性能力。改良解析度的一項重要因素是微影處理,其中,內含於光罩(photo mask)或分劃板中的圖案經由光成像系統予以光轉移至基板。因此,已對穩定改良微影系統的光特性作出巨大努力,如數值孔徑、焦深、以及所用光源的波長。
微影成像的品質對於產生非常小特徵尺寸極為重要。可在基板表面上定位影像的精確度是至少相當重要。一般而言,如積體電路的微結構藉由循序圖案化材料層予以製造,其中,連續材料層上的特徵互有空間關係。每一個在後續材料層中形成的圖案都必須在指定的配准公差(registration tolerance)內對齊於先前圖案化材料層中形成的相應圖案。這些配准公差由例如基板上因阻劑厚度、烘焙溫度、曝照劑量(exposure dose)以及時間與顯影條件等 參數的非均勻性導致的光阻影像變異所造成的。此外,蝕刻處理的非均勻性也可導致蝕刻特徵的變異。另外,在光罩影像光微影性地轉移到基板上時,將目前材料層的圖案影像上覆至先前所形成材料層的蝕刻過或者界定過的圖案存在有不確定性。許多因素促使成像系統上覆兩層的能力有瑕疵(imperfect),如一組遮罩內的瑕疵、不同曝照次數的差異、對齊工具的有限配准能力(registration capability)以及,作為對齊誤差的主要作用(major contribution),如透鏡畸變(lens distortion)等曝照工具本身的瑕疵、與如基板固持件(substrate holder)等對齊硬體造成的畸變、以及諸如此類。當不同的曝照工具用於界定後續裝置層時,曝照工具及相關元件內的固有誤差可在不同工具間變化,使情況變得更糟。
雖然,相同的曝照工具可能用於成像關鍵的裝置層,實際上,此等限制在對於相同裝置層通常包含多個微影工具的複雜製造環境中可不使整體處理流程有效率。所以,用於決定可予以最終取得的最小特徵尺寸的主導準則(dominant criteria)為用於在單獨基板層中產生特徵的解析度以及上述因素所促成的總上覆誤差。
因此,有必要在特定材料內持續監測解析度,也就是,可靠並且再生地產生最小特徵尺寸的能力,也稱為臨界尺寸(critical dimension,CD),以及持續決定已連續形成並且必須互相對齊的材料層圖案的上覆精確度。例如,在形成用於積體電路的接線結構時,由於顯著失準 可在確實未連接線間造成短路,因此連接兩堆疊金屬區的各別金屬線及導孔可必須以嚴苛的製程餘裕(process margin)予以互相對齊以便導致界定良好的重疊,藉以可能產生重大裝置缺陷,而意欲的(intended)重疊區的縮減則可造成因接觸與串聯電阻導致的效能損失。類似準則對需要界定良好的重疊區以確保適當裝置功能的其他裝置層也適用。
基於這些理由,正在對偵測關鍵重疊區進行重大努力,也就是,一旦確實將各別裝置層的佈局實現到半導體裝置材料內即產生偏離原始設計的相應偏移時可造成嚴重裝置故障或效能衰減的重疊區。例如,設計許多空間相關性裝置層的佈局以致確保完美重疊,其可含括佈局層級(layout level)上各種測試策略的應用。然而,在微影處理及後續蝕刻處理期間,例如藉由薄化小結構及諸如此類,可造成初始佈局圖案顯著變更。一般而言,佈局層的特定圖案轉移期間可出現多個擾動(fluctuation),佈局層予以初始轉移至分劃板或微影遮罩並且接著依次用於將圖案重複成像至半導體基板上的材料層。如上述,在半導體裝置的材料層中確實形成期望圖案時所含括的各個程式步驟可或多或少顯著帶來製程瑕疵,例如,微影遮罩對半導體基板的非完美對齊,如機械應力所致基板的透鏡像差(lens aberration)與畸變及諸如此類的成像處理所造成的製程允差(process tolerance),還有,蝕刻處理期間的各種允差(tolerance)可導致所形成裝置圖案的不精確性,其依次可 從而導致上覆精確度降低。由於兩後續裝置層各別裝置特徵的重疊可強烈取決於最終達成的上覆精確度,尤其是在非常小的三維結構中,正在對識別關鍵重疊區以及增加整體上覆精確度作出重大努力。例如,在佈局層級上,可施加複雜的光學鄰近校正技術(optical proximity correction technique)以便修改至少一些裝置特徵的基本幾何佈局用以適應(accommodate)尤其在成像處理期間可出現的特定製程變異。雖然可藉由使用例如光學鄰近校正技術提升製程穩健的程度,然而,因含括至少兩道後續圖案轉移處理而仍難以識別最關鍵重疊區,以至於用於產生上覆誤差的許多可能來源可相當高,以便藉由OPC策略用相應模型預期任何此等製程變異。另一方面,由於可能無法藉由如掃描式電子顯微鏡等建立良好的檢測技術接取(accessible)下伏層(underlying layer),因此直接觀測關鍵重疊區非常困難。
為此,已開發替代策略用以識別關鍵重疊區。例如,可基於經特定設計的上覆目標測量微影工具的磁場內特定位置處的側向平移(lateral translation)。可藉由使用仿真(simulation)基於測量資料計算跨越整個磁場的上覆效能。然而,此程式的結果強烈取決於底層模型及仿真演算法的精確度。在其他策略中,例如藉由形成接觸鏈(contact chain)並且使用具有特定「失準(misalignment)」程度的接觸件,基於電測量程式測量上覆效能。然而,此方法受限於影像場(image field)或晶片區內部的特殊結構及位置。在其他情況下,良率測量資料(yield measurement data) 可用於識別關鍵上覆區,然而,其中,除非可基於剖面電子顯微鏡測量決定相應失準,否則難以決定關鍵上覆區對所產生生產良率的直接影響,然而,剖面電子顯微鏡測量因樣本的剖面製備而非常昂貴。另外,此策略導致非常長的回應時間,以至於關鍵重疊區的識別仍因大量處理不當的基板而導致顯著的良率損失。此外,如上所述,任何用以識別基本半導體設計中關鍵重疊結構的演算法皆在基板層級仍可需要確認並且可未妥善適應各種製程瑕疵。
鑒於上述情況,本揭露涉及用於在半導體裝置中形成重疊裝置特徵時識別關鍵區,同時避免或至少降低一或多個上述所識別問題的效應。
一般而言,本揭露提供技術及系統,其中,對於上覆精確度從而對於重疊故障可視為關鍵的半導體裝置區,可藉由使用可輕易取得的合適的偵測方法,例如藉由使用缺陷偵測或檢測技術,予以更有效率地識別,藉以確保相較于習知策略,整體耗費(effort)降低而回應時間快速。為此,半導體裝置的兩後續佈局層可轉移至相同的材料層中,以便取得組合圖案,接著可基於合適的偵測技術檢查(examine)組合圖案而無需昂貴的剖面分析處理。本文所揭露的一種描述性方法包含將半導體裝置的第一佈局層的第一圖案轉移至基板的第一測試區與第二測試區上方形成的材料層中。本方法進一步包含將半導體裝置的第二佈局層的第二圖案轉移至第一測試區上方形成的材料層中, 其中,第一與第二佈局層互呈空間相關以界定重疊區。此外,本方法包含將第二圖案的幾何調制版本(geometric modulation version)轉移至第二測試區上方形成的材料層中。另外,本方法包含決定第一測試區與第二測試區間材料層的結構差異以評定(assess)對於重疊區的製程餘裕。
本文所述的另一描述性方法包含在基板的第一測試區中所形成的材料層中由半導體裝置的第一佈局層與第二佈局層形成第一組合圖案,其中,第一與第二佈局圖案界定重疊區。本方法進一步包含在基板的第二測試區中所形成的材料層中由第一佈局層與第二佈局層形成第二組合圖案,其中,第二組合圖案相對於第一組合圖案包括幾何調制(geometric modulation)。本方法另外包含在第一與第二測試區中至少對重疊區實施檢測處理(inspection process)。
本文所揭露的一種描述性重疊偵測系統包含經配置用以從包含第一組合圖案的第一測試區取得第一檢測資料並且從包含第二組合圖案的第二測試區取得第二檢測資料的檢測工具。第一與第二組合圖案各自從半導體裝置的第一佈局層與第二佈局層予以形成,其中,第二組合圖案是第一組合圖案的調制版本。重疊偵測系統進一步包含控制器,控制器經操作性地連接以接收第一與第二檢測資料並且經配置藉由比較第一與第二檢測資料以及由其決定所述第一與第二組合圖案間的結構差異以識別第一與第二圖案中的關鍵重疊區。
100‧‧‧半導體裝置
110‧‧‧測試區
110a‧‧‧測試區
110b‧‧‧測試區
120‧‧‧第一佈局層
120a、120b、120c、120d‧‧‧基本佈局特徵
121a、121b、121c、121d‧‧‧額外佈局特徵
122a、122b、122c、122d‧‧‧第一圖案特徵、裝置特徵
130‧‧‧佈局層
130a、130b、130c、130d‧‧‧特徵
140a、140b、140c、140d‧‧‧圖案特徵
200‧‧‧半導體裝置
201‧‧‧基板
202‧‧‧材料層
202a‧‧‧第一子層
202b‧‧‧第二子層
203‧‧‧遮罩層
204‧‧‧第二遮罩層
210a‧‧‧第一測試區
210b‧‧‧第二測試區
210a、210b、210c、210d、210e、210f‧‧‧測試區
225‧‧‧第一圖案
226‧‧‧組合圖案
227‧‧‧組合圖案
227b‧‧‧結構差異
228a‧‧‧重疊區
235‧‧‧第二圖案
235m‧‧‧幾何調制圖案
301‧‧‧基板
310a、310b‧‧‧測試區
350‧‧‧系統
351‧‧‧基板固持件
352‧‧‧偵測器
353a、353b‧‧‧檢測資料
354‧‧‧比較器
355‧‧‧控制器
356‧‧‧資料
357‧‧‧檢測工具
本揭露的進一步具體實施例界定在附加的申請專利範圍中,並且引用附圖研讀以下的詳細說明將變得更明顯,其中:第1a圖根據描述性具體實施例概述半導體裝置的測試區的俯視圖,其中,組合圖案由兩後續佈局層予以形成;第1b圖根據描述性具體實施例概述第二測試區的俯視圖,其中,組合圖案經形成而相對於第一組合圖案予以空間性或幾何性調制以便識別關鍵重疊區;第2a至2d圖根據描述性具體實施例概述半導體裝置的剖面圖,其包含用於在材料層中形成組合圖案化的兩測試區以在各個製造階段期間識別關鍵重疊區;第2e至2h圖根據進一步描述性具體實施例概述半導體裝置200的剖面圖,其中,可根據進一步描述性具體實施例使用不同類型的蝕刻配方及/或材料層的不同材料組成;第2i圖根據描述性具體實施例概述測試基板的俯視圖,其包括具有不同幾何調制的多個測試區以便識別關鍵重疊區統計相關性;以及第3圖根據又進一步描述性具體實施例用於偵測關鍵重疊區的系統。
儘管引用如以下詳細說明中以及圖式中所述的具體實施例說明本揭露,仍應理解以下詳細說明以及 圖式非意欲用來限制本揭露於所述的特定描述性具體實施例,反而所述的描述性具體實施例僅列舉本揭露的各種態樣,本揭露的範疇藉由附加的申請專利範圍予以界定。
本揭露一般涉及處理策略及相應系統,其中,如掃描式電子顯微法及諸如此類高效率及建立良好的檢測技術可用於識別關鍵重疊區。為此,可將對應於第一佈局層的佈局圖案的裝置圖案轉移至合適的材料層中,接著是基於佈局層的第二佈局圖案轉移第二裝置圖案,以便形成可藉由相應檢測技術接取的組合裝置圖案。第一與第二佈局層呈空間性相關,以致視需要對考慮的積體電路的合適功能界定各自的重疊區。例如,第一佈局層可界定如閘極電極結構、汲極與源極區及諸如此類的導線(conductive line),其必須與各別接觸元件接觸,其從而代表必須與底層圖案精確對齊的第二佈局層的裝置特徵。在其他情況中,第一金屬化層必須基於相應的導孔連接至後續金屬化層,從而導孔與下伏及/或上覆金屬化層的金屬線需要精確重疊。
因此,將互呈空間性相關的不同裝置圖案轉移至可包含二或更多子層的相同材料層後,組合圖案包括所形成上覆精確度的資訊以及從而上覆區的效能。為了決定合適的製程窗口,也就是評定相應基本裝置佈局與設計結合所有所含括成像處理的穩健性,可在兩組合圖案間作比較,其中之一可基於有意引進的空間或幾何調制予以形成,用以能夠決定佈局與處理策略相對于所有製程變異 的敏感度。
例如,在一描述性具體實施例中,可基於給定的處理策略藉由轉移第一與第二圖案以形成組合圖案,而在另一測試區中,第一與第二圖案可藉由指定量彼此有意地置換。依此方式,基於檢測技術可輕易地決定兩組合圖案的結構差異,藉以可靠評定製程穩健性。
在其他描述性具體實施例中,一旦形成第二組合圖案即可修改第一及/或第二圖案中至少一些裝置特徵的尺寸,從而也取得兩組合圖案間的結構差異,其可輕易地予以決定並且用於評定重疊區。可使用微影遮罩及諸如此類中的專屬修改圖案,藉由實現不同臨界尺寸,例如藉由適當地配合成像處理及諸如此類,完成對至少一些圖案特徵尺寸的修改,而在其他情況中,可變更圖案從微影遮罩成像至光阻的放大率(magnification)以取得相應裝置特徵的側向尺寸(lateral dimension)的全局變化。
在其他描述性具體實施例中,可施加至少一些圖案特徵的側向平移及尺寸變更的組合以取得兩組合圖案間的結構差異。
不同佈局層的圖案的轉移可基於微影結合一或多道蝕刻處理予以完成,其中,視需要,可施加相同或不同的蝕刻配方以涵蓋可在實際製造程式期間出現的製程變異的期望範圍。在其他描述性具體實施例中,僅基於微影程式,也就是基於含括曝照處理結合顯影處理而實際上無需非等向性蝕刻處理的處理程序,即可完成圖案轉 移。例如,可施加雙重曝照技術,其中,可在相應阻劑層系統中形成潛像,相應阻劑層系統因此在顯影後由組合圖案構成,此組合圖案可與雙重曝照處理所得的組合圖案作比較,其中,可實現特定的幾何調制,如上所述。
在進一步描述性具體實施例中,可將組合圖案轉移至實質均質(homogenous)材料層中,例如一般的硬式遮罩材料,或其他適用的材料,而在其他情況中,可用二或更多子層的形式提供材料層以界定不同製程條件用於轉移不同的裝置圖案。
現在將引用附圖更詳細地說明進一步描述性具體實施例。
第1a圖概述半導體裝置100的俯視圖,其可代表測試基板及諸如此類,然而,其可能夠含括于形成各種裝置層中裝置圖案的各個處理步驟期間也用在實際半導體裝置中的處理策略的應用。在第1a圖中,俯視圖中描述測試區110a,其中,多個第一圖案特徵122a、…、122d形成於材料層中,為了簡便,第1a圖中未顯示材料層。圖案特徵122a、…、122d可表示也可在形成實際半導體裝置時予以使用的半導裝置100的特定結構。例如,特徵122a、…、122d可表示如閘極電極結構、金屬線及諸如此類的必須予以接觸的導電半導體線。因此,圖案122a、…、122d代表第一佈局層120的特徵,其依次說明這些特徵的二維幾何配置。為了簡便,在第1a圖中,特徵122a、…、122d的各別佈局也以參考元件符號120a、…、120d予以描 述及指示。此外,可用可藉由例如OPC技術予以取得的額外佈局特徵121a、…、121d補充基本佈局特徵120a、…、120d,以便至少某種程度補償可在將佈局特徵120a、…、120d實際成像至裝置特徵122a、…、122d期間所引進的瑕疵。
此外,特徵130a、…、130d的第二圖案如特徵122a、…、122d予以形成在相同材料層中,其中,特徵130a、…、130d可代表實際半導體裝置中需與特徵122a、…、122d精確重疊的區域。例如,特徵130a、…、130d可代表可必須緊接於佈局層120上方或下方予以形成的佈局層130的接觸元件、導孔及諸如此類。
在第1a圖中所示的實施例中,用於形成特徵122a、…、122d及130a、…、130d的處理程序可導致這些特徵的期望重疊,然而,無需實際指示這些重疊區的那一區可非常敏感地呼應任何例如因工具漂移(tool drift)、製程配方更動及諸如此類所造成可在量產過程期間實際出現的製程擾動。
第1b圖概要描述裝置100的俯視圖,其中,第二測試區110b予以描述,其中,基本上,可在材料層中提供相同的圖案特徵122a、…、122d,而另一方面,可依幾何調制方式形成特徵130a、…、130d,藉以形成圖案特徵140a、…、140d。在所示實施例中,可藉由施加指定的側向平移,例如依正y方向平移例如20奈米,取得幾何或空間調制,而整體尺寸,也就是特徵130a、…、130d的臨 界尺寸也已增加大約20奈米以取得特徵140a、…、140d。因此,藉由比較測試區110a的組合圖案,也就是,特徵122a、…、122d結合特徵130a、…、130d,與形成于測試區110b中的組合圖案,也就是,特徵122a、…、122d及140a、…、140d的組合,可識別關鍵重疊區。例如,由第1a圖及第1b圖得以明白,相應於特徵130b的圖案特徵140b維持完全「重疊」,也就是,特徵140c完全形成於特徵122b內,藉以指出此重疊區的高度製程穩健性。另一方面,特徵140a、140c和140d不再完全重疊,也就是位於相應特徵122a、122c和122d內,藉以指出相關于製程變異降低的穩健性。尤其是,特徵140c、140d因接觸電阻增加以及尤其因對於各自鄰接線結構可能的短路而導致嚴重的裝置故障。因此,基於測試區110a、110b間的比較,可決定合適的製程窗口及/或可製作相應的重新設計以取得優良的製程穩健性。測試區110a、110b的比較可藉由使用檢測工具或任何其他測量技術的合適系統予以輕易地完成,其中,介於測試區110a、110b間的結構差異可輕易地予以決定並且相關於相應佈局及/或考慮中的處理程序的重疊效能。後面將引用第2a圖及第3圖說明相應的製造技術及測量策略。
第2a圖概要描述半導體裝置200的剖面圖,例如以測試裝置及諸如此類的形式予以提供,其中,可提供第一測試區210a及至少一個第二測試區210b以形成各自的結合圖案於其中,其可藉由建立良好的檢測技術 予以接取。如圖所示,裝置200可包含如半導體基板及諸如此類的基板201。此外,材料層202可在基板201上方形成並且從而在測試區210a、210b中或上方延伸。材料層202可適用於基於兩道圖案轉移處理在其中形成組合圖案,其中,可在測試區之一施加幾何調制以對於這些測試區中形成的組合圖案產生對稱差異。為了在材料層202中形成組合圖案,可使用任何合適的配置及材料組成。例如,實質均質材料組成(圖未示)可例如基於如二氧化矽、矽氮化物、矽氫氧化物及諸如此類的建立良好的硬式遮罩材料予以用在層件202中,而在其他情況中,可使用如聚合物材料及諸如此類的有機材料。在又其他清況中,材料層202可代表一或多層照射敏感性材料(radiation-sensitive material),藉以基於例如用在雙重曝照微影技術的二或更多道後續曝照處理以及一或多道顯影處理使層件202圖案化。在第2a圖中所示的具體實施例中,層件202可由可具有實質相同或不同的材料組成的第一子層202a及第二子層202b所構成。例如,可依介電質ARC(抗反射性塗布)材料的形式提供層件202a、202b之一或兩者,如同形成半導體裝置的技術中所良好建立一般。在其他情況中,ARC材料的單一層可用於層件202。
在圖示的製造階段中,可在層件202上方提供如阻劑材料等遮罩層203已形成於其中的第一圖案225以便能夠後續將圖案225轉移至層件202的至少一部分。應瞭解的是,圖案225可相當於裝置200的第一佈局層的 相應佈局圖案,舉例如以上引用裝置100所述。然而,應瞭解的是,圖案225對於相應的初始佈局圖案或者也與微影遮罩上所形成相應圖案作比較因可在用於將微影遮罩的圖案轉移至如層件203等照射敏感性材料的成像處理時含括的任何瑕疵而可具有特定差異,亦如先前所述。類似地,例如可由基板201內區域210a、210b的不同位置所造成的些微變異除外,層件203可在第二測試區210b中層件202上形成並且可具有實質相同的圖案225形成於其中。例如,可基於相應微影工具的不同像場(image field)以形成測試區210a、210b,藉以可能地在測試區210a的圖案225與測試區210b的圖案225間引進特定差異。
如第2a圖中所述的裝置200可基於其中層件202可例如藉由CVD(化學氣相沉積)技術、旋塗式技術及諸如此類予以施加的任何建立良好的製程技術予以形成。另外,可實施任何額外處理以取得期望的材料特性。應瞭解的是,可在任何合適的基板上方形成層件202而在材料層202下方不需要任何特定處理裝置層。在其他情況中,若認為合適,可在層件202下形成再處理層(further processed layer)。之後,可施加遮罩層203並且可使用微影處理以在層件203中形成圖案225,這在區域210a、210b提供於微影工具的不同像場中時可包括不同的曝照步驟。在其他情況中,可在相同微影處理期間形成區域210a、210b。應瞭解的是,微影處理在曝照步驟前與後也可包括層件203的任何預處理及後處理,並且也可包括顯影處理 以便從先前層件203中產生的潛像形成圖案225。
之後,合適的蝕刻配方可用於將圖案225轉移至材料層202中,例如至上層202a,這可藉由使用建立良好的非等向性蝕刻配方並且使用層件203作為蝕刻遮罩予以完成。
第2b圖概要描述再進一步製造階段中的裝置200,其中,遮罩層203予以移除並且圖案225轉移至層件202a。然而,應瞭解層件202a中的圖案225因所施加的蝕刻處理而可某種程度不同於遮罩層203(請參閱第2a圖)中形成的層件225。例如,各別開口的剖面輪廓及/或臨界尺寸在第2a及2b圖的圖案225中可不相同。
第2c圖概要描述再進一步製造階段中的裝置200,其中,第二遮罩層204可予以形成於層件202上方並且可具有第二圖案235合併於其中,其相當於裝置200的第二佈局層,亦如以上引用第1a及1b圖所述。也就是,圖案225和235互有空間相關性,以致得以界定一或多個重疊區。例如,重疊區228a示於第2c圖中。關於形成遮罩層204並且取得圖案235於其中,可如前述施加相同的準則。也就是,可施加建立良好的微影程式以形成圖案化遮罩層204。應瞭解的是,若認為有必要,可藉由使用任何合適的平整化技術,如使用光平整化材料及諸如此類,平整化先前形成的表面形貌(surface topography)。在第二測試區210b中,層件204設有要予以理解為圖案235空間性或幾何性調制版本的圖案235m。也就是,圖案235、235m 具有高度相似性,其中,除了可能的統計擾動,還引進系統偏移以便在介於測試區210a與210b間的層件202中產生所形成組合圖案的系統差異。例如,如上所述,圖案235m的至少一些圖案特徵的尺寸及/或位置可相對於圖案235予以修改。在依+y方向的側向平移所示的實施例中,如上述除了任何些微製程擾動外,可形成圖案235m,而可保存任何臨界尺寸。之後,可施加合適的蝕刻處理,其可基於如層件202中形成圖案225所使用的相同製程配方予以實施,或其中不同的製程配方可予以使用,端視整體處理策略而定。
第2d圖概述再進一步製造階段中的裝置200,其組合圖案226形成於層件202中,其為測試區210a中圖案225與235的組合。類似地,在測試區210b中取得組合圖案227,其為圖案225與235m的組合。由於圖案235m相對於圖案235的系統幾何調制,結構差異例如以額外裝置特徵或「缺陷(defect)」227b的形式予以取得,其可藉由建立良好的缺陷檢測技術予以輕易偵測。此外,可施加臨界尺寸和上覆精確度的測量及/或一般而言,例如基於掃描式電子顯微鏡及諸如此類的製程窗口定性技術(qualification technique)可用於偵測結構差異227b(structural difference)。基於偵測到的結構差異227b,可評定關於所含括基本佈局的重疊效能並且進行處理策略。例如,結構差異227b的尺寸可予以決定並且可當作準則以供評定基本裝置佈局及/或相應處理程序的正確性。
應瞭解的是,不同的材料及/或製程配方以及策略可用在比較組合圖案用以提供所形成評定優良涵蓋率(coverage)。此外,藉由使用不同的處理策略及/或測試區配置,可「仿真」相應的各種製程擾動而能有可靠度製程窗口關於形成重疊區(如關於導線的接觸件和導孔)的穩健定義,舉例如以上引用第1a及1b圖所述。
請參閱第2e至2h圖,可說明用於形成組合圖案226、227的處理程序,然而,其中一或多個製程參數例如相對于增強缺陷偵測及諸如此類予以不同地選擇。
第2e圖概述如前引用第2a圖所述呈類似配置的裝置200。因此,層件203包含必須予以轉移至層件202的圖案225。然而,在此情況中,可施加增加的蝕刻時間以「仿真」相應的製程變異,其可導致相應的圖案225修改並且也可導致層件202內增加的蝕刻深度。
第2f圖概述再進一步製造階段中的裝置200,其中,已施加實質兩倍的蝕刻時間將圖案225轉移至層件202。在此情況中,層件202a、202b可具有相同的材料成份,藉以圖案化層件202a和202b兩者,端視其初始厚度而定。
第2g圖概述具有層件204的裝置200,層件204具有第一測試區210a中的圖案235以及第二測試區210b中的幾何調制圖案235m合併於其中。還有,在此情況中,例如藉由使用上述相同的蝕刻配方將各別圖案轉移至層件202。
第2h圖概述測試區210a中的組合圖案226以及測試區210b中的組合圖案227,其中,也可因系統幾何調制而產生結構差異227b,如上所述。然而,應瞭解的是由於不同的製程參數,如不同的蝕刻時間,一般而言,結構差異227b有別於先前取得的結構差異。依此方式,可藉由比較相應的「缺陷」227b定量評定處理策略中差異的各種影響。
應瞭解的是,除了製程配方或處理時間的修改,或者可使用其他差異以取得有統計意義的結果以及涵蓋範圍廣的製程擾動。例如,可例如藉由提供多個不同的測試區提供具有不同類型調制的圖案235m,其可基於相同或不同的處理策略予以處理。
第2i圖概述裝置200的俯視圖,其中,可在基板201上形成多個測試區210a、…、210f以取得涵蓋範圍廣的各種擾動用於形成重疊區並且用以對每個不同類型測試區達到統計關聯性。例如,可緊密靠近具有幾何調制圖案形成於其中的各別測試區提供多個測試區210a,如上述。例如,基本上可相當於上述測試區210a的測試區210a因而可依x方向及y方向覆蓋基板201以致有效率地評估跨基板變異。類似地,可提供其中可依+x方向基於側向平移形成組合圖案的多個測試區210b,其中,可使用合適的平移幅度,例如可使用25奈米。類似地,可依+x方向基於側向平移形成測試區210c,其中,可使用相同或不同的幅度。例如,可使用50奈米。類似地,測試區210e、 210f可設有例如使用+50奈米及-25奈米依y方向基於側向平移形成的組合圖案。另一方面,測試區210d可設有例如藉由增加臨界尺寸10奈米基於所含括臨界尺寸調制而形成的組合圖案。
因此,一旦基於任何合適的檢測技術檢測基板210,即可使用介於鄰近區域210a與區域210b、210c、210d、210e、210f間的結構差異以識別關鍵重疊區。此外,由於各類多個測試區的提供,可取得統計關聯結果,而不同類型測試區進一步允許基於種種擾動評定效能。應瞭解以上在各別測試區中用於幾何調制組合圖案的測試區配置及參數僅屬於描述性質並且任何其他幾何調制都可予以施加,例如藉助於使用任何平移幅度及/或下伏佈局圖案的尺寸修改。
第3圖概述可用於偵測關鍵重疊區以及從而用於評定並且評估特定裝置設計及/或處理流程的上覆效能的系統350而予以製造。如圖所示,系統350可包含檢測工具357,例如合適地經配置以決定臨界尺寸、上覆誤差、表面缺陷及諸如此類,其可包含其上可安置可包含具有組合圖案形成於其中的至少兩測試區310a、310b的基板301的基板固持件351,如先前引用第1圖及第2圖所述。也就是,測試區310a包含具有組合圖案基於相當於兩不同裝置層級所形成用以界定重疊區的圖案形成於其中的圖案化材料層,其中,區域310a、310b中的各別組合圖案藉由系統幾何調制而彼此不同。基板固持件351經合適地 配置而能夠在基板301與偵測器352間相對側向移動,偵測器352可接收入射至基板301的任何類型照射回應束。例如,電子束可藉由入射電子束所產生受到偵測的照射用於決定基板301的表面特性。在其他情況中,如紫外線照射、及諸如此類的任何類型的照射皆可用於決定上覆特性及/或臨界尺寸的特性。偵測器352經合適地配置以提供檢測資料353a、353b,其可具有結構資訊編碼於其中,例如臨界尺寸、特定物件尺寸及諸如此類。此外,系統350可包含控制器355,其經合適地配置以接收檢測資料353a、353b,其例如可呈位地圖及諸如此類的形式予以提供以容許量化估計分別相當於區域310a、310b或其一部分的圖元。例如,資料353a、353b各可代表微影處理所形成的像場。
此外,控制器355可包含比較器354,其中,檢測資料353a可與資料353b作比較,例如藉助的是圖元對圖元比較以偵測結構差異,其依次可用於評估特定佈局的上覆效能及/或處理流程,也如以上所述。因此,比較器354可提供各別資料356,其可指示佈局的上覆效能以及施加于形成測試區310a、310b的處理程序。例如,區域310a、310b兩者「缺陷」存在的比較可指示相應設計及/或處理程序對於可能製程擾動給定範圍的不正確性,這可基於相應的幾何調制予以仿真,也如上所述。
結果是,本揭露提供技術及系統,其中,上覆效能可例如使用缺陷檢測工具基於快速及可靠測量技 術予以評估。為此,可形成合適的測試基板而不需要完全處理過的基板並且避免任何精密製備技術,例如TEM測量用剖面樣本的製備。根據本文所揭露的原理,關鍵位置關於上覆精確度的直接檢測可對任何製程擾動致能快速回應並且也可容許可靠及穩健的製程窗口定義以供形成上覆區。
鑒於本說明,本揭露的進一步改進及改變對於本技術領域的技術人員將顯而易知。因此,本說明僅要予以推斷為描述性並且目的在於指導本技術領域的技術人員實施本揭露的一般方式。要理解的是,本文所示及所述的形式屬於目前較佳的具體實施例。
理由:須用第1a及1b圖才能顯示完整技術特徵。
100‧‧‧半導體裝置
110a‧‧‧測試區
110b‧‧‧測試區
120‧‧‧第一佈局層
120a、120b、120c、120d‧‧‧基本佈局特徵
121a、121b、121c、121d‧‧‧額外佈局特徵
122a、122b、122c、122d‧‧‧第一圖案特徵、裝置特徵
130‧‧‧佈局層
130a、130b、130c、130d‧‧‧特徵
140a、140b、140c、140d‧‧‧圖案特徵

Claims (20)

  1. 一種方法,包含:將半導體裝置的第一佈局層的第一圖案轉移至基板的第一測試區與第二測試區上方所形成的材料層中;將該半導體裝置的第二佈局層的第二圖案轉移至該第一測試區上方所形成的該材料層中,該第一與第二佈局層彼此空間性相關,以便界定重疊區;將該第二圖案的幾何調制版本轉移至該第二測試區上方所形成的該材料層中;以及決定介於該第一測試區與該第二測試區間該材料層中的結構差異,以便評定關於該重疊區的製程餘裕。
  2. 如申請專利範圍第1項所述之方法,其中,將該第二圖案的幾何調制版本轉移至該第二測試區上方所形成的該材料層中包含施加該第二圖案相對於該第一圖案的預界定側向平移。
  3. 如申請專利範圍第1項所述之方法,其中,將該第二圖案的幾何調制版本轉移至該第二測試區上方所形成的該材料層中包含藉由使用具有不同臨界尺寸的該第二圖案的至少一些圖案特徵提供該幾何調制版本。
  4. 如申請專利範圍第1項所述之方法,其中,將該第二圖案的幾何調制版本轉移至該第二測試區上方所形成的該材料層中包含修改該第二圖案的至少一些圖案特徵的側向尺寸,以及以修改過的側向尺寸施加預界定 側向平移於具有該至少一些特徵的該第二圖案。
  5. 如申請專利範圍第1項所述之方法,其中,將該第一與第二圖案的至少一個轉移至該材料層中包含實施微影處理以及至少一個蝕刻處理。
  6. 如申請專利範圍第5項所述之方法,其中,將該第一圖案轉移至該材料層中包含實施第一蝕刻處理,以及其中,將該第二圖案與該第二圖案的該幾何調制版本轉移至該材料層中包含實施第二蝕刻處理。
  7. 如申請專利範圍第6項所述之方法,其中,該第一與第二蝕刻處理係藉由使用相同的製程配方而予以實施。
  8. 如申請專利範圍第1項所述之方法,其中,轉移該第一與第二圖案與該第二圖案的該調制版本包含實施一系列微影處理而無蝕刻處理。
  9. 如申請專利範圍第1項所述之方法,其中,該材料層為均質材料層。
  10. 如申請專利範圍第1項所述之方法,其中,該材料層包含第一子層以及第二子層。
  11. 如申請專利範圍第1項所述之方法,其中,決定介於該第一測試區與該第二測試區間的結構差異包含實施基板檢測處理。
  12. 一種方法,包含:在基板的第一測試區中所形成的材料層中,由半導體裝置的第一佈局層與第二佈局層形成第一組合圖 案,該第一與第二佈局圖案界定重疊區;在該基板的第二測試區中所形成的該材料層中,由該第一佈局層與該第二佈局層形成第二組合圖案,該第二組合圖案相對於該第一組合圖案包括幾何調制;以及在該第一與第二測試區中至少對該重疊區實施檢測處理。
  13. 如申請專利範圍第12項所述之方法,更包含藉由使用該檢測處理的結果而決定處理流程及該重疊區的佈局設計的至少一個的正確性。
  14. 如申請專利範圍第12項所述之方法,其中,該第一與第二組合圖案係藉由使用雙重曝照微影處理程序而形成。
  15. 如申請專利範圍第12項所述之方法,其中,該第一與第二組合圖案的各個係藉由循序使用第一微影/蝕刻程序以及第二微影/蝕刻程序而形成。
  16. 如申請專利範圍第15項所述之方法,其中,相同的蝕刻配方用於該第一與第二微影/蝕刻程序。
  17. 如申請專利範圍第15項所述之方法,其中,不同的蝕刻配方用於該第一與第二微影/蝕刻程序。
  18. 如申請專利範圍第12項所述之方法,更包含藉由使用該第一佈局層與該第二佈局層之一中的至少一些圖案特徵的側向平移及尺寸變異的至少一個而產生該幾何調制。
  19. 如申請專利範圍第12項所述之方法,更包含在該基板的第三測試區中所形成的該材料層中由該第一佈局層與該第二佈局層形成第三組合圖案,其中,該第三組合圖案相對於該第一與第二組合圖案包括幾何調制。
  20. 一種重疊偵測系統,包含:經配置用以從包含第一組合圖案的第一測試區取得第一檢測資料以及用以從包含第二組合圖案的第二測試區取得第二檢測資料的檢測工具,該第一與第二組合圖案各由半導體裝置的第一佈局層與第二佈局層所形成,該第二組合圖案相對於該第一組合圖案包括幾何調制;以及操作性地予以連接以接收該第一與第二檢測資料以及經配置藉由比較該第一與第二檢測資料並且由其決定該第一與第二組合圖案間之結構差異的控制器。
TW102125035A 2012-09-06 2013-07-12 藉由檢測技術在半導體中決定重疊製程窗口的方法和系統 TWI532075B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/605,060 US8940555B2 (en) 2012-09-06 2012-09-06 Method and system for determining overlap process windows in semiconductors by inspection techniques

Publications (2)

Publication Number Publication Date
TW201411693A true TW201411693A (zh) 2014-03-16
TWI532075B TWI532075B (zh) 2016-05-01

Family

ID=50098623

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102125035A TWI532075B (zh) 2012-09-06 2013-07-12 藉由檢測技術在半導體中決定重疊製程窗口的方法和系統

Country Status (6)

Country Link
US (2) US8940555B2 (zh)
KR (1) KR101565956B1 (zh)
CN (1) CN103681400B (zh)
DE (1) DE102013213785A1 (zh)
SG (1) SG2013057641A (zh)
TW (1) TWI532075B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9397012B2 (en) * 2014-06-27 2016-07-19 Globalfoundries Inc. Test pattern for feature cross-sectioning
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
US10423751B2 (en) 2017-09-29 2019-09-24 International Business Machines Corporation Semiconductor package floating metal checks
US10423752B2 (en) 2017-09-29 2019-09-24 International Business Machines Corporation Semiconductor package metal shadowing checks
US10546096B2 (en) 2017-09-29 2020-01-28 International Business Machines Corporation Semiconductor package via stack checking
US11935797B2 (en) * 2021-03-29 2024-03-19 Changxin Memory Technologies, Inc. Test method, adjustment method, test system, and storage medium for alignment error
US11809087B2 (en) * 2021-04-30 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing tool and methods of operation
CN117830195A (zh) * 2022-09-29 2024-04-05 长鑫存储技术有限公司 检测方法及装置、存储介质及电子设备

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS582845A (ja) * 1981-06-30 1983-01-08 Toshiba Corp フォトマスク及びパタ−ン評価方法
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device
JP4450769B2 (ja) * 2005-06-16 2010-04-14 富士フイルム株式会社 画像処理装置、画像描画装置及びシステム
JP4887062B2 (ja) * 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
KR100781439B1 (ko) 2006-08-31 2007-12-03 동부일렉트로닉스 주식회사 반도체 소자의 테스트 패턴 보정방법
US7494830B2 (en) * 2007-04-06 2009-02-24 Taiwan Semiconductor Manufacturing Company Method and device for wafer backside alignment overlay accuracy
JP5033018B2 (ja) * 2008-02-27 2012-09-26 株式会社ニューフレアテクノロジー 重なり図形の検査装置、荷電粒子ビーム描画装置及び重なり図形の検査方法

Also Published As

Publication number Publication date
KR20140032332A (ko) 2014-03-14
US8940555B2 (en) 2015-01-27
KR101565956B1 (ko) 2015-11-05
US20150140695A1 (en) 2015-05-21
SG2013057641A (en) 2014-04-28
CN103681400A (zh) 2014-03-26
TWI532075B (zh) 2016-05-01
DE102013213785A1 (de) 2014-03-06
CN103681400B (zh) 2016-06-08
US20140065734A1 (en) 2014-03-06
US9099353B2 (en) 2015-08-04

Similar Documents

Publication Publication Date Title
TWI532075B (zh) 藉由檢測技術在半導體中決定重疊製程窗口的方法和系統
US6762111B2 (en) Method of manufacturing a semiconductor device
US7135344B2 (en) Design-based monitoring
US7876438B2 (en) Apparatus and methods for determining overlay and uses of same
US7678516B2 (en) Test structures and methods for monitoring or controlling a semiconductor fabrication process
US7234128B2 (en) Method for improving the critical dimension uniformity of patterned features on wafers
JPH10303125A (ja) パターン形成方法
US7642101B2 (en) Semiconductor device having in-chip critical dimension and focus patterns
WO2021198211A1 (en) Removing an artefact from an image
JP2003203841A (ja) 評価方法、製造条件補正方法及び半導体装置の製造方法
US6294397B1 (en) Drop-in test structure and abbreviated integrated circuit process flow for characterizing production integrated circuit process flow, topography, and equipment
US6605479B1 (en) Method of using damaged areas of a wafer for process qualifications and experiments, and system for accomplishing same
KR101328611B1 (ko) 반도체 메모리 디바이스 제조를 위한 패턴 매칭 방법
US6414326B1 (en) Technique to separate dose-induced vs. focus-induced CD or linewidth variation
TWI820371B (zh) 用於微影裝置製造程序之檢測工具及度量衡方法
US9535319B2 (en) Reticle, system comprising a plurality of reticles and method for the formation thereof
KR100834832B1 (ko) 오버레이 계측설비를 이용한 패턴의 임계치수 측정방법
JP4607072B2 (ja) 複数のcd計測ツール間の一貫した測定結果を検証する方法
US20230152714A1 (en) Method for correcting critical dimension measurements of lithographic tool
Nohdo et al. BEOL process technology based on proximity electron lithography: demonstration of the via-chain yield comparable with ArF lithography
KR20240048213A (ko) 오버레이 측정 방법, 오버레이 측정 시스템 및 이를 이용한 반도체 장치의 제조 방법
CN117043680A (zh) 测量选配方案的确定方法及相关量测方法和装置
KR20080000124A (ko) 노광 공정의 디포커스 검출 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees