TW201327669A - 蝕刻用於形成光罩之euv反射多重材料層之方法 - Google Patents

蝕刻用於形成光罩之euv反射多重材料層之方法 Download PDF

Info

Publication number
TW201327669A
TW201327669A TW101137765A TW101137765A TW201327669A TW 201327669 A TW201327669 A TW 201327669A TW 101137765 A TW101137765 A TW 101137765A TW 101137765 A TW101137765 A TW 101137765A TW 201327669 A TW201327669 A TW 201327669A
Authority
TW
Taiwan
Prior art keywords
layer
material layer
gas
etching
gas mixture
Prior art date
Application number
TW101137765A
Other languages
English (en)
Inventor
Keven Kaisheng Yu
Michael Grimbergen
Madhavi Chandrachood
Amitabh Sabharwal
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201327669A publication Critical patent/TW201327669A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • B44C1/227Removing surface-material, e.g. by engraving, by etching by etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

在此提供用以蝕刻光罩的方法與設備。在一實施例中,一形成氣體用以移除被用於膜堆疊的罩幕層,其中該膜堆疊具有一多重材料層,該多重材料層具有至少兩不同的材料。在另一實施例中,一種蝕刻設置在一光罩上的一多重材料層的方法包括以下步驟:提供一膜堆疊到一蝕刻腔室內,該膜堆疊具有一多重材料層,該多重材料層具有至少兩不同的材料設置在該多重材料層中,該至少兩不同的材料經由一圖案化層而部分地被暴露出;提供一氣體混合物到一製程腔室內,該氣體混合物包括至少一含氟氣體與一含氧氣體;供應一RF功率到該氣體混合物中,以形成一電漿;及透過該圖案化層蝕刻該多重材料層。

Description

蝕刻用於形成光罩之EUV反射多重材料層之方法
本發明的實施例大體上關於用以電漿蝕刻EUV反射多重材料層的方法,並且更詳細而言係關於用以在光罩製造期間蝕刻EUV反射多重材料層的方法。
在積體電路(IC)或晶片的製造中,代表晶片的不同層的圖案由晶片設計者來建立。一系列的可重複使用罩或光罩從這些圖案來建立,以為了在製造過程期間將各個晶片層的設計轉移到半導體基材上。罩圖案產生系統係使用精確的雷射或電子束將晶片的各個層的設計成像在各自的罩上。接著,該些罩被用作為類似照相底片而將各個層的電路圖案轉移到半導體基材上。這些層是使用一系列的製程來疊置且轉變成小電晶體與包含各個完成晶片的電路。因此,罩中的任何缺陷可被轉移到晶片,而潛在不利地影響效能。足夠嚴重的缺陷會使罩完全無法使用。通常,一組的15至30個罩用以建構晶片且可重複地被使用。
光罩通常是含有膜堆疊的玻璃或石英基材,該膜堆 疊具有多重層(包括設置在玻璃或石英基材上的光吸收層、非透明層、與帽蓋層)。當製造光罩層時,光阻層通常設置在膜堆疊上,以促進在後續的圖案化製程期間將特徵轉移到膜堆疊內。在圖案化製程期間,藉由將部分的光阻暴露於極紫外光或紫外光並使暴露部分溶解在顯影溶液中,電路圖案被寫入到光罩上。阻劑的可溶部分接著被移除,而容許暴露的下方膜堆疊被蝕刻。蝕刻製程係在阻劑被移除的位置處將膜堆疊從光罩移除,即暴露的堆疊被移除。
隨著關鍵尺寸(critical dimension,CD)的縮小,目前的光微影係接近在45奈米(nm)技術節點的技術限制。預期下一世代微影(next generation lithography,NGL)會取代例如在32 nm技術節點與超過者中的傳統光微影方法。存在有一些NGL候選者,諸如極紫外光微影(extreme ultraviolet lithography,EUVL)、電子投射微影(electron projection lithography,EPL)、離子投射微影(ion projection lithography,IPL)、奈米壓印、與X-射線微影。在這些候選者之中,由於EUVL具有光微影的大部分性質的事實且EUVL相較於其他NGL方法是更成熟的技術,EUVL是最可能的繼承者。
因此,膜堆疊被發展成具有新的膜機制,以能與EUV技術併同發揮作用而促進形成具有期望特徵設置在光罩上的光罩。膜堆疊可包括多重層,該多重層具有待蝕刻以形成期望特徵的不同新材料。不精確的蝕刻製程控制會造成關鍵尺寸(CD)偏離、不佳的關鍵尺寸(CD)一致性、不期望的剖面輪廓和蝕刻關鍵尺寸(CD)線性、與不希望的缺陷。咸信EUV技 術可提供良好的CD一致性、較少的蝕刻偏離、期望的線性、較少的線邊緣粗糙度、與高的厚度一致性和較少的缺陷。
在一蝕刻製程中(如同所知的乾式蝕刻、反應性離子蝕刻、或電漿蝕刻),電漿用以提升化學反應且蝕刻光罩的圖案化膜堆疊。不合意地,由於對用以將膜堆疊予以圖案化的光阻材料的攻擊,傳統蝕刻製程常常造成蝕刻偏離。因為光阻或膜堆疊的側壁在蝕刻製程期間被攻擊,圖案化阻劑的關鍵尺寸無法準確地被轉移到膜堆疊。因此,對於具有小於約5 μm的關鍵尺寸的光罩而言,傳統蝕刻製程無法產生可接受的結果。這造成光罩的蝕刻特徵的非一致性,且相應地降低了使用光罩來產生具有小關鍵尺寸的元件特徵的能力。隨著光罩的關鍵尺寸持續縮小,蝕刻一致性的重要性係增加。因此,高度期望蝕刻製程,該蝕刻製程對於設置在用於EUV技術的光罩上的膜堆疊具有高蝕刻一致性。
因此,對於形成用於EUV技術的光罩,需要一種改善的蝕刻製程。
本發明的實施例大體上提供用以蝕刻用於EUV技術的光罩的方法與設備。本發明的實施例亦大體上關於用於二元、PSM、OMOG與EUV微影的光罩製造技術。本發明的實施例亦大體上關於在Cr、MoSi、OMOG、EUV、ARC與吸收層蝕刻之後阻劑的電漿移除的方法,並且關於亦用於EUV光遮蔽件與PSM應用的阻劑的電漿移除的方法。
在一實施例中,一種蝕刻設置在一光罩上的一多重材料層的方法包括以下步驟:傳送一膜堆疊到一蝕刻腔室內,該膜堆疊具有一多重材料層,該多重材料層具有至少兩不同的材料設置在該多重材料層中,該至少兩不同的材料經由一圖案化層而部分地被暴露出;提供一氣體混合物到一製程腔室內,該氣體混合物包括至少一含氟氣體與一含氧氣體;供應一RF功率,以從該氣體混合物形成一電漿;及在該電漿的存在下,透過該圖案化層蝕刻該多重材料層。
在另一實施例中,一種蝕刻設置在一光罩上的一多重材料層的方法包括以下步驟:傳送一膜堆疊到一蝕刻腔室內,該膜堆疊具有一多重材料層,該多重材料層具有至少一鉬層與一矽層設置在該多重材料層中,該至少一鉬層與一矽層經由一圖案化層而部分地被暴露出;提供一氣體混合物到一製程腔室內,該氣體混合物包括至少一含氟氣體與一含氧氣體;供應一RF功率,以從該氣體混合物形成一電漿;及在該電漿的存在下,透過該圖案化層蝕刻該多重材料層。
在又另一實施例中,一種蝕刻設置在一光罩上的一多重材料層的方法包括以下步驟:傳送一膜堆疊到一蝕刻腔室內,該膜堆疊具有一多重材料層,該多重材料層具有至少一鉬層與一矽層設置在該多重材料層中,該至少一鉬層與一矽層經由一圖案化層而部分地被暴露出,其中該圖案化層包括設置在一吸收層上的一圖案化光阻層;提供一氣體混合物到一製程腔室內,該氣體混合物包括至少一含氟氣體、一含氧氣體與一含氯氣體;供應一RF功率在該氣體混合物中,以 從該氣體混合物形成一電漿;及在該電漿的存在下,透過該圖案化層蝕刻該多重材料層。
100‧‧‧膜堆疊
102‧‧‧光罩基材
104‧‧‧層
106‧‧‧反射多重材料層
106a‧‧‧鉬層
106b‧‧‧矽層
108‧‧‧帽蓋層
110‧‧‧塊體吸收層
112‧‧‧自我罩幕層
114‧‧‧光阻層
116‧‧‧吸收層
118‧‧‧開口
120‧‧‧暴露部分
122‧‧‧部分
190‧‧‧光罩
200‧‧‧反應器
202‧‧‧製程腔室
204‧‧‧腔室側壁
208‧‧‧室頂(蓋)
210‧‧‧天線
210a‧‧‧共軸構件
210b‧‧‧共軸構件
212‧‧‧電漿功率源
214‧‧‧第一匹配網路
216‧‧‧入口
218‧‧‧通道
220‧‧‧氣體面板
224‧‧‧載座
226‧‧‧邊緣環
228‧‧‧熱槽
230‧‧‧升降銷
232‧‧‧夾持電極
234‧‧‧加熱構件
236‧‧‧導引孔
238‧‧‧升降機構
240‧‧‧偏功率源
242‧‧‧第二匹配網路
244‧‧‧電阻式加熱器
246‧‧‧控制器
248‧‧‧記憶體
260‧‧‧靜電夾盤
262‧‧‧節流閥
264‧‧‧真空泵
266‧‧‧夾持功率供應器
268‧‧‧加熱器功率供應器
270‧‧‧遮蔽件
272‧‧‧板
274‧‧‧穿孔
276‧‧‧腳
278‧‧‧上方製程空間
280‧‧‧空間
282‧‧‧適配件
284‧‧‧下部
286‧‧‧上部
288‧‧‧開口
300‧‧‧方法
302-308‧‧‧方塊(步驟)
可藉由參考本發明的實施例來詳細暸解本發明的上述所載特徵,本發明的更詳細描述簡短地在前面概述過,其中一些實施例在附圖中示出。但是應注意的是,附圖僅示出本發明的典型實施例,並且因此附圖不應被視為會對本發明範疇構成限制,這是因為本發明可允許其他等效實施例。
第1A-1C圖示出根據本發明一實施例的用以製造EUV光罩的順序的實施例。
第2圖示出根據本發明一實施例的可用以製造光罩的製程腔室的示意剖視圖。
第3圖示出根據本發明一實施例的用以製造光罩的方法的流程圖。
附件提供了關於本發明的額外資訊。
為促進了解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。應瞭解,一實施例的元件與特徵可有利地併入到其他實施例而不需特別詳述。
但是應注意的是,附圖僅示出本發明的示範性實施例,並且因此附圖不應被視為會對本發明範疇構成限制,這是因為本發明可允許其他等效實施例。
本發明提供用以製造光罩基材的方法與設備。更詳細地說,本發明關於以改善的蝕刻控制來蝕刻設置在光罩基材上的反射多重材料層的方法。
第1A-1C圖示出用以製造光罩190的製程順序。光罩190包括設置在光罩190上的膜堆疊100,膜堆疊100可用以形成期望特徵118於光罩190上。作為如第1A圖所示的示範性實施例,光罩基材102可以是石英基材(即低熱膨脹二氧化矽(SiO2)層)。光罩基材102具有矩形形狀,該矩形形狀的側邊的長度介於約5英吋與約9英吋之間。光罩基材102的厚度可介於約0.15英吋與約0.25英吋之間。在一實施例中,光罩基材102的厚度為約0.25英吋。可選的含鉻層104(諸如氮化鉻(CrN)層)可依需要設置在光罩基材102的背側。
EUV反射多重材料層106設置在光罩基材102上。反射多重材料層106可包括至少一鉬層106a與矽層106b。儘管第1A圖中所示的實施例顯示五對鉬層106a與矽層106b(交替的鉬層106a與矽層106b重複地被形成在光罩基材102上),應瞭解的是可根據不同製程需求改變鉬層106a與矽層106b的數量。在一特定實施例中,可沉積四十對鉬層106a與矽層106b以形成反射多重材料層106。在一實施例中,各個單一鉬層106a的厚度可被控制在介於約1Å與約10Å之間(諸如約3Å),並且各個單一矽層106b的厚度可被控制在介於約1Å與約10Å之間(諸如約4Å)。反射多重材料層106的總厚度可介於約10Å與約500Å之間。反射多重材料層106的EUV光反射率在13.5 nm波長可高達70%。反射多重材料層106 的總厚度可介於約70 nm與約140 nm之間。
隨後,帽蓋層108設置在反射多重材料層106上。可藉由金屬材料(諸如釕(Ru)材料、鋯(Zr)材料、或任何其他適當材料)來製造帽蓋層108。在第1A-1C圖所示的實施例中,帽蓋層108是釕(Ru)層。帽蓋層108的厚度介於約1 nm與約10 nm之間。
吸收層116可接著設置在帽蓋層108上。吸收層116是設以吸收部分的微影製程期間所產生的光的非透明與光遮蔽層。吸收層116的形式可以是單一層或多重層結構(諸如包括設置在塊體吸收層110上的自我罩幕層112,如第1A-1C圖中的實施例所示)。在一實施例中,吸收層116的總膜厚度介於約50 nm與約200 nm之間。對於在次-45 nm技術節點應用中的EUV罩,吸收層116的總厚度係有利地促進達到嚴格的總蝕刻輪廓容忍度。
在一實施例中,塊體吸收層110可包含實質上不含氧的鉭系材料,例如矽化鉭系材料(諸如TaSi)、氮化的硼化鉭系材料(諸如TaBN)、與氮化鉭系材料(諸如TaN)。自我罩幕層112可由鉭與氧系材料製成。自我罩幕層112的組成係相應於塊體吸收層110的組成,並且自我罩幕層112的組成可當塊體吸收層110包含TaSi或TaSiN時包含氧化與氮化的鉭與矽系材料(諸如TaSiON)、可當塊體吸收層110包含TaBN時包含氧化鉭硼系材料(諸如TaBO)、及當塊體吸收層110包含TaN時包含氧化與氮化的鉭系材料(諸如TaON)。
圖案化光阻層114接著被形成在吸收層116上方, 圖案化光阻層114具有被形成在圖案化光阻層114中的開口118,開口118暴露部分120的吸收層116以用於蝕刻。可藉由包括至少H2氣體與N2氣體的氣體混合物將光阻層114的開口118予以圖案化。在光阻層114的圖案化期間,可供應RF源功率到被形成在製程腔室(諸如將在以下參照第2圖更詳細描述的反應器200)中的線圈,而施加或不施加偏RF功率,以蝕刻光阻層114而在光阻層114中形成開口118。光阻層114可包含任何適當的光敏感阻劑材料,諸如電子束阻劑(例如化學增強阻劑(chemically amplified resist,CAR)),並且可以任何適當方式來沉積與圖案化光阻層114。光阻層可被沉積達到介於約100 nm與約1000 nm之間的厚度。
其次,光罩基材102被傳送到蝕刻製程腔室(諸如將在以下參照第2圖更詳細描述的蝕刻反應器200),以執行蝕刻製程。蝕刻製程係被執行以蝕刻透過開口118所暴露的吸收層116與帽蓋層108,其中開口118由光阻層114來定義。蝕刻製程係被執行以蝕刻吸收層116與帽蓋層108,直到反射多重材料層106的下方表面122暴露出,如第1B圖所示。可使用一個製程步驟(諸如單一蝕刻劑化學作用)持續地蝕刻自我罩幕層112與塊體吸收層110,或可依需要藉由在一個或不同的蝕刻製程中的多個步驟來分離地蝕刻自我罩幕層112與塊體吸收層110。來自光阻層114的圖案接著經由蝕刻製程被轉移到吸收層116與帽蓋層108內。
隨後,執行反射多重材料層蝕刻製程,以蝕刻反射多重材料層106。反射多重材料層蝕刻製程係使用蝕刻氣體混 合物,該蝕刻氣體混合物用以蝕刻反射多重材料層106而直到反射多重材料層106的期望深度被移除或下方的光罩基材102被暴露出。由於反射多重材料層106可包括超過一個類型的材料,所選擇的蝕刻氣體混合物係設以具有高蝕刻能力以蝕刻不同的材料並可維持相對於上方帽蓋層108和吸收層116的高選擇性以維持期望的側壁輪廓。將在以下參照第3圖進一步描述反射多重材料層蝕刻製程的細節。
第2圖示出蝕刻反應器200的示意圖。可適於與在此所揭示的教示併同使用的適當反應器包括例如Decoupled Plasma Source(DPS®)II反應器或Tetra I、Tetra II、Tetra X光罩蝕刻系統,這些反應器皆可從美國加州聖大克勞拉市的應用材料公司取得。在此顯示的反應器200的特定實施例係為了說明目的而被提供且不應該被用於限制本發明的範疇。可設想出的是本發明可被用在其他電漿製程腔室(包括來自其他製造業者的電漿製程腔室)中。
反應器200大體上包含製程腔室202與控制器246,製程腔室202具有位在導電主體(壁)204內的基材載座224。腔室202具有實質上平坦的介電室頂或蓋208。腔室202的其他變化可具有其他類型的室頂,例如圓頂形室頂。天線210設置在室頂208上方且包含一或更多個導電線圈構件,該些導電線圈構件可選擇性地被控制(第2圖顯示兩個共軸構件210a與210b)。天線210經由第一匹配網路214耦接到電漿功率源212,電漿功率源212典型地可在約50 kHz至約13.56 MHz範圍中的可調頻率下產生高達約3000 W。
基材載座(陰極)224經由第二匹配網路242耦接到偏功率源240。偏功率源240大體上是在約13.56 MHz的頻率下高達約500 W的源,並且偏功率源240能夠產生連續式或脈衝式功率。或者,偏功率源240可以是DC或脈衝式DC源。
在一實施例中,基材支撐載座224包含靜電夾盤260,靜電夾盤260具有至少一夾持電極232且由夾持功率供應器266來控制。在替代實施例中,基材載座224可包含基材固持機構(諸如載座夾持環、機械夾具、與諸如此類者)。
光罩適配件282用以將基材(例如光罩(photomask)或光罩(reticle)),諸如第1A-1C圖中所示的基材102,固定在基材支撐載座224上。光罩適配件282大體上包括下部284與上部286,其中下部284覆蓋載座224的上表面(例如靜電夾盤260),上部286具有開口288且開口288的尺寸和形狀能使開口288固持基材102。開口288大體上相對於載座224而實質上被置中。適配件282大體上由單件式的抗蝕刻、抗高溫材料(諸如聚醯亞胺陶瓷或石英)形成。邊緣環226可覆蓋適配件282與/或將適配件282固定到載座224。
升降機構238用以升高或降低適配件282與基材102到基材支撐載座224上或離開基材支撐載座224。升降機構238包含複數個升降銷230(圖上顯示一個升降銷),該些升降銷230係行進通過各自的導引孔236。
氣體面板220耦接到製程腔室202,以提供製程與/或其他氣體到製程腔室202的內部。在第2圖所示的實施例中,氣體面板220耦接到一或更多個入口216,其中該些入口 216被形成在腔室202的側壁204中的通道218中。可設想出的是該一或更多個入口216可被提供在其他位置,例如在製程腔室202的室頂208中。
在一實施例中,氣體面板220適於提供氟化製程氣體而使氟化製程氣體通過入口216且進入到製程腔室202的主體的內部。在處理期間,從製程氣體形成電漿,並且透過來自電漿功率源212的功率的感應耦合而維持住電漿。或者,可藉由其他方法而遠端地形成或點燃電漿。在一實施例中,從氣體面板220提供的製程氣體包括至少一氟化氣體和一含碳氣體、一氧氣、與一含氯氣體。氟化和含碳氣體的實例包括CHF3與CF4。其他氟化氣體可包括C2F、C4F6、C3F8與C5F8的一或更多者。含氧氣體的實例包括O2、CO2、CO、N2O、NO2、O3、H2O、與諸如此類者。含氯氣體的實例包括Cl2、CCl4、CHCl3、CH2Cl2、CH3Cl、與諸如此類者。
使用節流閥262與真空泵264來控制製程腔室202中的壓力。真空泵264與節流閥262能夠將腔室壓力維持在約1至約20 mTorr的範圍中。
在運作時,基材102的溫度是藉由將基材載座224的溫度予以穩定化來控制。在一實施例中,基材支撐載座224包含電阻式加熱器244與熱槽(heat sink)228。電阻式加熱器244大體上包含至少一加熱構件234,並且電阻式加熱器244是由加熱器功率供應器268來調節。
可選的離子-自由基遮蔽件270設置在腔室202中而位於載座224上方。離子-自由基遮蔽件270與腔室側壁204 和載座224電絕緣,以致沒有提供從板到接地物的接地路徑。離子-自由基遮蔽件270的一實施例包含實質上平坦的板272與支撐該板272的複數個腳276。板272可由和製程需求相容的各種材料製成,板272包含一或更多個開口(穿孔)274,該些開口(穿孔)274係界定板272中的期望開放區域。此開放區域係控制離子從製程腔室202的上方製程空間278中形成的電漿通過到位於離子-自由基遮蔽件270與基材102之間的下方製程空間280的量。開放區域越大,則越多離子能通過離子-自由基遮蔽件270。因此,穿孔274的尺寸係控制空間280中的離子密度,並且遮蔽件270作為離子過濾器。板272亦可包含網篩(screen)或網目(mesh),其中網篩或網目的開放區域對應於穿孔274所提供的期望開放區域。或者,亦可使用板與網篩或網目的組合。
在處理期間,由於來自電漿的電子轟擊,電位在板272的表面上產生。電位係吸引來自電漿的離子而有效地從電漿過濾離子,同時能容許中性物種(例如自由基)通過板272的穿孔274。因此,藉由減少離子通過離子-自由基遮蔽件270的量,由中性物種或自由基對罩的蝕刻能以更受控的方式來進行。此可減少阻劑的腐蝕以及阻劑到圖案化材料層的側壁上的濺射,因而造成改善的蝕刻偏離與關鍵尺寸一致性。
第3圖是用以蝕刻反射多重材料層的方法300的一實施例的流程圖,其中反射多重材料層被形成在設置於光罩上的膜堆疊中(諸如如第1A-1C圖所示的被形成在膜堆疊100中的反射層106)。儘管以下藉由涉及用以製造光罩的基材來 描述方法300,方法300亦可用以有利於其他光罩蝕刻或任何蝕刻應用。
方法300能以電腦可讀形式被儲存在控制器246的記憶體248中或其他儲存媒介中,方法300開始於方塊302,其中光罩基材102被傳送到且被置放在蝕刻反應器(如第2圖所示的蝕刻反應器200)中的支撐載座224上。在一實施例中,光罩基材102擱置在適配件282的開口288中。如上所述的光罩基材102包括可選的透明矽系材料(諸如石英(即二氧化矽(SiO2))層),反射多重材料層106設置在透明矽系材料上,部分122的反射多重材料層106被圖案化光阻層114、吸收層116與帽蓋層108暴露出而可輕易地被蝕刻(如第1B圖所示)。圖案化光阻層114、吸收層116與帽蓋層108可作為罩幕層以保護一些部分的反射多重材料層106免於在反射多重材料層蝕刻製程期間被蝕刻。如上所討論,反射多重材料層106可包括重複對的鉬層106a與矽層106b。蝕刻反射多重材料層106的製程需要蝕刻鉬層106a與矽層106b兩者,而不攻擊被圖案化光阻層114所定義的吸收層116與帽蓋層108的側壁。所以,良好經選擇的氣體混合物係被選擇以蝕刻反射多重材料層106,該氣體混合物具有蝕刻鉬層106a與矽層106b兩者的高能力並同時對於上方帽蓋層108與吸收層116的暴露側壁具有高選擇性。
在方塊304,蝕刻氣體混合物被供應到蝕刻反應器內以蝕刻被圖案化光阻層114與經蝕刻的吸收層116與帽蓋層108暴露出的部分122的反射多重材料層106(如第1B圖所 示)。在一實施例中,蝕刻氣體混合物包括至少一含氟氣體。含氟氣體的適當實例包括CF4、CHF3、CH2F2、C2F6、C2F8、SF6、NF3、與諸如此類者。由於氟元素是侵略性蝕刻劑,被供應在蝕刻氣體混合物中的含氟氣體用以蝕刻去除部分的反射多重材料層106(包括鉬層106a與矽層106b兩者),而形成期望特徵到反射多重材料層106內。
又,含氧氣體亦可被供應在蝕刻氣體混合物中。由於侵略性氟蝕刻劑亦會攻擊上方帽蓋層108與吸收層116的暴露側壁,被供應在蝕刻氣體混合物中的含氧氣體可有助於形成且沉積聚合物於經蝕刻的吸收層116、帽蓋層108與部分的反射多重材料層106的側壁上。經沉積的聚合物可有效率地保護開口118的側壁,而避免在侵略性反射多重材料層蝕刻製程期間的進一步攻擊。含氧氣體的適當實例包括O2、N2O、NO2、O3、CO、CO2、H2O、與諸如此類者。
此外,含氯氣體與/或含溴氣體亦可依需要被添加到蝕刻氣體混合物,以有助於蝕刻反射多重材料層106。含氯氣體的實例包括Cl2、HCl、SiCl4、BCl3、CCl4、CHCl3、CH2Cl2、CH3Cl、它們的組合、與諸如此類者。含溴氣體的實例包括HBr、Br2、它們的組合、與諸如此類者。咸信含溴氣體對於矽層106b比對於鉬層106a具有相對較高的蝕刻速率。因此,在蝕刻製程被執行以選擇性地在一時間蝕刻一層的實施例(例如當蝕刻鉬層106a時,下方的矽層106b作為蝕刻終止層以提供蝕刻終止物)中,含氯與/或溴氣體可交替地用以在一時間個別蝕刻鉬層106a或矽層106b的其中之一。
在替代實施例中,惰性氣體亦可依需要被供應到蝕刻氣體混合物內,以有助於輪廓控制。被供應在氣體混合物中的惰性氣體的實例包括Ar、He、Ne、Kr、Xe、或諸如此類者。
在一實施例中,被供應在蝕刻氣體混合物中的含氟氣體與含氧氣體可被維持在預定比例以獲得有效率的蝕刻速率,並同時足以保護側壁免於不期望的蝕刻。在一示範性實施例中,含氟氣體與含氧氣體以介於約1%與約20%之間的比例被供應在蝕刻氣體混合物中。或者,含氟氣體能以介於約20 sccm與約500 sccm之間的體積流速被供應。含氧氣體能以介於約1 sccm與約100 sccm之間的流速被供應。
含氯氣體能以介於約20 sccm與約500 sccm之間的體積流速被供應。含溴氣體能以介於約10 sccm與約100 sccm之間的體積流速被供應。惰性氣體能以介於約10 sccm與約500 sccm之間的流速被供應。在含氯氣體或含溴氣體隨同含氟氣體與含氧氣體被供應在蝕刻氣體混合物中的實施例中,含氟氣體對含氯與/或溴氣體的流速比例被控制在介於約1:10與約1:10之間。含氧氣體對含氟氣體與/或含溴氣體的總結合氣體流量的流量比例被控制在介於約1:1與約1:100之間。
在方塊306,在蝕刻氣體混合物被供應到蝕刻反應器內之後,RF功率被供應以從蝕刻反應器中的氣體混合物形成電漿。RF源功率能以介於約100 W與約3000 W之間及介於約400 kHz與約13.56 MHz之間的頻率被供應於氣體混合物。偏功率亦可依需要被供應。偏功率可被供應於介於約10 W 與約300 W之間。在一實施例中,RF源功率可被脈衝化而使得在介於約500 Hz與約10 kHz之間的頻率下的工作週期(duty cycle)是介於約10%與約95%之間。
在供應蝕刻氣體混合物以執行蝕刻製程時,亦可控制一些製程參數。製程腔室的壓力可被控制在介於約0.5 mTorr與約500 mTorr之間,諸如介於約1 mTorr與約20 mTorr之間。
在方塊308,在RF功率用以從蝕刻氣體混合物形成電漿之後,被產生在電漿中的離子或侵略性自由基會攻擊多重材料層106且和多重材料層106反應,藉此從光罩基材102移除未被圖案化光阻層114與上方吸收層116與帽蓋層108保護的部分122的多重材料層106。蝕刻製程係被執行以蝕刻多重材料層106,直到移除期望深度的多重材料層106或移除下方的光罩基材102,如第1C圖所示。
可藉由時間模式或其他適當的方法來控制蝕刻製程的終點。例如,蝕刻製程可被中斷於在執行介於約50秒與約500秒之間之後,直到期望深度的多重材料層106從光罩基材102被移除或光罩基材102的下表面被暴露出(如第1C圖所示)。在此特定實施例中,蝕刻製程可被執行介於約1秒與約500秒之間。在另一實施例中,可藉由終點偵測器(諸如OES偵測器或其他適當的偵測器)的判定來依需要中斷蝕刻製程。
在膜堆疊100的期望輪廓與/或結構被形成於光罩基材102上之後,可因此移除光阻層114。在一實施例中,可藉由灰化來移除剩餘的阻劑與保護層。可在蝕刻反應器200中 原位地執行移除製程,其中在步驟302-308所執行的蝕刻製程係被執行在蝕刻反應器200中。在光阻層114於蝕刻製程期間被耗盡或用盡的實施例中,可依需要免去灰化或光阻層移除製程。
又,在蝕刻膜堆疊100之前,圖案化光阻層。
所以,已經提供用以蝕刻多重材料層的方法,該方法相較於傳統製程可有利地改善溝槽屬性與輪廓。因此,在此所述的蝕刻多重材料層的方法可有利地促進光罩的製造,其中該光罩適於在EUV技術中將具有小關鍵尺寸的特徵予以圖案化。
儘管上述說明導向本發明的實施例,可在不悖離本發明的基本範疇下設想出本發明的其他與進一步實施例,並且本發明的範疇是由隨附的申請專利範圍來決定。
100‧‧‧膜堆疊
102‧‧‧光罩基材
104‧‧‧層
106‧‧‧反射多重材料層
106a‧‧‧鉬層
106b‧‧‧矽層
108‧‧‧帽蓋層
110‧‧‧塊體吸收層
112‧‧‧自我罩幕層
114‧‧‧光阻層
116‧‧‧吸收層
118‧‧‧開口
120‧‧‧暴露部分
122‧‧‧部分
190‧‧‧光罩

Claims (22)

  1. 一種蝕刻設置在一光罩上的一多重材料層的方法,該方法包含以下步驟:傳送一膜堆疊到一蝕刻腔室內,該膜堆疊具有一多重材料層,該多重材料層具有至少兩不同的材料設置在該多重材料層中,該至少兩不同的材料經由一圖案化層而部分地被暴露出;提供一氣體混合物到一製程腔室內,該氣體混合物包括至少一含氟氣體與一含氧氣體;供應一RF功率,以從該氣體混合物形成一電漿;及在該電漿的存在下,透過該圖案化層蝕刻該多重材料層。
  2. 如請求項1所述之方法,其中該多重材料層包括一鉬層與一矽層的至少一者。
  3. 如請求項1所述之方法,其中該多重材料層包括重複對的鉬層與矽層。
  4. 如請求項3所述之方法,其中該多重材料層包括約40對的鉬層與矽層。
  5. 如請求項1所述之方法,其中該含氟氣體選自從CF4、 CHF3、CH2F2、C2F6、C2F8、SF6與NF3構成的群組。
  6. 如請求項1所述之方法,其中該含氧氣體選自從O2、N2O、NO2、O3、CO、CO2與H2O構成的群組。
  7. 如請求項1所述之方法,其中所提供的該氣體混合物更包含一含氯氣體或一含溴氣體。
  8. 如請求項7所述之方法,其中該含氯氣體選自從Cl2、HCl、SiCl4、BCl3、CCl4、CHCl3、CH2Cl2與CH3Cl構成的群組,並且該含溴氣體選自從HBr與Br2構成的群組。
  9. 如請求項1所述之方法,其中該膜堆疊更包含一吸收層,該吸收層設置在被形成於該多重材料層上的一帽蓋層上。
  10. 如請求項9所述之方法,其中該圖案化層是設置在該吸收層上的一圖案化光阻層。
  11. 如請求項1所述之方法,其中被供應在該氣體混合物中的該含氟氣體與該含氧氣體被控制在介於約1%與約20%之間的一流量比例。
  12. 如請求項1所述之方法,其中該多重材料層的一厚度介於約10Å與約500Å之間。
  13. 如請求項2所述之方法,其中被提供到該製程腔室內的該氣體混合物更包含一惰性氣體,該惰性氣體選自從Ar、He、Xe、Ne與Kr構成的群組。
  14. 如請求項1所述之方法,其中供應該RF功率更包含以下步驟:提供介於約100 W與約3000 W之間的一電漿源功率。
  15. 如請求項1所述之方法,其中供應該RF功率更包含以下步驟:提供介於約10 W與約300 W之間的一電漿偏功率。
  16. 如請求項1所述之方法,其中提供該氣體混合物更包含以下步驟:形成一共形含氧保護層於經蝕刻的該圖案化層與該膜堆疊的側壁上。
  17. 如請求項1所述之方法,其中該圖案化層是藉由供應包括至少一N2氣體與一H2氣體的一氣體混合物到該製程腔室內而被圖案化的一光阻層。
  18. 如請求項17所述之方法,其中供應該氣體混合物更包含以下步驟:藉由施加一源RF功率到一線圈而施加或不施加一偏RF功率到該光罩,以輸送功率到該製程腔室,而產生一電漿。
  19. 一種蝕刻設置在一光罩上的一多重材料層的方法,該方法包含以下步驟:傳送一膜堆疊到一蝕刻腔室內,該膜堆疊具有一多重材料層,該多重材料層具有至少一鉬層與一矽層設置在該多重材料層中,該至少一鉬層與一矽層經由一圖案化層而部分地被暴露出;提供一氣體混合物到一製程腔室內,該氣體混合物包括至少一含氟氣體與一含氧氣體;供應一RF功率,以從該氣體混合物形成一電漿;及在該電漿的存在下,透過該圖案化層蝕刻該多重材料層。
  20. 如請求項19所述之方法,其中該多重材料層包括約40重複對的鉬層與矽層。
  21. 如請求項19所述之方法,其中該氣體混合物更包含一 含氯氣體或一含溴氣體。
  22. 一種蝕刻設置在一光罩上的一多重材料層的方法,該方法包含以下步驟:傳送一膜堆疊到一蝕刻腔室內,該膜堆疊具有一多重材料層,該多重材料層具有至少一鉬層與一矽層設置在該多重材料層中,該至少一鉬層與一矽層經由一圖案化層而部分地被暴露出,其中該圖案化層包括設置在一吸收層上的一圖案化光阻層;提供一氣體混合物到一製程腔室內,該氣體混合物包括至少一含氟氣體、一含氧氣體與一含氯氣體;供應一RF功率,以從該氣體混合物形成一電漿;及在該電漿的存在下,透過該圖案化層蝕刻該多重材料層。
TW101137765A 2011-10-13 2012-10-12 蝕刻用於形成光罩之euv反射多重材料層之方法 TW201327669A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161546750P 2011-10-13 2011-10-13
US201261606319P 2012-03-02 2012-03-02

Publications (1)

Publication Number Publication Date
TW201327669A true TW201327669A (zh) 2013-07-01

Family

ID=48082312

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101137765A TW201327669A (zh) 2011-10-13 2012-10-12 蝕刻用於形成光罩之euv反射多重材料層之方法

Country Status (3)

Country Link
US (1) US20130092655A1 (zh)
TW (1) TW201327669A (zh)
WO (1) WO2013055586A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111180359A (zh) * 2018-11-13 2020-05-19 应用材料公司 集成半导体处理
US10802393B2 (en) 2017-10-16 2020-10-13 Globalfoundries Inc. Extreme ultraviolet (EUV) lithography mask
TWI736101B (zh) * 2019-01-14 2021-08-11 德商先進遮罩科技中心有限兩合公司 具有反射式光罩的光罩組件及反射式光罩的製造方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6441193B2 (ja) * 2015-09-14 2018-12-19 東芝メモリ株式会社 反射型マスクの製造方法
TWI713716B (zh) * 2017-03-28 2020-12-21 聯華電子股份有限公司 極紫外線光罩及其製造方法
US10996553B2 (en) 2017-11-14 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced wafer neighboring effect and method of manufacturing the same
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
JP7190988B2 (ja) * 2019-08-21 2022-12-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3047541B2 (ja) * 1991-08-22 2000-05-29 株式会社日立製作所 反射型マスクおよび欠陥修正方法
US6583068B2 (en) * 2001-03-30 2003-06-24 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US6913706B2 (en) * 2002-12-28 2005-07-05 Intel Corporation Double-metal EUV mask absorber
JP2007502023A (ja) * 2003-05-19 2007-02-01 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 電子デバイスの製造方法
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10802393B2 (en) 2017-10-16 2020-10-13 Globalfoundries Inc. Extreme ultraviolet (EUV) lithography mask
TWI736737B (zh) * 2017-10-16 2021-08-21 美商格芯(美國)集成電路科技有限公司 極紫外光(euv)微影光罩
CN111180359A (zh) * 2018-11-13 2020-05-19 应用材料公司 集成半导体处理
TWI736101B (zh) * 2019-01-14 2021-08-11 德商先進遮罩科技中心有限兩合公司 具有反射式光罩的光罩組件及反射式光罩的製造方法

Also Published As

Publication number Publication date
WO2013055586A1 (en) 2013-04-18
US20130092655A1 (en) 2013-04-18

Similar Documents

Publication Publication Date Title
EP1901120B1 (en) Method of etching extreme ultraviolet light (EUV) photomasks
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
TW201327669A (zh) 蝕刻用於形成光罩之euv反射多重材料層之方法
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US7771894B2 (en) Photomask having self-masking layer and methods of etching same
JP2007096295A (ja) フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法
JP2006215552A5 (zh)
US20060154151A1 (en) Method for quartz photomask plasma etching
US9250514B2 (en) Apparatus and methods for fabricating a photomask substrate for EUV applications
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control