TW201234453A - Insulating member and substrate processing device containing insulating member - Google Patents

Insulating member and substrate processing device containing insulating member Download PDF

Info

Publication number
TW201234453A
TW201234453A TW100141957A TW100141957A TW201234453A TW 201234453 A TW201234453 A TW 201234453A TW 100141957 A TW100141957 A TW 100141957A TW 100141957 A TW100141957 A TW 100141957A TW 201234453 A TW201234453 A TW 201234453A
Authority
TW
Taiwan
Prior art keywords
component
long
insulating
long side
substrate
Prior art date
Application number
TW100141957A
Other languages
Chinese (zh)
Inventor
Yoshihiko Sasaki
Seiji Tanaka
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201234453A publication Critical patent/TW201234453A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel

Abstract

This invention concerns an insulating member and a substrate processing device containing an insulating member, wherein the insulating member prevents sealed members from degrading due to plasma. The insulating member in the chamber of the substrate processing device, which insulates the base and the bottom surface of the chamber, and contains an interior member, an exterior member, and a circular ring between the internal member and the external member, wherein the external member is composed of an assembly of bar-shaped objects corresponding to every side of the rectangular base, and the end face of one side of each bar-shaped object is adjacent to the side of the lateral face of one side of another bar-shaped object. The side of the other end is adjacent to the end face of one side of another bar-shaped object for realizing assembly. One end of every bar-shaped object is positioned at the bottom surface of the chamber through a positioning tapped hole while the other end is flexibly supported by a supporting tapped hole and thereby arranged.

Description

201234453 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種使得基板處理裝置的處理室内 所設置,電極與處理室的内壁面電性絕緣t絕緣組件 及具備該絕緣組件之基板處理裝置。 【先前技術】 以液晶顯不裝置(LCD)為首之FPD(Flat Panel D_y)的製造步财,已知有—種對以玻璃基板為首 之各種基板施予電漿處理之基板處理裝置。 這類基板處理裝置中係具有能夠在内部為真空區 域之處理室(以下稱為「腔室」)内支縣板之基板載置 台(晶座,SUSCeptor),以及與該晶座隔著處理空間而呈 對向配置之上部電極,來對具有下部電極功能之晶座施 加電漿產生用兩頻電功率(RF),且將處理氣體導入至腔 室内的處理空間以產生H並使麟生粒電漿來對 晶座所載置之基板施予特定電漿處理。 晶座係與處理對象(基板)同樣地呈矩形,而為了確 保RF之絕緣’使得晶座與腔室内壁面(例如底部壁面) 電性絕緣之絕緣組件係由矩形環狀體所構成。絕緣組件 通常主要係由内側組件、外側組件、以及配置於該内側 組件與外齡件之間之密封組件所構成。内側組件及外 側组件係由例如以聚四I乙稀(商品名:魏龍(註冊商 標))為首之絕緣性材料所構成,組件所圍繞之區 201234453 域則會成為職於大氣之域區域。因此,内側組件與 外側組件之間所配置之密封組件便會區劃出腔室内的 真空區域與内部組件所圍繞之大氣區域。 構成絕緣組件之内部組件及外部組件係一種矩形 環狀體’但由於近年來伴隨著產業界的要求等而隨著處 理基板的大型化亦大型化,故要一體成型便相當困難, 又’從對簡化形狀、降低成本、組件膨脹導致的尺寸變 化私取對策之觀點來看’通常係形成為將複數個構成組 件加以組合之組合體。 圖7係顯示習知技術之絕緣組件結構之圖式,圖 7(A)為絕緣組件整體的俯視圖,圖7(B)為絕緣組件的角 部部分放大俯視圖,圖7(c)為絕緣組件的角部部分放大 側面圖。 圖7中’絕緣組件70主要係由矩形内側組件71及 外側組件72,以及挾置在該内側組件71及外側組件72 之間所配置而作為密封組件之矩形〇形環73所構成。 内側組件71及外侧組件72係由分割成複數個之構成組 件所構成,各構成組件係藉由螺絲(省略圖示)而固定在 腔室的底部壁面。此外,配置在矩形角部之構成組件係 分別呈L字形。 絕緣組件70會因來自對應於處理目的而被加熱之 下部電極的熱傳導,而受到加熱並發生熱膨脹。因此, §熱恥脹時,便會在鄰接之構成組件相互的抵接面處產 生相互推擠對方之力,而有變形之虞。 4 201234453 於是,便在各構成組件相互之間設置了能夠預先吸 收作為熱膨脹位移的熱膨脹部分之間隙74。此外,為 了防止電t之例如縱向方向的貫穿’便在各構成組件相 互的抵接部設置了段差部75,間隙74會因段差部乃 而被分割成2道。 但由於間隙74係為了吸收各種處理條件下之各構 成組件的熱膨脹而被設計成足夠的大小,故在基板處理 時,縱使構成組件發生熱膨脹而間隙74仍會無法完全 地關閉’大部分的情況下,便會在_ 74殘留有微小 間隙。 在電漿處理時,若各構成組件相互間存在有間隙 74,則電漿便會從該_ 74進人朗達相組件72内 側所配置之Ο形環73 ’而有受到電毁照射之〇形環73 在短時間内劣化之問題產生。 、、於是’為了解決伴隨著上述絕緣組件、或以遮蔽環 為首之腔室内零件的熱膨脹所產生之問題,便提出有一 種技術方S ’其係安裝了使得構成腔㈣零件之各構成 組件相互吸引罪近般地蓄勢彈力之賦能組件,或作為該 構成組件的組裝體而使得各構成組件朝向腔室内零件 的中心部蓄勢彈力之賦能組件,藉以防止各構成組件相 互之間產生間隙(參照例如專利文獻:日本特開 2008-311298 號公報)。 然而,安裝能夠針對用以形成腔室内零件之各構成 組件賦予朝向特定方向作動的作用力之賦能組件一事 201234453 並非容易。另一方面,若不設置能夠吸收熱膨脹之間精 的話’則會因熱膨脹而導致構成組件變形或破損,又” 若放置了可充分吸收熱膨脹之間隙的話,則電聚會從談 間隙進入,而有促進例如其内部所配置之其他構成零^ 的劣化之問題。 【發明内容】 本發明之課題在於提供一種可防止密封組件的劣 化之絕緣組件及具備該絕緣組件之基板處理裝置。 為解決上述課題,申請專利範圍第1項之絕緣組件 係在對矩形基板施予電漿處理之基板處理裝置的處理 室内,使载置有該基板之矩形載置台與該處理室的内壁 面電性絕緣,其特徵為具有内側組件、外側組件、以及 配置於該内側組件及外側組件之間而區劃出該處理室 内的真空區域與該内側組件所圍繞的大氣區域之環狀 祖封組件,其中該外側組件係由對應於該矩形載置台的 各邊所配置之絕緣性長邊狀物的組合體所構成,而以各 長邊狀物之長度方向一端的端面係抵接於鄰接之其他 長邊狀物之長度方向一端的側面,另一端的側面則抵接 於與該鄰接之其他長邊狀物相異之鄰接之另一長邊狀 物之長度方向一端的端面之方式來分別加以組合;該各 長邊狀物之長度方向的一端係透過固定用螺孔而固定 在該處理室内壁面,另一端則透過至少1個支撐用螺孔 而可自由位移地受到支撐所加以配列。 201234453 申請專利範圍第2項之絕緣組件係如申請專利範 圍第1項之絕緣組件,其中該各長邊狀物係配列成以上 述被固定之一端為起點,而可沿該長邊狀物的長度方向 熱膨脹或熱收縮。 申請專利範圍第3項之絕緣組件係如申請專利範 圍第1或2項之絕緣組件,其中該固定用螺孔係在垂直 於該固定用螺孔之剖面中為正圓形,該支撐用螺孔係在 垂直於該支撐用螺孔之剖面中而於該長邊狀物的長度 方向為長橢圓形或兩端為半圓之矩形。 申請專利範圍第4項之絕緣組件係如申請專利範 圍第3項之絕緣組件,其中係使安裝在該固定用螺孔之 固定螺絲的鎖固扭矩大於安裝在該支撐用螺孔之支撐 螺絲的鎖固扭矩。 申請專利範圍第5項之絕緣組件係如申請專利範 圍第1至4項中任一項之絕緣組件,其中該外側組件係 在與該環狀密封組件的長度方向呈直交之剖面中而僅 存在於該環狀密封組件的外側面側。 申請專利範圍第6項之絕緣組件係如申請專利範 圍第1至5項中任一項之絕緣組件,其中該外側組件中 之該長邊狀物相互之抵接部的内側面係呈現曲面,且該 長邊狀物一端的側面係設置有形成該曲面之突出部。 申請專利範圍第7項之絕緣組件係如申請專利範 圍第1至5項中任一項之絕緣組件,其中該外側組件中 之該長邊狀物相互之抵接部的内側面係實質上形成有 201234453 直角的角部’且該長邊狀物係具有不具突起部之矩形的 外形。 申請專利範圍第8項之絕緣組件係如申請專利範 圍第1至7項中任一項之絕緣組件’其中該各長邊狀物 之長度方向一端的端面與該鄰接之其他長邊狀物之長 度方向一端的側面之抵接部係形成有段差構造的組合 部。 申請專利範圍第9項之絕緣組件係如申請專利範 圍第8項之絕緣組件,其中該段差構造的至少一部分係 由遊嵌於凹部之絕緣材料構成的插入組件所構成,其中 該凹部係形成於該各長邊狀物一端的端面與該鄰接之 其他長邊狀物之該一端的侧面之抵接部。 申請專利範圍第10項之絕緣組件係如申請專利範 圍第9項之絕緣組件,其中該凹部與該插入組件之間係 設置有能夠吸收沿該長邊狀物長度方向之熱膨脹或熱 收縮導致的位移之間隙。 申請專利範圍第11項之絕緣組件係如申請專利範 圍第10項之絕緣組件,其中該凹部處之該插入組件的 插入口係藉由側遮蔽組件來加以密封。 申請專利範圍第12項之絕緣組件係如申請專利範 圍^ 1至11項巾任—項之絕緣組件,其中該環狀密封 組件的1分係嵌合於該處理室内壁面所設置之凹部。 t專職圍第13項之絕緣組件係如申請專利範 至12項中任一項之絕緣組件,其中該内側組件 8 201234453 係由組合了複數構成組件之組合體所構成,且於各構成 組件相互之間設置有能夠吸收熱膨脹之間隙。 為解決上述绿題,申請專利範圍第14項之基板處 理裝置係具備如申請專利範圍第丨至13項中任一項之 絕緣組件。 ' ' 由於本發明之絕緣組件係以長邊狀物的組合體來 構成具有内側組件、外側組件、以及配置於内側組件盘 外側組件之間的環狀密封組件之絕緣組件中的外部組 件’而以各長邊狀物之長度方向—端的端面係抵接於鄰 接之其他長邊狀物之長度方向—端賴面,另—端的側 面則抵接於與鄰接之其他長邊狀物相異之鄰接之另一 ^狀物之長度方向-端的端面之方式來分別加以也 a,並固定各長邊狀物的1謂自由位移地支撐另一 =因此可在外触件的構餘件相互料會形成有間 隙情況下吸收熱膨脹,而阻止電漿進人至外部組件内側 2置之讀組件,藉此’可防止因受到電漿照射 致也'封組件的劣化。 τ 【實施方式】 以下,參照圖式來詳細朗本發明實施形態。 板;顯不具有本發明實施形態之絕緣組件之基 以二二y的概略結構之剖面圖。該基板處理裝置係用 二:液晶顯示裝置(LCD)製造用玻璃基板施予電聚 201234453 圖1中,基板處理裝置ίο係具有能夠收納例如1 邊,數m的矩形玻璃基板G(以下簡稱為「基板」)之處 理室(腔室)11,該腔室U内部的圖中下方係配置有用以 載置基板G之載置台(晶座)12。a曰曰座12係由例如表面 經耐酸鋁處理後的鋁或不鏽鋼等構成的基材13所構 成,基材13係透過絕緣組件14而支撐在腔室u的内 壁面(底部平面)。絕緣組件14會使晶座12與腔室u 的底部平面電性絕緣。基材13的剖面係呈現凸形,其 上部平面則成為能夠載置基板(}之基板載置面13a。 圍繞基板載置面13a周圍般地設置有遮蔽環15。基 材13上部係内建有靜電電極板16,而具有靜電夾具的 功月b。靜電電極板16係連接有直流電源17,當對靜電 電極板16施加正的直流電壓時,基板載置面Ua所載 置之基板G之靜電電極板16側的面(以下稱為「内面」) 會感應有負電荷,藉此便會在靜電電極板16與基板G 内面之間產生電場’而藉由該電場所引發的庫倫力或強 生-拉貝克(John.Rahbek)力,來將基板G吸附保持 於基板載置面13a。 基材13内部係設置有能夠調節基材13及基板載置 面13a所載置之基板G的溫度之溫度調節機構(省略圖 示)該/jnL度調節機構係循環供應有例如冷卻水或 GALDEN(註冊商標)等冷媒,藉由該冷媒而被冷卻之基 材13會將基板G冷卻。 基材13周圍係配置有覆蓋包含遮蔽環15與基材 201234453 13的抵接部之側面而作為側遮蔽組件之絕緣環18。絕 緣環18係由絕緣性陶瓷(例如氧化鋁)所構成。 腔室11的底部平面、絕緣組件14所圍繞之空間部 以及供基材13貫穿之貫穿孔係可昇降地插通有昇降銷 21。昇降銷21會在基板載置面i3a所載置之基板G的 搬入及搬出時作動,將基板G搬入至腔室11内時或自 腔室11搬出時,會上昇至晶座12上方的搬送位置處, 而其以外的時候’則會以埋設於基板载置面13a内狀態 下被加以收納。 基板載置面13a係開設有複數個傳熱氣體供應孔 (省略圖示)。複數傳熱氣體供應孔係連接至傳熱氣體供 應部,而從傳熱氣體供應部將作為傳熱氣體之例如氦 (He)氣供應至基板載置面13a及基板G内面的間隙。被 供應至基板載置面13a及基板G内面的間隙之氛氣會在 基板G與晶座12之間有效地進行熱傳導,例如,可將 基板G的熱量轉移至晶座12來有效地進行基板〇的冷 卻。 晶座12的基材13係透過匹配器24而連接有用以 供應高頻電功率之高頻電源23。從高頻電源23會施加 例如13·56ΜΗζ的高頻電功率(RF) ’則晶座12便具有下 部電極的功能。匹配器24係降低來自晶座12之高頻電 功率的反射,來使施加在晶座12之高頻電功率的效率 為最大。 基板處理裝置1 〇中係形成有由腔室11的内部側壁 201234453 與晶座12的側面所構成之側邊排氣路徑26。該側邊排 氣路徑26係透過排氣管27而連接至排氣裝置28。作 為排氣裝置 28 之 TMP(Turbo Molecular Pump)以及 DP(Dry Pump)或 MBP(Mechanical Booster Pump)(皆省 略圖示)會將腔室11内真空抽氣而減壓。具體來說,DP 或MBP係將腔室U内從大氣壓減壓至中真空狀態(例 如1.3><1(^(〇.1丁0打)以下),而丁]^則會與〇?或]^? 一起動作來將腔室11内減壓至較中真空狀態要低壓力 之南真空狀態(例如UxiO-SpaG OMo-STorr)以下)。此 外’腔室11内的壓力係藉由Ape閥(省略圖示)而被控 制。 产腔室11的頂板部分係配置有與晶座12呈對向之淋 ,頭3〇。淋氣頭30係具有内部空間31,且具有將處理 氣體噴出至與晶座Π之間的處理空間S之複數個氣體 孔32淋氣頭3〇為接地狀態,而連同具有下部電極功 此之晶座12 一起構成一對平行平板電極。 淋氣頭30係透過氣體供應管36而連接至處理氣體 供應源39。氣體供應管36係設置有開閉閥37及流量 控制器38。又,處理腔室u的側壁係設置有基板搬出 入口 34,該基板搬出入口 34可藉由閘閥35而開閉。 然後’透過該閘閥35來將處理對象(基板G)搬入至腔室 11或自腔室11搬出。 ^基板處理裝置10係從處理氣體供應源39透過處理 虱體導入管36而供應有處理氣體。所供應之處理氣體 12 201234453 會經由淋氣頭30的内部空間31及氣體孔32而被導入 至腔室11的處理空間S。所導人之處理氣體會因從高 頻電源23透過晶座12而施加在處理空間s之電漿產生 用高頻電功率(RF)而被激發成為電漿。電漿巾的離子會201234453 VI. Description of the Invention: [Technical Field] The present invention relates to a substrate insulating device which is provided in a processing chamber of a substrate processing apparatus, electrically insulated from an inner wall surface of an electrode and a processing chamber, and a substrate processing apparatus including the same . [Prior Art] A substrate processing apparatus for applying a plasma treatment to various substrates including a glass substrate is known as a manufacturing method of an FPD (Flat Panel D_y) including a liquid crystal display device (LCD). In the substrate processing apparatus of this type, there is a substrate mounting table (SUSCeptor) that can be used in a processing chamber (hereinafter referred to as a "chamber") which is a vacuum region inside, and a processing space is interposed between the substrate and the crystal holder. The upper electrode is disposed oppositely to apply a dual-frequency electric power (RF) to the plasma holder having the function of the lower electrode, and the processing gas is introduced into the processing space in the chamber to generate H and make the granules The slurry is applied to a specific plasma treatment of the substrate placed on the crystal holder. The crystal holder is rectangular in the same manner as the object to be processed (substrate), and the insulating member that electrically insulates the RF from the inner wall surface (e.g., the bottom wall surface) of the chamber is formed of a rectangular annular body. The insulating component is generally composed mainly of an inner component, an outer component, and a sealing component disposed between the inner component and the outer member. The inner component and the outer component are composed of, for example, an insulating material such as polytetraethylene (trade name: Wei Long (registered trademark)), and the area surrounded by the component 201234453 domain becomes an area of the atmosphere. Therefore, the sealing assembly disposed between the inner component and the outer component will define the vacuum region within the chamber and the atmospheric region surrounded by the inner component. The internal component and the external component constituting the insulating component are a rectangular annular body. However, in recent years, as the size of the processing substrate increases as the size of the processing substrate increases, it is difficult to integrally form the package. From the viewpoint of simplifying the shape, reducing the cost, and the dimensional change caused by the expansion of the component, it is generally formed as a combination of a plurality of constituent components. 7 is a view showing a structure of an insulating component of the prior art, FIG. 7(A) is a plan view of the entire insulating component, FIG. 7(B) is an enlarged plan view of a corner portion of the insulating component, and FIG. 7(c) is an insulating component. The corner portion is enlarged to the side view. The insulating member 70 of Fig. 7 is mainly composed of a rectangular inner side member 71 and an outer side unit 72, and a rectangular beak ring 73 disposed between the inner side unit 71 and the outer side unit 72 as a sealing member. The inner unit 71 and the outer unit 72 are composed of a plurality of components, and each of the components is fixed to the bottom wall surface of the chamber by a screw (not shown). Further, the constituent members arranged at the rectangular corner portions are each formed in an L shape. The insulating member 70 is heated and thermally expanded by heat conduction from the lower electrode heated corresponding to the processing purpose. Therefore, when the heat is swelled, the force of pushing each other at the abutting faces of the adjacent constituent members is generated, and there is a deformation. 4 201234453 Thus, a gap 74 capable of previously absorbing a thermal expansion portion as a thermal expansion displacement is provided between the respective constituent members. Further, in order to prevent the penetration of the electric t, for example, in the longitudinal direction, the step portion 75 is provided at the abutting portions of the respective constituent members, and the gap 74 is divided into two by the step portion. However, since the gap 74 is designed to be sufficiently large in order to absorb the thermal expansion of the respective constituent members under various processing conditions, even when the substrate is thermally expanded, the gap 74 cannot be completely closed. Underneath, there will be a small gap in the _ 74 residue. In the plasma processing, if there is a gap 74 between the constituent components, the plasma will be irradiated with electric smash from the Ο-shaped ring 73' disposed inside the Ronda phase component 72. The problem that the ring 73 deteriorates in a short time arises. Then, in order to solve the problem caused by the thermal expansion of the above-mentioned insulating component or the intracavity component headed by the shielding ring, it is proposed that a technique S' is installed such that the constituent components constituting the cavity (four) are mutually An energizing component that attracts the near-charged elastic force, or an assembly that constitutes an assembly of the constituent components such that the constituent components are oriented toward the center of the chamber component, thereby preventing the components from being generated from each other. The gap (see, for example, Japanese Patent Laid-Open Publication No. 2008-311298). However, it is not easy to install an energizing component that can impart a force acting in a specific direction for each constituent component that forms a component in the chamber. On the other hand, if it is not provided to absorb the fine between the thermal expansions, then the components will be deformed or broken due to thermal expansion, and if the gap that can fully absorb the thermal expansion is placed, the electric gathering enters from the gap, and there is It is an object of the present invention to provide an insulating component capable of preventing deterioration of a sealing component and a substrate processing apparatus including the same. The insulating component of the first aspect of the patent application is that the rectangular mounting table on which the substrate is placed is electrically insulated from the inner wall surface of the processing chamber in a processing chamber of a substrate processing apparatus that applies a plasma treatment to a rectangular substrate. Characterized by an inner component, an outer component, and an annular ancestor assembly disposed between the inner component and the outer component to define a vacuum region within the processing chamber and an atmospheric region surrounded by the inner component, wherein the outer component is Constructed by a combination of insulating long sides arranged on each side of the rectangular mounting table And the end surface at one end in the longitudinal direction of each long-side object abuts against the side surface of one end of the adjacent long-side object in the longitudinal direction, and the other end side abuts against the other long-side object adjacent thereto The end faces of the other long side edges adjacent to each other in the longitudinal direction are respectively combined; one end of each of the long sides is longitudinally fixed to the wall of the processing chamber through the fixing screw hole, and the other end is The insulating component of claim 2 is permeable to at least one of the supporting screw holes. The insulating component of claim 2 is the insulating component of claim 1, wherein the long side is Arranging as one of the above-mentioned fixed ends, and thermally expanding or thermally shrinking along the length of the long-side object. The insulating component of claim 3 is an insulating component of claim 1 or 2, Wherein the fixing screw hole is a perfect circle in a cross section perpendicular to the fixing screw hole, and the supporting screw hole is in a cross section perpendicular to the supporting screw hole in the long side The longitudinal direction is a long elliptical shape or a rectangle having a semicircle at both ends. The insulating component of claim 4 is an insulating component of claim 3, wherein the lock is fixed to the fixing screw of the fixing screw hole. The insulating component is the insulating component of the supporting screw of the supporting screw hole. The insulating component of claim 5 is the insulating component of any one of claims 1 to 4, wherein the outer component is In the cross section orthogonal to the longitudinal direction of the annular seal assembly, and only on the outer side of the annular seal assembly. The insulation component of claim 6 is as claimed in claims 1 to 5. An insulating component, wherein an inner side surface of the abutting portion of the long side of the outer side member presents a curved surface, and a side surface of one end of the long side edge is provided with a protruding portion forming the curved surface. The insulating component of any one of claims 1 to 5, wherein the inner side of the abutting portion of the long side in the outer component is substantially A corner portion of a right angle of 201234453 is formed thereon and the long side has a rectangular shape without a projection. The insulating component of any one of claims 1 to 7 wherein the end face of one end of the long side of the long side is adjacent to the other long side of the adjacent one. The abutting portion of the side surface at one end in the longitudinal direction is formed with a combined portion of a stepped structure. The insulating component of claim 9 is the insulating component of claim 8, wherein at least a portion of the stepped structure is formed by an inserting component formed of an insulating material embedded in the recess, wherein the recess is formed in An abutting portion of an end surface of one end of each of the long sides and a side surface of the one end of the other long side. The insulating component of claim 10 is the insulating component of claim 9, wherein the recess and the inserting component are disposed to absorb thermal expansion or thermal contraction along the length of the long edge. The gap between the displacements. The insulating component of claim 11 is the insulating component of claim 10, wherein the insertion opening of the insert component at the recess is sealed by a side shield assembly. The insulating component of claim 12 is the insulating component of the invention of claim 1 to 11, wherein the one-part of the annular sealing component is fitted into the recess provided in the wall of the processing chamber. The insulating component of the ninth aspect of the invention is the insulating component of any one of the patents to claim 12, wherein the inner component 8 201234453 is composed of a combination of a plurality of constituent components, and each component is mutually A gap capable of absorbing thermal expansion is provided between them. In order to solve the above-mentioned green problem, the substrate processing apparatus of claim 14 is provided with the insulating member of any one of claims 1-3 to 13. The insulating component of the present invention is constructed as a combination of long-edge members to form an outer component in an insulating component having an inner component, an outer component, and an annular sealing component disposed between the outer components of the inner component disk. In the longitudinal direction of each long-side object, the end surface of the end abuts against the length direction of the other long-side objects adjacent to each other, and the side surface of the other end is abutted to be different from the other long-side objects adjacent thereto. Adjacent to the end face of the other end of the length-end end, the a-side is also fixed, and the one of the long-sided objects is fixed to support the other one. Therefore, the remaining parts of the outer contact member can be mutually agreed. A reading assembly that absorbs thermal expansion while forming a gap and prevents the plasma from entering the inside of the external component can prevent the deterioration of the package by the plasma. τ [Embodiment] Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. A panel; a cross-sectional view showing a schematic structure of an insulating component according to an embodiment of the present invention. In the substrate processing apparatus, a liquid crystal display device (LCD) manufacturing glass substrate is used for electropolymerization 201234453. In Fig. 1, the substrate processing apparatus ί has a rectangular glass substrate G capable of accommodating, for example, one side and several m (hereinafter referred to as In the processing chamber (chamber) 11 of the "substrate", a mounting table (crystal holder) 12 for mounting the substrate G is disposed in the lower portion of the inside of the chamber U. The crucible 12 is composed of, for example, a base material 13 made of aluminum or stainless steel whose surface is treated with an alumite treatment, and the base material 13 is supported by the inner wall surface (bottom plane) of the chamber u through the insulating member 14. The insulating assembly 14 electrically insulates the base 12 from the bottom plane of the chamber u. The base material 13 has a convex shape in cross section, and the upper surface thereof serves as a substrate mounting surface 13a on which the substrate can be placed. The shielding ring 15 is provided around the substrate mounting surface 13a. The upper portion of the substrate 13 is built in. The electrostatic electrode plate 16 has an electrostatic chuck b. The electrostatic electrode plate 16 is connected to the DC power source 17. When a positive DC voltage is applied to the electrostatic electrode plate 16, the substrate G placed on the substrate mounting surface Ua is placed. The surface on the side of the electrostatic electrode plate 16 (hereinafter referred to as "inner surface") induces a negative charge, whereby an electric field is generated between the electrostatic electrode plate 16 and the inner surface of the substrate G, and the Coulomb force is induced by the electric field. Or Johnson-Labebek force to hold and hold the substrate G on the substrate mounting surface 13a. The substrate 13 is provided with a substrate G capable of adjusting the substrate 13 and the substrate mounting surface 13a. Temperature temperature adjustment mechanism (not shown) The /jnL degree adjustment mechanism circulates, for example, cooling water or a refrigerant such as GALDEN (registered trademark), and the substrate 13 cooled by the refrigerant cools the substrate G. The material around the material 13 is covered with a cover The side of the abutment portion of the shield ring 15 and the substrate 201234453 13 serves as the insulating ring 18 of the side shield assembly. The insulating ring 18 is composed of an insulating ceramic (for example, alumina). The bottom plane of the chamber 11 and the insulating member 14 The lifted pin 21 is inserted into the space portion and the through hole through which the substrate 13 is inserted, and the lift pin 21 is actuated when the substrate G placed on the substrate mounting surface i3a is loaded and unloaded, and the substrate is moved. When G is carried into the chamber 11 or when it is carried out from the chamber 11, it rises to the transport position above the crystal holder 12, and when it is outside, it is stored in the state of being embedded in the substrate mounting surface 13a. A plurality of heat transfer gas supply holes (not shown) are formed in the substrate mounting surface 13a. The plurality of heat transfer gas supply holes are connected to the heat transfer gas supply portion, and the heat transfer gas supply portion is used as the heat transfer gas. For example, helium (He) gas is supplied to the gap between the substrate mounting surface 13a and the inner surface of the substrate G. The atmosphere supplied to the gap between the substrate mounting surface 13a and the inner surface of the substrate G is efficiently performed between the substrate G and the wafer holder 12. Heat conduction, for example, heat of the substrate G The substrate 12 is transferred to the crystal holder 12 to effectively cool the substrate. The substrate 13 of the crystal holder 12 is connected to the high frequency power source 23 for supplying high frequency electric power through the matching unit 24. From the high frequency power source 23, for example, 13· The 56 ΜΗζ high frequency electric power (RF) 'the crystal holder 12 has the function of the lower electrode. The matching unit 24 reduces the reflection of the high frequency electric power from the base 12 to maximize the efficiency of the high frequency electric power applied to the base 12. The substrate processing apparatus 1 is formed with a side exhaust path 26 formed by the inner side wall 201234453 of the chamber 11 and the side surface of the crystal seat 12. The side exhaust path 26 is connected to the exhaust pipe 27 to be connected to Exhaust device 28. The TMP (Turbo Molecular Pump) and the DP (Dry Pump) or MBP (Mechanical Booster Pump) (both shown in the drawings) as the exhaust device 28 evacuate the inside of the chamber 11 to reduce the pressure. Specifically, the DP or MBP decompresses the inside of the chamber U from atmospheric pressure to a medium vacuum state (for example, 1.3><1(^(〇.1丁0打))), and ? or ^^? Act together to decompress the chamber 11 to a lower vacuum state (eg, UxiO-SpaG OMo-STorr) below the medium vacuum state. Further, the pressure in the chamber 11 is controlled by an Ape valve (not shown). The top plate portion of the production chamber 11 is disposed opposite to the crystal seat 12, and the head is 3 〇. The air shower head 30 has an internal space 31 and has a plurality of gas holes 32 for discharging the processing gas to the processing space S between the crystal holders, and the air shower head 3 is grounded, and together with the lower electrode The crystal holders 12 together form a pair of parallel plate electrodes. The gas discharge head 30 is connected to the process gas supply source 39 through the gas supply pipe 36. The gas supply pipe 36 is provided with an opening and closing valve 37 and a flow rate controller 38. Further, the side wall of the processing chamber u is provided with a substrate carry-out port 34 which can be opened and closed by the gate valve 35. Then, the object to be processed (substrate G) is carried into the chamber 11 or carried out from the chamber 11 through the gate valve 35. The substrate processing apparatus 10 is supplied with a processing gas from the processing gas supply source 39 through the processing cartridge introduction tube 36. The supplied process gas 12 201234453 is introduced into the processing space S of the chamber 11 via the internal space 31 of the shower head 30 and the gas hole 32. The process gas of the conductor is excited by the high frequency electric power (RF) generated by the plasma applied to the processing space s from the high frequency power source 23 through the crystal holder 12. Plasma ion will

朝向基板G被吸引,來對基板G施予特定的電漿蝕^ 處理。 X 基板處理裝置10之各構成零件的動作係藉由美 處理裝置10所具備之控制部(省略圖示)的對 應於電漿蝕刻處理之程式來加以控制。 σ 圖2係顯示本發明實施形態之絕緣組件的結構之 俯視圖。 圖2中,絕緣組件14主要係由内側組件41、外側 組件42、以及挾置在該内側組件41及外側組件42之 間所配置之環狀密封組件(以下稱為「〇形環」)43所構 成。 外部組件4 2係呈現對應於矩形晶座丨2各邊所配置 之4個長邊狀物所構成的矩形,而由形成相對向的2個 短邊之長邊狀物44、45與形成相對向的2個長邊之長 邊狀物46、47的組合體所構成。而配置為長邊狀物44 之固定端44a的端面係抵接於鄰接之其他長邊狀物46 之長度方向端部(自由端)46b的側面,另一端(移動端 44b的側面)則抵接於與鄰接之其他長邊狀物46相異之 鄰接之另一長邊狀物47的端部(固定端)47a的端面。長 邊狀物45及47係分別相對於内部組件41所圍繞之空 13 201234453 間部(大氣區域50)的中心點C而與長邊狀物44及46 為點對稱般地被加以組合。 長邊狀物44〜47係具有其長度方向的一端(固定端) 所設置之固定用螺孔48 ’以及於長邊狀物長度方向而 與該固定用螺孔48分離設置之支撐用螺孔49。固定端 44a〜47a係藉由分別安裝在固定用螺孔之固定螺絲 (省略圖示)而固定在腔室11的底部平面。另一方面,自 由端44b〜47b係藉由貫穿支樓用螺孔49之支樓螺絲(省 略圖示)’相對於腔室11的底部平面而可沿著長邊狀物 長度方向自由位移般地受到支撐。藉此,各長邊狀物 44〜47係以固定端44a〜47a為起點,而可沿著長邊狀物 的長度方向熱膨脹或熱收縮般地受到支樓。 固定用螺孔48係用以將長邊狀物的固定端 44a〜47a固定在腔室11的底部平面,其係形成為垂直於 螺孔之剖面中而餘隙較少之正圓形。另一方面,支樓用 螺孔49係以固疋端為起點而可自由位移地支樓對向於 固定端44a〜47a之另一端(自由端44b〜47b),其係形成 為垂直於螺孔之剖面中,於長邊狀物長度方向上為長橢 圓形或兩端為半圓之矩形。 支撑用螺孔49雖設置為至少1個,但亦可對應於 長邊狀物44〜47的長度而設置2個或2個以上。此時各 支撐用螺孔49較佳為例如等間隔地設置。支撐用螺孔 49的長徑係具有縱使各長邊狀物44〜47發生熱膨脹, 而安裝在支撐用螺孔49之支撐螺絲仍不會限制長邊狀 201234453 物的熱膨脹之程度的長度’長徑的長度例如在處理稱為 第8世代之FPD用玻璃基板時,較佳為16mm〜20mm, 但係對應於所處理之玻璃基板的尺寸來設定。 安裝在固定用螺孔48之固定螺絲的鎖固扭矩較佳 係大於安裝在支撐用螺孔49之支撐螺絲的鎖固扭矩。 藉此,便可確實地固定長邊狀物44〜47的固定端 44a〜47a,又,可和缓地支禮自由端44b〜47b以確保熱 膨脹時或熱收縮時之上述位移。 固定螺絲的鎖固扭矩為例如15〜2Okgf · cm(1.5〜2.0Ν·ιη)左右,支撐螺絲的鎖固扭矩係稍小於固 疋螺絲的鎖固扭矩’例如為10〜15kgf.cm(1.0~1.5isr.mj 左右。但是,若必須限制膨脹造成的延伸量之情況等, 則亦可在長邊狀物不會破損程度的範圍内來更強力地 鎖固以限制長邊狀物的位移。 長邊狀物44〜47相互之抵接部的内側面係呈現曲 面,各長邊狀物44〜47之一端的側面係設置有形成曲面 之突出部44c〜47c。藉此,便可藉由外側組件42盥後述 内巧組件41來確實地支撐〇形環43全周,且可藉由〇 形環43來確實地區劃出腔室u内部的真空區域與内部 組件41所圍繞之大氣區域5〇。 所此外,長邊狀物44〜47相互之抵接部的内側面可實 質上為直角的角部,此情況下,長邊狀物44〜47係使用 不具突起部之矩形的外形。 外側組件42係在直交於0形環43長度方向之剖面 15 201234453 中’而僅存在於0形環43的外側面(參照圖2及圖3)。 亦即’各長邊狀物44〜47係將Ο形環43挾置其中,而 不是收納在内,故不會使〇形環43受到拘束。藉此, 如後述的圖4所示,縱使構成外部組件之各長邊狀物 44〜47受到加熱而使得其自由端44b〜47b沿著其長度方 向發生位移’長邊狀物44〜47仍不會扭曲〇形環43, 從而可穩定地區劃出腔室内之真空區域與大氣區域 50。又’由於〇形環43不會扭曲,故可防止〇形環43 的損傷、摩耗、劣化等。 圖3係顯示將圖2之絕緣組件組裝在圖1之基板處 理裝置狀態下的主要部份剖面圖。 圖3中,與〇形環43長度方向呈直交之剖面形狀 (以下簡稱為「剖面形狀」)的高度係較外部組件42及内 部組件41的高度尺寸稍大。〇形環43剖面形狀中的上 下端係較分別較其以外的部分稍粗,且其一部分(下端) 係嵌合於腔室11的底部平面51所設置之凹部,藉此便 會穩定地受到支撐、固定。0形環43剖面形狀中的上 端係抵接於形成晶座12之基材13的下側面,藉以確實 地區劃出作為腔室11内的處理空間S之真空區域與内 部組件41所圍繞之大氣區域5〇,來維持真空區域的真 空度。 内部組件41係由分割為複數個之構成組件的組合 體所構成’而各構成組件相互間則形成有能夠吸收熱膨 脹導致的位移之_ 41a。從俯視方向觀看内部組件41 201234453 時,相當於内部組件41的矩形角部之構成組件係由具 有其外侧面能夠均等地支撐0形環4 3内側面的曲面之 L字形分割組件所構成。 使用組裝了上述方式構成的絕緣組件14之圖1的 基板處理裝置1〇來對基板G施予電漿姓刻處理時,絕 緣組件14會因來自對應於處理目的而被加熱之下部電 極的熱傳導,而受到加熱並發生熱膨脹。 圖4為絕緣組件的角部部分放大圖,圖4(a)及圖 4(C)係顯示熱膨脹前的狀態之圖式,圖4(B)及圖4(D) 係顯示熱膨脹後的狀態之圖式。 圖4(A)中,長邊狀物44的自由端44b係較鄰接之 長邊狀物47的固定端47a侧面要内縮特定寬度。另一 方面’顯示熱膨脹後狀態之圖4(B)中,長邊狀物44係 以固定端44a(省略圖示)為起點而延伸於其長度方向, 藉此自由端44b發生位移,而自由端44b的端面仍會與 鄰接之長邊狀物47的固定端47a側面位在同一面上。 依據本實施形態,由於係以構成外側組件42之各 長邊狀物44〜47的長度方向一端(固定端)的端面乃抵接 於鄰接之其他長邊狀物長度方向之自由端的側面,而另 一端(自由端)的側面則抵接於與鄰接之其他長邊狀物相 異之鄰接之另一長邊狀物長度方向的一端(固定端)的端 面之方式來分別無間隙地加以組合,且藉由安裝在固定 用螺孔48之固定螺絲來確實地固定各長邊狀物44〜47 的固定端44a〜47a,並藉由安裝在支撐用螺孔49之支撐 17 201234453 螺絲而可在熱膨脹或熱收縮方向位移般地支撐另一端 (自由端)44b〜47b,故自由端44b〜47b的移動方向上便 不會存在有其他長邊狀物,藉此,縱使長邊狀物44〜47 發生熱膨脹,而仍不在長邊狀物相互之間產生推擠對方 之力及間隙。因此,可阻止電漿進入至外側組件42内 部所配置之Ο形環43,從而防止因受到電漿照射而導 致Ο形環43劣化。 又,依據本實施形態,由於係以長邊狀物44〜47的 組合體來構成外側組件42,因此可將各長邊狀物44〜47 的形狀簡化成例如略短冊狀,藉此,可削減長邊狀物 44〜47及外側組件42甚至絕緣組件14的製作成本。 本實施形態中,較佳地係是先選擇長邊狀物44的 長度來讓長邊狀物44的自由端44b會較鄰接之長邊狀 物47的固定端47a外側面而要内縮相當於該長邊狀物 44之熱膨脹導致的延伸幅度之長度份量狀態。藉此, 縱使長邊狀物44因熱膨脹而延伸於其長度方向,其自 由端44b仍不會自鄰接之長邊狀物47的固定端4%外 側面突出,從而可避免衝撞其他腔室内的構成零件。 此外,亦可使長邊狀物44的自由端44b端部如圖 4(C)所示般地預先與鄰接之長邊狀物47的固定端 外側面在同一面上’而在熱膨脹時會如圖4(D)所示般^ 自固定端47a側面突出,且於鄰接之其他腔室内零件設 置寬度相當於長邊狀物44因熱膨脹的延伸寬度之凹 部,藉以避免該鄰接之其他腔室内零件與長邊狀物私 201234453 的衝撞。 本實施形態中,雖會因長邊狀物44的熱膨脹’而 如圖4(B)所示般地在該長邊狀物44與0形環43之間 產生間隙52,但由於已確保了長邊狀物44的自由端44b 侧面與長邊狀物47的固定端47a端面之抵接狀態,故 不會因電漿進入而發生0形環43劣化。 本實施形態中,構成外側組件41之長邊狀物44〜47 係由絕緣性材料,例如聚四氟乙烯(商品名:鐵氟龍(註 冊商標))等所構成。 本實施形態中,長邊狀物44〜47之固定用螺孔48 較佳係位在盡量接近該長邊狀物44〜47的固定端 44a〜47a端面之位置處,而自固定端的端部設置在例如 30〜40mm之位置處。若固定用螺孔48與固定端之端面 的間隔為數百mm以上,便會無法忽視該部分的熱膨 脹’而有在各長邊狀物的固定端與抵接於該固定端之其 他環構成零件的接合面發生扭曲之虞。 本實施形態中’ Ο形環43係由耐熱性的彈性組件, 例如氟化橡膠(viton)等所構成。 接下來說明本實施形態的變形例。 圖5係顯示本發明實施形態之絕緣組件變形例的 主要部份之圖式,圖5(A)係顯示第1變形例的主要部份 之圖式,圖5(B)係顯示第2變形例的主要部份之圖式。 圖5(A)及圖5(B)中,該絕緣組件i4a及14b係在長 邊狀物之長度方向一端的端面與鄰接於該長邊狀物之 19 201234453 其他長邊狀物之長度方向一端的側面之抵接部設置有 能夠阻止電漿進入之段差構造的組合部。 圖5(A)中,長邊狀物57之長度方向一端(固定端 57a)的端面與鄰接於該長邊狀物57之其他長邊狀物54 長度方向一端(自由端54b)的側面之抵接部係設置有能 夠阻止電漿進入之曲徑(labyrinth)狀段差構造。長邊狀 物54的自由端54b係被調整成較長邊狀物57的固定端 57a側面而要内縮相當於長邊狀物44之熱膨脹導致的 延伸幅度之長度(例如10〜20mm)狀態的長度。 此外,與前述本實施形態之情況同樣地,如圖5(B) 所示,亦可使長邊狀物54的自由端54b端面與長邊狀 物57的固定端57a側面為同一面,且於鄰接之其他腔 至内零件處設置有寬度相當於長邊狀物54因熱膨服的 延伸寬度之凹部,藉以避免該鄰接之其他腔室内零件與 長邊狀物54的衝撞。 依據本實施形態之第1變形例,由於長邊狀物57 之固定端57a的端面與鄰接於該長邊狀物57之其他長 邊狀物54之自由端54b的側面之抵接部係設置有段差 構造的組合部,因此可充分確保從配置於 组件14 上部之下部電極(省略圖示)到配置於絕緣組件14下部 且連接至地面之腔f U底科面(省略⑸)的經過路 徑長度,藉此,可魏下部電極與腔室u的底部平面 之間發生短路性放電’來抑制抵接部處之㈣產生,進 而可防止0形環43劣化及附近之腔室内科的磨耗。 20 201234453 又,依據本實施形態之第1變形例,由於各長邊狀 物的抵接部係設置有段差構造的組合部,因此可更有效 地阻止來自各長邊狀物的抵接部之電漿的進入,以更確 實地防止其内部所配置之0形環劣化。此外,本實施形 態的第1變形例中,外側組件42的外侧面係配設有側 遮蔽組件18(參照圖1),藉以阻止來自絕緣組件14侧面 之電漿的進入。 圖6係顯示本發明實施形態之絕緣組件變形例的 主要部份之圖式,圖6(A)係顯示第3變形例的主要部份 之圖式,圖6(B)係顯示第4變形例的主要部份之圖式。 圖6(A)及圖6(B)中,該絕緣組件14c及14d與圖5 之絕緣組件14a及14b的相異點為係以插入組件60(其 係遊嵌於形成於長邊狀物一端的端面與鄰接於該長邊 狀物之其他長邊狀物一端的側面之抵接部之凹部)來構 成長邊狀物之長度方向一端的端面與鄰接於該長邊狀 物之其他長邊狀物之長度方向一端的側面之抵接部所 設置之段差構造的一部分。 圖6(A)中’長邊狀物67之固定端67a的端面以及 長邊狀物64之自由端64b的側面係分別設置有圖中下 方被削取成四角柱狀之段差部。藉此,長邊狀物67之 固定端67a的端面與長邊狀物64之自由端64b的側面 之抵接部便會形成有段差部與段差部所形成之凹部。然 後,該凹部係遊嵌狀地插入有插入組件60,而形成段 差構造的一部分。 21 201234453 插入組件6〇與凹部之間係設置有能夠吸收因熱膨 服而L伸之長邊狀物64的位移之間隙μ。遊嵌狀地插 入有插入組件60之外侧組件42側面係配^有省略圖示 之侧遮蔽組件18(參照圖1),故不僅是垂直方向,而亦 可阻止電漿從水平方向進入。 本實施形態之第3變形例亦與第1及第2變形例同 樣地’可充分確保從配置於絕緣組件14上部之下部電 極(省略圖示)到配置於絕緣組件14下部真連接至地面 之腔室底部平面的經過路徑長度,藉此,町避免下部電 極與腔至的底部平面之間發生短路性放電,來抑制抵接 部處之電漿發生,進而可防止Ο形環43的劣化及附近 的腔室内零件的磨耗。 又’依據本實施形態的第3變形例,藉由以插入組 件60來構成段差構造的一部分,則長邊狀物相互之抵 接部的構造便會由形狀較單純的組件所構成。於是,各 組件的製作便較容易,且處理時發生破損之虞亦會變 少。 本實施形態之第3變形例中,插入組件60較佳地 並非是固定在長邊狀物64、67及腔室11的底部平面, 而是在遊嵌於該長邊狀物相互間所形成的凹部之狀態 下來載置於腔室11的底部平面。 此外,與前述本實施形態之情況同樣地,如圖6(B) 所示’亦可使長邊狀物64的自由端64b端面與長邊狀 物67的固定端67a側面為同一面,且於鄰接之其他腔 22 201234453 至内零件係設置寬度相當於長邊狀物64因熱膨脹的延 伸寬度之凹部,藉以避免該鄰接之其他腔室内零件與長 邊狀物64的衝撞。 以上’雖已利用實施形態來詳細說明本發明,但本 發明並未限定於該等實施形態。 上述各實施形態中’施有電漿處理之基板不僅是液 日曰顯示器(LCD)用玻璃基板’而亦可為以電激發光 (Electro Luminescence ; EL)顯示器、電漿顯示器面板 (PDP)等為首之FPD(Flat Panel Display)所使用的各種基 板。 又’上述實施形態中’雖已針對使用利用平行平板 電極來產生電漿之電容耦合型電漿產生方式的裝置加 以說明,但當然無需贅言縱使是利用感應耦合型電毁產 生方式等其他電漿產生方式的裝置’只要是具備基板的 载置台與遮蔽環或相當於其的組件之裝置,則可適用本 發明。 【圖式簡單說明】 圖1係顯示具有本發明貫施形態之絕緣組件之基 板處理裝置的概略結構之剖面圖。 圖2係顯示本發明實施形態之絕緣組件的結構之 俯視圖。 圖3係顯示將圖2之絕緣組件組褒在圖1之基板處 理裝置狀態下的主要部份剖面圖。 23 201234453 圖4為絕緣組件的角部部分放大圖,圖4(A)及圖 4(C)係顯示熱膨脹前的狀態之圖式,圖4(B)及圖4(D) 係顯示熱膨脹後的狀態之圖式。 圖5係顯示本發明實施形態之絕緣組件變形例的 主要部份之圖式,圖5(A)係顯示第1變形例的主要部份 之圖式,圖5(B)係顯示第2變形例的主要部份之圖式。 圖6係顯示本發明實施形態之絕緣組件變形例的 主要部份之圖式’圖6(A)係顯示第3變形例的主要部份 之圖式,圖6(B)係顯示第4變形例的主要部份之圖式。 圖7係顯示習知技術之絕緣組件結構之圖式,圖 取)為絕緣組件整體的俯視圖,圖7(B)為絕緣组件的角 部部分放大俯視圖,圖7(Q為絕緣組件的角部 側面圖。 【主要元件符號說明】 G 基板 S 處理空間 10 基板處理裴置 11 處理室(腔室) 12 載置台(晶座) 13 基材 13a 基板載置面 14 絕緣組件 14a、14b、 14c 、 14d 絕緣組件 24 201234453 15 遮蔽環 16 靜電電極板 17 直流電源 16 靜電電極板 18 絕緣環 21 昇降銷 23 南頻電源 24 匹配器 26 侧邊排氣路徑 27 排氣管 28 排氣裝置 30 淋氣頭 31 内部空間 32 氣體孔 34 基板搬出入口 35 閘閥 36 氣體供應管 37 開閉閥 38 流量控制器 39 處理氣體供應源 41 内側組件 41a 間隙 42 外側組件 43 0形環 25 201234453 44〜47、54、57、64、67 長邊狀物 44a〜47a、57a、67a 固定端 44b〜47b、54b、64b 自由端 44c〜47c 突出部 48 固定用螺孔 49 支撐用螺孔 50 大氣區域 51 底部平面 52 間隙 60 插入組件 68 間隙 70 絕緣組件 71 内側組件 72 外側組件 73 0形環 74 間隙 75 段差部 26The substrate G is attracted to the substrate G to be subjected to a specific plasma etching treatment. The operation of each component of the X substrate processing apparatus 10 is controlled by a program corresponding to the plasma etching process by a control unit (not shown) provided in the US processing apparatus 10. σ Fig. 2 is a plan view showing the structure of an insulating unit according to an embodiment of the present invention. In FIG. 2, the insulating component 14 is mainly composed of an inner component 41, an outer component 42, and an annular sealing component (hereinafter referred to as a "ring") disposed between the inner component 41 and the outer component 42. Composition. The outer component 42 presents a rectangle corresponding to the four long sides arranged on each side of the rectangular base 丨2, and the long sides 44, 45 forming the opposite two short sides are opposite to each other. It is composed of a combination of two long sides of the long sides 46 and 47. On the other hand, the end surface of the fixed end 44a of the long side member 44 is abutted against the side surface of the longitudinal end portion (free end) 46b of the other long side member 46, and the other end (the side surface of the moving end portion 44b) is abutted. It is connected to the end surface of the end portion (fixed end) 47a of the other long side member 47 which is adjacent to the other long side member 46 adjacent thereto. The long sides 45 and 47 are combined with the long sides 44 and 46 in point symmetry with respect to the center point C of the space 13 201234453 (atmosphere area 50) surrounded by the inner unit 41, respectively. The long side members 44 to 47 have a fixing screw hole 48' provided at one end (fixed end) in the longitudinal direction, and a supporting screw hole provided separately from the fixing screw hole 48 in the longitudinal direction of the long side. 49. The fixed ends 44a to 47a are fixed to the bottom plane of the chamber 11 by fixing screws (not shown) attached to the fixing screw holes, respectively. On the other hand, the free ends 44b to 47b are freely displaceable along the longitudinal direction of the long-side member by a branch screw (not shown) that penetrates the screw hole 49 of the branch with respect to the bottom plane of the chamber 11. The ground is supported. Thereby, each of the long side members 44 to 47 is started from the fixed ends 44a to 47a, and can be thermally expanded or contracted in the longitudinal direction of the long side by the branch. The fixing screw hole 48 is for fixing the fixed ends 44a to 47a of the long side to the bottom plane of the chamber 11, and is formed into a right circular shape which is perpendicular to the cross section of the screw hole and has a small clearance. On the other hand, the screw hole 49 of the branch is the other end (free end 44b to 47b) of the fixed ends 44a to 47a which are freely displaceable from the fixed end as a starting point, and is formed to be perpendicular to the screw hole. In the cross section, it is a long ellipse in the longitudinal direction of the long side or a semicircular rectangle at both ends. Although at least one of the support screw holes 49 is provided, two or more of the long side members 44 to 47 may be provided in length. At this time, the support screw holes 49 are preferably provided at equal intervals, for example. The long diameter of the support screw hole 49 has a length such that the long side edges 44 to 47 are thermally expanded, and the support screw attached to the support screw hole 49 does not limit the degree of thermal expansion of the long edge 201234453. The length of the diameter is preferably 16 mm to 20 mm, for example, in the case of processing the glass substrate for FPD of the eighth generation, but is set in accordance with the size of the glass substrate to be processed. The fixing torque of the fixing screw attached to the fixing screw hole 48 is preferably larger than the locking torque of the supporting screw mounted to the supporting screw hole 49. Thereby, the fixed ends 44a to 47a of the long side members 44 to 47 can be surely fixed, and the free ends 44b to 47b can be gently supported to ensure the above displacement at the time of thermal expansion or heat shrinkage. The locking torque of the fixing screw is, for example, about 15 to 2 Okgf · cm (1.5 to 2.0 Ν·ιη), and the locking torque of the supporting screw is slightly smaller than the locking torque of the fixing screw, for example, 10 to 15 kgf.cm (1.0~ However, if it is necessary to limit the amount of extension due to expansion, etc., it is also possible to more strongly lock the length of the long-side object to limit the displacement of the long-side object. The inner side surfaces of the abutting portions of the long side edges 44 to 47 are curved, and the side surfaces of one of the long side edges 44 to 47 are provided with protruding portions 44c to 47c which form curved surfaces. The outer assembly 42 hereinafter described the inner assembly 41 to positively support the entire circumference of the cymbal ring 43, and the vacuum region inside the chamber u and the atmospheric region surrounded by the inner assembly 41 can be surely distinguished by the cymbal ring 43. Further, the inner side faces of the abutting portions of the long-side members 44 to 47 may be substantially right-angled corner portions. In this case, the long-side members 44 to 47 are formed in a rectangular outer shape having no projections. The outer component 42 is in a section 15 201234453 that is orthogonal to the length of the O-ring 43 However, it exists only on the outer side surface of the O-ring 43 (refer to FIGS. 2 and 3). That is, the 'longitudinal members 44 to 47 are placed in the Ο-shaped ring 43 instead of being housed therein, so The ring 43 is restrained. Thereby, as shown in Fig. 4 to be described later, the long sides 44 to 47 constituting the outer component are heated such that the free ends 44b to 47b are displaced along the longitudinal direction thereof. The long ribs 44 to 47 still do not distort the 〇-shaped ring 43, so that the vacuum area and the atmospheric area 50 in the chamber can be stably stowed. Also, since the 〇-shaped ring 43 is not twisted, the 〇-shaped ring 43 can be prevented. Fig. 3 is a cross-sectional view showing the main part of the insulating member of Fig. 2 assembled in the state of the substrate processing apparatus of Fig. 1. In Fig. 3, a section perpendicular to the longitudinal direction of the ring 43 is formed. The height of the shape (hereinafter simply referred to as "cross-sectional shape") is slightly larger than the height of the outer component 42 and the inner component 41. The upper and lower ends of the cross-sectional shape of the ring-shaped ring 43 are slightly thicker than the portions other than the outer portion, and A part (lower end) is fitted to the bottom plane 51 of the chamber 11 The recessed portion is thereby stably supported and fixed. The upper end of the cross-sectional shape of the O-ring 43 abuts against the lower side surface of the base material 13 forming the crystal seat 12, whereby the area is accurately treated as the inside of the chamber 11. The vacuum region of the space S and the atmospheric region surrounded by the inner component 41 are used to maintain the vacuum degree of the vacuum region. The inner component 41 is composed of a combination of a plurality of constituent components, and the constituent components are mutually Formed with a displacement capable of absorbing thermal expansion - 41a. When the inner component 41 201234453 is viewed from a plan view, the constituent components of the rectangular corner corresponding to the inner component 41 are equally supported by the outer side surface of the 0-ring 4 3 The L-shaped segmentation component of the curved surface on the side is composed. When the substrate processing apparatus 1 of FIG. 1 in which the insulating component 14 constructed as described above is assembled is used to apply the plasma surname treatment to the substrate G, the insulating component 14 is thermally transferred from the lower electrode by heating corresponding to the processing purpose. , and is heated and thermally expanded. 4 is an enlarged view of a corner portion of the insulating member, and FIGS. 4(a) and 4(C) are views showing a state before thermal expansion, and FIGS. 4(B) and 4(D) are showing a state after thermal expansion. The pattern. In Fig. 4(A), the free end 44b of the long side member 44 is retracted by a specific width from the side of the fixed end 47a of the adjacent long side member 47. On the other hand, in Fig. 4(B) showing the state after thermal expansion, the long-side member 44 extends in the longitudinal direction thereof with the fixed end 44a (not shown) as a starting point, whereby the free end 44b is displaced and free. The end face of the end 44b will still be on the same side as the side of the fixed end 47a of the adjacent long side 47. According to the present embodiment, the end faces of the one end (fixed end) in the longitudinal direction of the long side members 44 to 47 constituting the outer side member 42 abut against the side faces of the free ends of the other long side members in the longitudinal direction. The side of the other end (free end) is abutted against the end face of one end (fixed end) of the other long side of the adjacent long side which is adjacent to the other long side, and is combined without a gap. And the fixing ends 44a to 47a of the long side members 44 to 47 are surely fixed by the fixing screws attached to the fixing screw holes 48, and are supported by the support pins 2012 201253 which are mounted on the support screw holes 49. The other ends (free ends) 44b to 47b are movably supported in the direction of thermal expansion or heat shrinkage, so that other long sides are not present in the moving direction of the free ends 44b to 47b, whereby the long sides 44 are formed. ~47 Thermal expansion occurs, and there is still no force or gap between the long sides to push each other. Therefore, the plasma can be prevented from entering the Ο-shaped ring 43 disposed inside the outer member 42, thereby preventing the Ο-ring 43 from being deteriorated by the plasma irradiation. Further, according to the present embodiment, since the outer unit 42 is formed by a combination of the long side members 44 to 47, the shape of each of the long side members 44 to 47 can be simplified to, for example, a slightly short book shape, whereby The manufacturing cost of the long side members 44 to 47 and the outer side member 42 and even the insulating member 14 is reduced. In this embodiment, it is preferred to first select the length of the long side member 44 so that the free end 44b of the long side member 44 is more retracted than the outer side of the fixed end 47a of the adjacent long side member 47. The length of the extent of the extent of the elongation caused by the thermal expansion of the long rib 44. Thereby, even if the long side 44 extends in the longitudinal direction thereof due to thermal expansion, the free end 44b does not protrude from the outer side of the fixed end 4% of the adjacent long side 47, thereby avoiding collision with other chambers. Form the part. Further, the end of the free end 44b of the long side member 44 may be preliminarily on the same side as the outer side of the fixed end of the adjacent long side member 47 as shown in Fig. 4(C), and will be in thermal expansion. As shown in FIG. 4(D), the side of the fixed end 47a protrudes from the side, and a recess having a width corresponding to the extended width of the long side 44 due to thermal expansion is provided in the adjacent other chamber parts, thereby avoiding the other chambers adjacent to the adjacent side. The part collides with the long-edged private 201234453. In the present embodiment, a gap 52 is formed between the long side member 44 and the O-ring 43 as shown in Fig. 4(B) due to the thermal expansion ' of the long side member 44, but it is secured. The side of the free end 44b of the long side member 44 is in contact with the end surface of the fixed end 47a of the long side member 47, so that the deterioration of the O-ring 43 does not occur due to the entry of the plasma. In the present embodiment, the long side members 44 to 47 constituting the outer unit 41 are made of an insulating material such as polytetrafluoroethylene (trade name: Teflon (registered trademark)). In the present embodiment, the fixing screw holes 48 of the long side members 44 to 47 are preferably positioned at positions close to the end faces of the fixed ends 44a to 47a of the long side members 44 to 47, and the ends from the fixed end portions. It is set at, for example, a position of 30 to 40 mm. If the distance between the fixing screw hole 48 and the end surface of the fixed end is several hundred mm or more, the thermal expansion of the portion cannot be ignored, and the fixed end of each long side and the other ring that abuts the fixed end are formed. The joint surface of the part is distorted. In the present embodiment, the Ο-ring 43 is made of a heat-resistant elastic member such as fluorinated rubber or the like. Next, a modification of this embodiment will be described. Fig. 5 is a view showing a main part of a modification of the insulating member according to the embodiment of the present invention, wherein Fig. 5(A) shows a main part of the first modification, and Fig. 5(B) shows a second modification. The schema of the main part of the example. In Fig. 5(A) and Fig. 5(B), the insulating members i4a and 14b are end faces at one end in the longitudinal direction of the long side and 19s long in the longitudinal direction of the long side. The abutting portion of the side surface of one end is provided with a combined portion that can prevent the step of entering the plasma. In Fig. 5(A), the end surface of one end (fixed end 57a) of the long side 57 in the longitudinal direction and the side of the longitudinal end (free end 54b) of the other long side 54 adjacent to the long side 57 are The abutting portion is provided with a labyrinth-like step structure capable of preventing plasma from entering. The free end 54b of the long rib 54 is adjusted to the side of the fixed end 57a of the longer rim 57 and is to be retracted to correspond to the length of the extent of the extension of the long rib 44 (for example, 10 to 20 mm). length. Further, as in the case of the above-described embodiment, as shown in FIG. 5(B), the end surface of the free end 54b of the long side member 54 may be flush with the side surface of the fixed end 57a of the long side member 57, and A recess having a width corresponding to the extended width of the long side 54 due to thermal expansion is provided at the adjacent other cavity-to-inner parts to avoid collision of the adjacent other chamber parts with the long side 54. According to the first modification of the embodiment, the end surface of the fixed end 57a of the long side member 57 is provided at the abutting portion of the side surface of the free end 54b of the other long side member 54 adjacent to the long side member 57. Since the combined portion has a stepped structure, it is possible to sufficiently ensure the passage path from the lower electrode (not shown) disposed at the upper portion of the assembly 14 to the cavity (U) (omitted (5)) disposed in the lower portion of the insulating member 14 and connected to the ground. The length, whereby the short-circuit discharge between the lower electrode and the bottom plane of the chamber u is generated to suppress the occurrence of (4) at the abutting portion, thereby preventing the deterioration of the O-ring 43 and the wear of the chamber in the vicinity. Further, according to the first modification of the embodiment, since the abutting portions of the long-side members are provided with the combination portions of the stepped structures, the abutting portions from the respective long-side members can be more effectively prevented. The entry of the plasma to more reliably prevent the deterioration of the O-ring disposed inside. Further, in the first modification of the present embodiment, the outer side surface of the outer side member 42 is provided with a side shield member 18 (refer to Fig. 1), thereby preventing entry of plasma from the side surface of the insulating member 14. Fig. 6 is a view showing a main part of a modification of the insulating member according to the embodiment of the present invention, wherein Fig. 6(A) shows a main part of the third modification, and Fig. 6(B) shows a fourth modification. The schema of the main part of the example. 6(A) and FIG. 6(B), the insulating components 14c and 14d are different from the insulating components 14a and 14b of FIG. 5 by the insertion component 60 (which is embedded in the long edge). An end surface of one end end and a concave portion of abutting portion of a side surface adjacent to one end of the other long side of the long side edge constitute an end surface of one end in the longitudinal direction of the long side and an other length adjacent to the long side A part of the stepped structure provided by the abutting portion of the side surface at one end in the longitudinal direction of the rib. In Fig. 6(A), the end faces of the fixed ends 67a of the long-side members 67 and the side faces of the free ends 64b of the long-side members 64 are respectively provided with step portions in which the lower portion is cut into a quadrangular prism shape. Thereby, the abutting portion of the end surface of the fixed end 67a of the long side member 67 and the side surface of the free end 64b of the long side member 64 is formed with a recess portion formed by the step portion and the step portion. The recess is then inserted into the insert assembly 60 to form a portion of the stepped configuration. 21 201234453 A gap μ is formed between the insertion unit 6〇 and the recess to absorb the displacement of the long side 64 extending from the thermal expansion. The side shield assembly 18 (see Fig. 1), which is omitted from the illustration, is inserted into the side of the outer side assembly 42 of the insert unit 60 so as to prevent the plasma from entering the horizontal direction. Similarly to the first and second modifications, the third modification of the present embodiment can sufficiently ensure that the lower electrode (not shown) disposed on the upper portion of the insulating member 14 and the lower portion of the insulating member 14 are connected to the ground. The length of the path of the bottom plane of the chamber, whereby the town avoids short-circuit discharge between the lower electrode and the bottom plane of the cavity to suppress the occurrence of plasma at the abutting portion, thereby preventing deterioration of the stirrup 43 and Wear of parts in the vicinity of the chamber. Further, according to the third modification of the embodiment, a part of the step structure is formed by the insertion member 60, and the structure of the abutting portions of the long-side members is constituted by a simple component. As a result, the production of each component is easier, and the number of breaks during handling is reduced. In the third modification of the embodiment, the insertion unit 60 is preferably not fixed to the bottom planes of the long sides 64, 67 and the chamber 11, but is formed by being interposed between the long sides. The state of the recess is placed on the bottom plane of the chamber 11. Further, similarly to the case of the above-described embodiment, as shown in FIG. 6(B), the end surface of the free end 64b of the long side member 64 may be flush with the side surface of the fixed end 67a of the long side member 67, and The adjacent cavity 22 201234453 is provided with a recess corresponding to the extended width of the long side 64 due to thermal expansion to avoid collision of the adjacent other chamber parts with the long side 64. The present invention has been described in detail with reference to the embodiments, but the invention is not limited to the embodiments. In the above embodiments, the substrate to which the plasma treatment is applied is not only a glass substrate for a liquid crystal display (LCD) but also an electroluminescence (EL) display, a plasma display panel (PDP), or the like. Various substrates used in the first FPD (Flat Panel Display). Further, in the above-described embodiment, an apparatus using a capacitive coupling type plasma generating method in which plasma is generated by a parallel plate electrode has been described. However, it is needless to say that it is not necessary to use other plasma such as an inductive coupling type electric breaker generating method. The present invention can be applied to a device for generating a method as long as it is a device including a mounting table of a substrate, a shadow ring, or a component corresponding thereto. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a cross-sectional view showing a schematic configuration of a substrate processing apparatus having an insulating member according to a form of the present invention. Fig. 2 is a plan view showing the structure of an insulating member according to an embodiment of the present invention. Fig. 3 is a cross-sectional view showing the main part of the insulating member assembly of Fig. 2 in the state of the substrate processing apparatus of Fig. 1. 23 201234453 Figure 4 is an enlarged view of a corner portion of an insulating component, and Figures 4(A) and 4(C) show a state before thermal expansion, and Figures 4(B) and 4(D) show thermal expansion. The pattern of the state. Fig. 5 is a view showing a main part of a modification of the insulating member according to the embodiment of the present invention, wherein Fig. 5(A) shows a main part of the first modification, and Fig. 5(B) shows a second modification. The schema of the main part of the example. Fig. 6 is a view showing a main part of a modification of the insulating member according to the embodiment of the present invention. Fig. 6(A) shows a main part of a third modification, and Fig. 6(B) shows a fourth modification. The schema of the main part of the example. 7 is a view showing a structure of an insulating component of the prior art, taken as a top view of the entire insulating component, and FIG. 7(B) is an enlarged plan view of a corner portion of the insulating component, and FIG. 7 (Q is a corner of the insulating component) Side view. [Main component symbol description] G substrate S processing space 10 substrate processing device 11 processing chamber (chamber) 12 mounting table (crystal holder) 13 substrate 13a substrate mounting surface 14 insulation components 14a, 14b, 14c, 14d Insulation assembly 24 201234453 15 Shield ring 16 Electrostatic electrode plate 17 DC power supply 16 Electrostatic electrode plate 18 Insulation ring 21 Lift pin 23 Southern frequency power supply 24 Matcher 26 Side exhaust path 27 Exhaust pipe 28 Exhaust device 30 Air head 31 Internal space 32 Gas hole 34 Substrate carry-in/out port 35 Gate valve 36 Gas supply pipe 37 Open and close valve 38 Flow controller 39 Process gas supply source 41 Inner component 41a Clearance 42 Outer component 43 0-ring 25 201234453 44~47, 54, 57 64, 67 long-side objects 44a to 47a, 57a, 67a fixed ends 44b to 47b, 54b, 64b free ends 44c to 47c protruding portions 48 fixing screw holes 49 Insert assembly plane 52 with a bottom 60 screw 50 air gap region gap 70 5,168 insulation assembly 71 outer assembly 73 inner assembly 72. O-ring 74 stepped portion 26 gap 75

Claims (1)

201234453 七 •申請專利範圍: L 一種絕緣組件,係在對矩形基板施予電漿處理 之基板處理裝置的處理室内,使載置有該基板之矩形載 置台與該處理室的内壁面電性絕緣; 其特徵為具有内側組件、外侧組件、以及配置於該 内側組件及外侧組件之間而區劃出該處理室内的真空 區域與該内側組件所圍繞的A氣區域之件· 其中該外側組件係由對應於該矩形載置台的各邊 斤配置之絕緣性長邊狀物的組合體所構成,而以各長邊 ^之長度方向—端的端面係、抵接於鄰接之其他長邊 長度方向—端的㈣’另—端的側面則抵接於與 ^接之其他長邊狀物相異之鄰接之另—長邊狀物之 長度方向一端的端面之方式來分別加以組合; =各長邊狀物之長度方向的一端係透 口過固定用螺 ^宙定在該處理室内壁面,另—端則透過至少Η固支 沒用螺孔而可自由位移地受到支撐所加以配列。 2.如申請專利範圍第丨項之絕緣級 豆 長邊狀物係配列成以上述被固定之一端 該長邊狀物的長度方向熱膨脹或熱收縮=’’·· ° /σ 3·如中請專職㈣奴㈣組件,其中 二固疋用螺孔係在垂直於該固定用螺孔之剖面處為正 支制螺孔係在垂直於該支抑螺孔之剖面處 =長邊狀物的長度方向為長橢圓形或兩端為半圓 之矩形。 27 201234453 4.如申請專利翻第3項之絕緣組件,其中係使 安裝在4 IU定用螺孔之SJ定螺絲的鎖固扭矩大於安裝 在該支#用螺孔之支撐螺絲的鎖固扭矩。 5·如申請專利範圍第1或2項之絕緣組件,其中 該外側組件係在與該環狀密封組件的長度方向呈直交 之剖面處碰存在於該環狀輯崎的外側面側。 6.如申請專利範圍第項之絕緣組件,其中 該外側組件巾之該長邊狀物相互之抵接料内侧面係 呈現曲面’且該長邊狀物1的側面係設置有 曲 面之突出部。 7.如申請專利範圍第1或2項之絕緣組件,立中 料側組件中之該長邊狀物相互之抵接部的内側面係 貫質上形成有直角的肖部,且該長邊狀物係 起部之矩形的外形。 甲清專利範圍第 5. 如 〜一/_ -氕2項之絕緣組件,直 該各長邊狀物之長度方向—端的端面與該鄰接之^ 長邊狀物之長度方向一端的側面之抵接部係形成^ 差構造的組合部。 仏成有 9.如中請專利範圍第8項之絕緣組件,其中該段 差構造的至少-部分係由遊嵌於凹部之絕緣材料構成 的插入組件所構成’其中該凹部係形成於該 = 之抵接部。 -端的端面與該鄰接之其他長邊狀物之該1二 10.如申請專利範圍第 項之絕緣組件,其中該凹 28 201234453 部與該插入組件之間係設置有能夠吸收沿該長邊狀物 長度方向之熱膨脹或熱收縮導致的位移之間隙。 11. 如申請專利範圍第10項之絕緣組件,其中該凹 部處之該插入組件的插入口係藉由側遮蔽組件來加以 密封。 12. 如申請專利範圍第1或2項之絕緣組件,其中 該環狀密封組件的一部分係嵌合於該處理室内壁面所 設置之凹部。 13. 如申請專利範圍第1或2項之絕緣組件,其中 該内側組件係由組合了複數構成組件之組合體所構 成,且於各構成組件相互之間設置有能夠吸收熱膨脹之 間隙。 14. 一種基板處理裝置,其具備如申請專利範圍第1 至13項中任一項之絕緣組件。 29201234453 VII•Scope of Application: L An insulating component is used to electrically insulate a rectangular mounting table on which a substrate is placed in the processing chamber of a substrate processing apparatus for applying a plasma treatment to a rectangular substrate, and an inner wall surface of the processing chamber. Characterized by having an inner component, an outer component, and a vacuum region disposed between the inner component and the outer component and partitioning the vacuum chamber of the processing chamber from the A gas region surrounded by the inner component, wherein the outer component is Corresponding to the combination of the insulating long-side members arranged in the respective sides of the rectangular mounting table, the end faces of the long sides of the long sides are abutting against the other long sides of the adjacent long sides. (4) The sides of the other end are respectively abutted on the end faces of one end of the long side of the long side which are different from the other long sides of the joint, and are respectively combined; One end in the longitudinal direction is fixed to the inner wall surface of the processing chamber by the through-spinning screw, and the other end is supported by the at least the tamping support without the use of the screw hole. With the column. 2. The insulating grade bean long side of the scope of the patent application is arranged to be thermally expanded or thermally contracted in the longitudinal direction of the long side of one of the above fixed ends = ''··· ° /σ 3 · as in Please use the full-time (4) slave (4) component, in which the screw holes of the two solids are perpendicular to the section of the fixing screw hole, and the screw holes are positively connected to the section perpendicular to the support screw hole = long edge The length direction is a long ellipse or a rectangle with a semicircle at both ends. 27 201234453 4. If the patent application is turned on the insulation component of item 3, the locking torque of the SJ fixed screw installed in the 4 IU fixed screw hole is larger than the locking torque of the support screw installed in the screw hole. . 5. The insulating member according to claim 1 or 2, wherein the outer member is in contact with the outer side of the annular gusset at a cross section orthogonal to the longitudinal direction of the annular seal member. 6. The insulating component of claim 1, wherein the long side of the outer component towel abuts against the inner side of the material and presents a curved surface and the side of the long edge 1 is provided with a curved protrusion. . 7. The insulating component of claim 1 or 2, wherein the inner side of the abutting portion of the long side of the center-side material side member is formed with a right-angled portion at a right angle, and the long side The shape is a rectangular shape of the starting portion. The scope of the patent of the Qing Dynasty is 5. The insulation component of the item 1 to _ _ 氕 2, the end face of the long side of the long edge - the end face of the end of the long edge of the adjacent long edge The joint forms a combined portion of the differential structure. 9. The insulating component of claim 8, wherein at least a portion of the stepped structure is formed by an insert assembly formed of an insulating material embedded in the recess, wherein the recess is formed in the = Abutment. The end face of the end end and the other long side edge of the adjacent one or more. 10. The insulating component of claim 1, wherein the recess 28 201234453 portion and the insert component are disposed to absorb along the long edge. The gap between the displacement caused by thermal expansion or thermal contraction in the longitudinal direction of the object. 11. The insulating assembly of claim 10, wherein the insertion opening of the insert assembly at the recess is sealed by a side shield assembly. 12. The insulating component of claim 1 or 2, wherein a portion of the annular seal assembly is fitted to a recess provided in a wall of the processing chamber. 13. The insulating member according to claim 1 or 2, wherein the inner member is composed of a combination of a plurality of constituent members, and a gap capable of absorbing thermal expansion is provided between the constituent members. A substrate processing apparatus comprising the insulating component according to any one of claims 1 to 13. 29
TW100141957A 2010-11-18 2011-11-17 Insulating member and substrate processing device containing insulating member TW201234453A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010257965A JP2012109446A (en) 2010-11-18 2010-11-18 Insulation member, and substrate processing device with insulation member

Publications (1)

Publication Number Publication Date
TW201234453A true TW201234453A (en) 2012-08-16

Family

ID=46071599

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100141957A TW201234453A (en) 2010-11-18 2011-11-17 Insulating member and substrate processing device containing insulating member

Country Status (4)

Country Link
JP (1) JP2012109446A (en)
KR (1) KR101282487B1 (en)
CN (1) CN102468107A (en)
TW (1) TW201234453A (en)

Cited By (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016127185A (en) * 2015-01-06 2016-07-11 東京エレクトロン株式会社 Shield ring and substrate mounting table
KR102431354B1 (en) 2017-07-11 2022-08-11 삼성디스플레이 주식회사 Chemical vapor deposition device and method of manufacturing display device using the same
CN109559965B (en) * 2017-09-25 2021-05-14 台湾积体电路制造股份有限公司 Processing equipment and assembling method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200284530Y1 (en) * 1997-08-25 2002-09-19 엘지전자 주식회사 Screen fixing structure of projection TV
JP2001196357A (en) * 2000-01-11 2001-07-19 Matsushita Electric Ind Co Ltd Plasma treatment device
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
CN1914714B (en) * 2004-03-31 2011-09-28 富士通半导体股份有限公司 Substrate processing system and process for fabricating semiconductor device
JP2008243937A (en) * 2007-03-26 2008-10-09 Tokyo Electron Ltd Equipment and method for treating substrate
JP4874870B2 (en) * 2007-05-29 2012-02-15 東京エレクトロン株式会社 Substrate processing system and substrate processing apparatus
JP4782733B2 (en) * 2007-06-12 2011-09-28 東京エレクトロン株式会社 Mounting table and plasma processing apparatus using the same

Cited By (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
TWI756590B (en) * 2019-01-22 2022-03-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
CN102468107A (en) 2012-05-23
KR101282487B1 (en) 2013-07-04
KR20120053974A (en) 2012-05-29
JP2012109446A (en) 2012-06-07

Similar Documents

Publication Publication Date Title
TW201234453A (en) Insulating member and substrate processing device containing insulating member
TWI601205B (en) Plasma processing container and plasma processing device
JP4782733B2 (en) Mounting table and plasma processing apparatus using the same
KR101141488B1 (en) Method and apparatus for reducing substrate backside deposition during processing
KR101876873B1 (en) Plasma processing apparatus
TW201637123A (en) Ceramic electrostatic chuck bonded with high temperature polymer bond to metal base
JP5396256B2 (en) Plasma processing equipment
TWI445119B (en) A substrate stage and a substrate processing device
TW201438096A (en) Substrate mounting table and substrate processing apparatus
KR20170028849A (en) Focus ring and substrate processing apparatus
KR102061415B1 (en) Plasma processing apparatus and gas shower head
JP2008172170A (en) Substrate holding mechanism and plasma processing apparatus
KR101282554B1 (en) Shield member, components thereof and substrate mounting table comprising shield member
CN111095500A (en) Mounting table and substrate processing apparatus
JP2017126727A (en) Structure of mounting table and semiconductor processing device
TWI524462B (en) A shielding member, and a substrate stage having a shielding member
JP4632515B2 (en) Plasma process equipment
TWI759470B (en) Gate valve device and substrate processing system
JP2004006813A (en) Electrostatic chuck susceptor and substrate processor
KR101885416B1 (en) Substrate stage and plasma processing apparatus
US20240021418A1 (en) Apparatus for treating substrate
TW202401634A (en) Substrate mounting table, substrate processing apparatus, and substrate processing method
JP2023040676A (en) Substrate processing device
JP2019009233A (en) Plasma processing device