TW201018570A - Inner cavity system for nano-imprint lithography - Google Patents

Inner cavity system for nano-imprint lithography Download PDF

Info

Publication number
TW201018570A
TW201018570A TW098123727A TW98123727A TW201018570A TW 201018570 A TW201018570 A TW 201018570A TW 098123727 A TW098123727 A TW 098123727A TW 98123727 A TW98123727 A TW 98123727A TW 201018570 A TW201018570 A TW 201018570A
Authority
TW
Taiwan
Prior art keywords
stencil
support layer
template
pressure
patterned surface
Prior art date
Application number
TW098123727A
Other languages
English (en)
Inventor
Byung-Jin Choi
Kosta S Selinidis
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of TW201018570A publication Critical patent/TW201018570A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

201018570 六、發明說明: 【發明所屬之技術匈域】 相關申請案之對照 本申請案請求在2_年七月15日提出申請之的美國暫 時專利申請案第61/_,_號之優先權,其係在此被併入以 供參考。 關於聯邦政府所贊助之研究或研發的說明
錢政府在此—發明中係、具有-已付費之授權,並且 ^ 祕定的情況中具有可以要求專利擁有者,依照SPAWAR 脳刪-⑽心廳奈米壓模微影術製備層級(nims)獎及 NIST ATP獎7隠刪職2所提供之期限,來針對其他部 ' 分進行授權。 • 本發明係有關於用於奈米壓模微影術之内孔穴系統。 C先前技術3 發明背景 奈米微製造包括例如具有1〇〇奈米或更小的層級之結 參 #特徵的製造。奈米微製造已經對積體電路的加工處理這 個領域造成了可觀的衝擊。該半導體加工處理工業係續針 對更大的量產產能,並同時增加在每單位區域内之基材上 所形成的電路而努力’因此奈米微製造技術就逐漸變得重 要。奈米微製造可以提供較佳的加工控制性,並同時允許 該所形成之結構的最小特徵尺寸持續縮減。目前已經運用 奈米微製造之其他領域研發工作,包括有生物科技、光學 技術、機電系統以及其等之類似領域。 3 201018570 現今所使用之典型的奈米微製造技術通常係被稱為壓 模微影術。典型的壓模微影術加工製程,甘詳細地描述在 許多的出版品中,例如美國專利申請案第2004/0065976 號、美國專利申請案第20〇4/〇〇65252號,以及美國專利第 6,936,194號,其等全部都在此被併入以供參考。 在每一件上述之美國專利申請案以及美國專利案中所 揭示之壓模微影技術,包括有在一可形成(可聚合)層中形成 一立體圖案,並將一對應於該立體圖案之圖案轉印至一底 層基材内。該基材可以被連結至一移動台座以取得所欲之 定位方式,來辅助該圖案化製程。該圖案化製程會使用一 與該基材分離之模具,而一可成形之液體係被施加於在該 模具與該基材之間。該可成形液體係被固化以形成一具有 一圖案之硬質層,該圖案係和與該液體接觸的模具之表面 形狀相配合。在固化之後,該模具然後將與該固化層分離, 以使得該模具與該基材分離^該基材與該固化層然後進行 額外製程,以將一對應於在該固化層中之圖案的立體圖案 轉移至該基材内。 【赛^明内溶L】 依據本發明之一實施例’係特地提出一種奈米壓模微 影術模板系統,其包含有:一具有至少一通口之支持層; 一經圖案化表面層,其係被連結至該支持層以使得一孔穴 被形成於該支持層與該經圖案化表面層之間,其中在該孔 穴裡面之壓力係通過該支持層的該通口來加以控制。 圖式簡單說明 201018570 本發明之具體例的說明係參照在隨附的圖式中所例示 的具體例來提供,而因此將可以被更詳細地瞭解本發明。 然而,應該要注意的是該等隨附的圖式僅例示說明本發明 之典型具體例,而因此不應被視為對該申請範圍之限制。 第1圖例示說明是一依據本發明之一具體例的微影系 統之簡化側視圖; 第2圖例示說明在第1圖中所顯示的其上設置有圖案化 層的基材之簡化側視圖。 第3 A圖例示說明一模板系統之一具體例的簡化側視 圖。 第3 B圖例示說明一模板系統之另一具體例的簡化側視 圖。 第4A與4B圖例示說明典型模板系統之頂端俯視圖。 第5A圖例示說明形成一模板系統的部件A和部件B 之簡化侧視圖。 第5B圖例示說明形成另一模板系統的部件C和部件D 之簡化側視圖。 【實;Sfe方式3 較佳實施例之詳細說明 參照該等圖式(特別是第1圖),其例示一種用來在基材 12上形成一釋圖形之微影術系統10。基材12可以被連接至 一基材夾頭14。如其所例示的,基材夾頭14係為一真空夾 頭。然而,基材夾頭14可以是包括有,但不限於真空式、 銷式夾頭、槽式、靜電式、電磁式,及/或其等之類似型式。 201018570 典型的夾頭係為被描述在美國專利權6,873 〇87號中者,其 係在此被併入的以供參考。 基材12與基材夾頭14可以進一步由一台座16來加以支 持。台座16可以提供相對於X、7與2轴之平移與旋轉運動。 台座16、基材12與基材夾頭14也可以被設置在一基座上(未 顯示)。 模板18係與基材12分離。模板18可以包括具有自其朝 向基材而延伸之台面20,台面2〇上具有一圖案表面22。此 外,台面20可以被稱為模具2〇。另外,模板18可以在不具 有台面20的情況下被加以形成。 模板18及/或模具2〇可以由包括有,但不偈限於熔融 石夕、石英、二氧化矽、有機聚合物、矽氧烷聚合物、矽酸 硼玻璃、氟碳化合物聚合物、金屬、硬化藍寶石,及/或其 等之類似物的此等材料所形成。如其所示,圖案表面22包 含有由數個分離的凹槽24及/或突起26所界定之結構特 徵,雖然本發明之具體例並未被限制於此等架構。圖案表 面22可以界定會形成欲在基材12上所形成之圖案的基礎之 原始圖案。 模板18可能被連結至一夾頭28。模板夾頭28可以被架 構為,但不限於真空式、銷式、槽式、靜電式或電磁式及/ 或其他類似之夾頭類型。典型的夾頭係被進一步描述於美 國專利第6,873,087號中,其係在此被併入以供參考。此外, 夾頭28可以被連結至一壓印頭30,因而夾頭28及/或壓印頭 30可以被架構以輔助模板18的移動。 201018570 系統10可以進一步包含有流體配送系統32。流體配送 系統32可以用來將可聚合材料34置放於基材12上。可聚合 材料34可以使用任何技術(例如,液滴分配、旋轉塗敷、浸 潰塗敷、化學氣相沈積(CVD)、物理氣相沈積(PVD)、薄膜 沈積’厚膜沈積,以及其等之類似技術)來置放於基材12 上。舉例來說,可聚合材料34可以運用例如在美國公告第 2005/0270312號,以及美國專利公告第2005/0106321號中所 描述之技術來設置於基材12上,該等兩者均在此被併入以 供參考。可聚合材料Μ可以依據設計上的考量,而在所需 體積被界定於模具20與基材12之間以前及/或之後,才被置 放於基材12上。可聚合材料34可以包含有如美國專利第 7,157,036號以及美國專利公告第2005/0187339號中所描述 之單體混合物’該等兩者均在此被併入以供參考。 參照第1和2圖,系統10可以進一步包含有一能量來源 38,其係被連接以沿著一路徑42來導引一能量4〇〇壓印頭 30與台座16係被架構以將模具20與基材12設置於與路徑42 重疊之位置中。系統10可以由一與台座16、壓印頭3〇、流 體配送系統32及/或能量來源38形成通聯之處理器54來調 控,並以一被儲存於記憶體56中之電腦可讀取程式來操作。 壓印頭30、台座16,或是該等兩者都可以改變模具2〇 和基材12之間的距離,以界定一在其等之間由可聚合材料 34所填滿之所需體積。舉例來說,在—具體例中,壓印頭 30可以對模板18施加一力量,以使得模具2〇與可聚合材料 34接觸。在該所需體積被可聚合材料34填滿之後,能量來 201018570 源38會產生例如紫外線之能量40,而使得可聚合材料34被 加以固化及/或交聯,以與基材12的表面44以及圖案表面22 之形狀相配合,而在基材12上界定圖案層46。圖案層46可 以包含有一殘留層48以及被顯示為突起50和凹槽52之數個 結構特徵,突起50係具有厚度h且殘留層係具有厚度t2。 上述的系統和製程可以被進一步運用於在美國專利第 6,932,934號、美國專利權公告第2004/0124566號、美國專 利公告第2004/0188381號,以及美國專利公告第 2004/0211754號所參照之壓模微影術製程與系統中,其等 全都在此被併入以供參考。 如在第1圖中所例示的,一標準模板18在厚度上可以是 名義上為0.25。此一厚度大小可以在模板18的表面上(舉例 來說,模具20之表面)提供最小的彎曲現象。在該硬質表面 與可聚合材料34接觸時,就可能會包入氣泡。這些氣泡通 常必須要在可聚合材料34固化之前被移除,而因此就會使 得該壓模製程變慢。 在相關之美國專利公告第2008/0160129號中,提出一 種用於修正此等缺陷之模板設計,其係全部在此被併入以 供參考。此一模板設計可以藉著將一薄圖案層加以撓曲來 改善充填速度。舉例來說,該設計包括有一可以允許一可 撓性表面之中空中心。該中空中心可以減少該設計的堅硬 度,卻可能會易於出現源自於面外撓曲及/或致動器壓縮錯 誤所導致之對齊與重疊問題。這些問題可能會造成殘留層 48(其係被顯示於第2圖中)之厚度t2不均勻,而此一在厚度。 201018570 中之變化,將會增加無法校正之扭曲狀況及/或較差的疊合 能力。 參照第3A和3B圖,一具有一内孔穴3〇2與可撓性之模 板系統300’可以增加可聚合材料34之充填速度,並仍然可 以在參照上述之第1和2圖之壓模期間的疊合與對齊作用中 提供硬度。此一可撓性與硬度以及模板系統3〇〇的設計之組 合,可以在奈米壓模應用中增加產量及/或改良對齊/疊合效 果。此外,此一設計可以包括有,但是不侷限於65公釐正 方形模板形狀因數、6025光罩形狀因數及/或其等之類似因 數之形狀因數來實施。 參照第3 A圖,模板系統3〇〇通常可以約略地包含有一内 孔穴302、一支持層304以及一圖案化表面層3〇6。模板系統 300也可以包括有一或更多的孔穴通口303。舉例來說,第 3A圖的模板系統300包括有孔穴通口 3〇3。第36圖的模板系 统300則包括有孔穴通口 3〇3a-d。 圖案化表面層306可以包含有一薄的具可撓性基底 308、一台座區域310(對應於第1圖的台座20),以及一立體 圖像312。具可撓性基底308可以具有厚度並且可以由包 括有,但不偈限於熔融石夕、石英、二氧化石夕、有機聚合物、 矽氧烷聚合物、矽酸硼玻璃、氟碳化合物聚合物、金屬、 硬化藍寶石,及/或其等之類似物等材料所形成。舉例來 說’具可撓性基底308可以由炼融矽來形成,並且係具有大 小大約為0.2公釐至3公釐之厚度t3。 台座區域310可以具有厚度t;4,並且可以由與具可挽性 9 201018570 ^類似之材料來形成。舉例來說,台座區域310可以 具大小大約為5至2〇_之厚度的溶融碎所形成。立體 圖像312可Μ台顧域则之表面延伸,並且/或者立體圖 像312或部分的立體圖像312可以形成通至台座區域310的 表面之凹槽。立體圖像312或是部分的立體圖像312,可以 如參照第2圖所例示與描述的,用來在圖案層46中形成對應 的圖案。 内孔八302可以包括有一介於支持層3〇4與圖案化表面 層3〇6之間的容積。該容積可以包括有介於支持層304與圖 案表面層3G6之間的距離dl。舉例來說,依據設計考量距離 山可以是大約為0.010公釐至5公釐。此夕卜,該空間形成孔穴 302之容積可以包括有一長度Li。舉例來說,長度^可以是 實質上近似於或是者比該圖案化台座區域31〇的長度、該支 持層304的長度,及/或依據設計考量之其他範圍更大。 參照第4A和4B圖,内孔穴302可以具有包括有,但是 不侷限於圓形、橢圓形、矩形、正方型、或任何其他想像 形狀之許多種形狀。舉例來說,第4八圖例示說明内孔穴3〇2a 係具有圓形之形狀’而第4B圖則例示說明内孔穴302b係具 有正方形之形狀。 再次參照第3A和3B圖,内孔穴3〇2裡面的壓力可以通 過孔穴通入通口 303來加以控制。舉例來說,在内孔穴裡面 的壓力可以通過孔穴通入通口 303而由加壓系統314來加以 控制。加壓系統314可以包括有’但不侷限於一高壓腔室、 真空幫浦,或是其他可以被連接至通口 303以控制在孔穴 201018570 302裡面的壓力之類似構件。 在孔穴302中所施加之壓力係由加壓系統314所提供, 並且可以被用來撓曲及/或彎曲經圖案化表面3〇6。舉例來 說,藉由加壓系統314而施加至孔穴3〇2内的壓力,可以落 在-lOOkPa至l〇〇Kpa的範圍内。此外,孔穴3〇2裡面的壓力 可以一精確的壓力調節器來控制。壓力可以依據模板系統 300的用途(舉例來說,撓曲及/或彎曲)來增加或減少。在於 孔六302裡面施加壓力期間,支持層3〇4可以通過材料及/或 厚度設計而在模板系統300裡面提供硬度。在於孔穴3〇2裡 面施加壓力期間,此等硬度可以提供對於模板系統3〇〇之疊 合及/或對齊作用的調控作用。舉例來說,支持層3〇4的硬 度可以在對孔穴302裡面施加壓力,而導致之圖案化表面 306的撓曲及/或彎曲狀況期間,提供對於模板系統3〇〇之疊 合及/或對齊作用的調控作用。 壓力可以如第3 B圖所例示的運用多個加壓系統3丨4 a和 314b來加以控制。雖然其例示二個加壓系統314a與314b, 應該要注意的是任何數量之加壓系統314a都可以被連接至 一或更多的通口 303a-d。舉例來說,每個通口 3〇3a-d都可以 被連接至獨立的加壓系統314。或者,數個通口 3〇3a_d都可 以被接以共享加壓系統314。該數量以及加壓系統314與通 口 303的連接作用可以設計考量為基礎。舉例來說,如第3B 圖所例示的,通口 303b可以被連接至加壓系統3i4b而通口 303d則可以被連接至加壓系統314a。藉著使用二個加壓系 統314a和314b ’在孔穴302裡面的顆粒316可以藉著由加壓 11 201018570 系統314a和314b所供應之正壓壓力與真空壓力來取出。舉 例來說’加壓系統314a可以施加一正壓壓力,而加壓系統 314b可以施加一真空壓力,以將顆粒316自孔穴3〇2取出。 第5 A和5B圖例示說明模板系統300&和300b的形成作 用’其係經過將數個部件32〇連結,來製造模板系統30〇3及 /或300b。 參照第5A圖’部件a 32〇a可以包括有支持層3〇6以及一 在與部件B 320b連結時會形成内孔穴3〇2之凹槽322a(其係 被顯示於第3A圖中)。部件b 32〇b可以包括有經圖案化表面 〇 層306a,而部件a 320a則可以包括有支持層304a。部件A 320a及/或凹槽322a可以由包括有,但是不侷限於機械加 工、微影術圖案化作用、蝕刻作用及/或其等之類似方法的 - 許多種方法來形成。同樣地,部件B 32此可以由包括有, 但是不侷限於機械加工、微影術圖案化作用、標準晶圓加 工製程’以及其等之類似方法的許多種方法來形成。將部 件A 320a連結至部件b 32〇b的作用,可以經過包括有,但 是不褐限於_子黏結、膠黏劑(舉例來說,薄雜劑)、# ❹ 焊接以及其等之類似方法的許多種方法。 第5Β圖例示說明經由將部件c 32〇c與部件〇 32〇d連結 而形成模板300之另—具體例。在此一具體例中,部件€ 32〇C可以包括有—係為支持層304b的第一部分。部件D 320d則可以包括有一第二部分其除了凹槽^㉛與經圖案 化表面層306b以外係為支持層3〇4c。將部件€ 32〇c與具有 -凹槽的部件D 32Gd連結以形成内孔穴3〇2(其係被顯示於 12 201018570 第3A圖中)。除此之外,部件C32〇c可以如第沾圖所舉例的 形成二個子部分324a與324b。可以被分別地形成,以使得 在子部分324a與324b被連接時,子部分324a與324b會共同 形式通口 303。應該要注意的是,通口 3〇3可以經由包括有, 但是不侷限於機械加工、微影術圖案化作用、蝕刻作用, 以及其等之類似製程的許多種製程,而在不需將子部分 3 24a與3 24b加以連結而形成。
|:圖式簡單說明;J 第1圖例示說明是一依據本發明之一具體例的微影系 統之簡化側視圖; 第2圖例不說明在第1圖中所顯示的其上設置有圖案化 層的基材之簡化側視圖。 第3A圖例不說明-模板系統之一具體例的簡化側視 圖。 第3 B圖例不說明-模板系統之另一具體例的簡化側視 圖。 第4A與仙圖例示說明典型模板系統之頂端俯視圖。 第5A圖例示說明形成—模板系統的部件a和部件b 之簡化側視圖。 第SB圖例示說明形成另一模板系統的部件(:和部件d 之簡化側視圖。 【主要兀件符號日月】 10…微影術系統 14…基材夾頭 12…基材 16·..台座 13 201018570 18…模板 20…台面 22…圖案表面 24, 52…凹槽 26, 50···突起 28…模板夾頭 30…壓印頭 32…流體配送系統 34…可聚合材料 38…能量來源 40…能量 42…路徑 44…表面 46…圖案層 48…殘留層 54…處理器 55…空間位置 56…記憶體 300…模板系統 302···内孔穴 303,303a-d.··孔穴通口 304,304a-c···支持層 306,306b…圖案化表面層 308···具可撓性基底 310···台座區域 312···立體圖像 314…加壓系統 314a,314b…加壓系統 ©
316…顆粒 320a…部件A 320b…部件B ' 320c…部件C - 320d…部件D 322a,322b···凹槽 324a,324b…子部分 山…距離 ®
Lr··長度 tl,t2,t3,t4···厚度 14

Claims (1)

  1. 201018570 七、申請專利範圍: 1. 一種奈米壓模微影術模板系統,其包含有: 一具有至少一通口之支持層; 一經圖案化表面層,其係被連結至該支持層以使得 一孔穴被形成於該支持層與該經圖案化表面層之間,其 中在該孔穴裡面之壓力係通過該支持層的該通口來加 以控制。 2. 如申請專利範圍第1項的模板系統,其中該支持層係具 有數個通口,並在每個通口裡面控制該孔穴裡面的壓 力。 3. 如申請專利範圍第2項的模板系統,其中該等數個通口 在該孔穴裡面分配壓力。 4. 如申請專利範圍第2項的模板系統,其中至少一通口提 供真空壓力,而至少一通口則提供正壓壓力。 5. 如申請專利範圍第4項的模板系統,其中該真空壓力與 該正壓壓力控制該經圖案化的表面層之至少一部分的 彎曲。 6. 如申請專利範圍第1項的模板系統,其中該孔穴係為矩 形的。 7. 如申請專利範圍第1項的模板系統,其中該支持層的通 口會對該孔穴提供壓力,以使得該經圖案化表面層被撓 曲。 8. 如申請專利範圍第7項的模板系統,其中該支持層的厚 度大小以及該支持層之材料特性為該模板系統提供硬 15 201018570 度,以將對齊錯誤減到最少。 9. 如申請專利範圍第1項的模板系統,其中該經圖案化表 面層包括有一具可撓性基底、一台座區域以及一立體圖 像,該具可撓性基底係被連接至該支持層。 10. 如申請專利範圍第9項的模板系統,其中該立體圖像係 由該台座區域的一表面延伸。 11. 如申請專利範圍第9項的模板系統,其中該孔穴的長度 係比該台座區域的長度更大。 12. 如申請專利範圍第1項的模板系統,其中該經圖案化表 面層係被黏結至該支持層。 13. 如申請專利範圍第12項的模板系統,其中該經圖案化表 面層包括有一形成該孔穴之凹槽。 14. 如申請專利範圍第1項的模板系統,其中該孔穴與該通 口係通過挖空該支持層與該經圖案化表面層而形成。 15. —種奈米壓模微影術模板系統,包含: 一第一部分,其具有至少一通口與至少一凹槽; 一第二部分,其係被連結至該第一部分以使得該第 一部分的凹槽在該第一部分與該第二部分之間形成一 孔穴,其中在該孔穴裡面的壓力係由該第一部分之該通 口來加以控制。 16. 如申請專利範圍第15項的模板系統,其中該模板之該第 一部分係被黏結至該模板的該第二部分。 17. 如申請專利範圍第15項的模板系統,其中該通口係藉由 微影術圖案化作用,而形成於該模板的該第一部分裡 201018570 面。 18. 如申請專利範圍第15項的模板系統,其中在該孔六裡面 的壓力可以提供處於一撓曲位置中之該模板的該第二 部分。 19. 如申請專利範圍第18項的模板系統,其中該模板的該第 一部分係具有一厚度大小以及一材料特性,其將由於該 模板的該第二部分之撓曲所導致之對齊錯誤減到最少。 20. —種奈米壓模微影術模板系統,其包含有: ® 該模板之一第一部分,其具有一經圖案化表面層以 及一凹槽; 該模板之一第二部分,其具有一連結至該經圖案化 ' 表面層之支持層,以使得該第一部分的該凹槽在該模板 的該第一部分與該模板的該第二部分之間形成一孔 穴,該支持層係形成有一通口;以及, 一加壓系統,其係被連結至該通口以控制在該孔穴 裡面的壓力。 參 17
TW098123727A 2008-07-15 2009-07-14 Inner cavity system for nano-imprint lithography TW201018570A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US8089008P 2008-07-15 2008-07-15

Publications (1)

Publication Number Publication Date
TW201018570A true TW201018570A (en) 2010-05-16

Family

ID=41530504

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098123727A TW201018570A (en) 2008-07-15 2009-07-14 Inner cavity system for nano-imprint lithography

Country Status (5)

Country Link
US (1) US20100015270A1 (zh)
JP (1) JP2011528506A (zh)
KR (1) KR20110046438A (zh)
TW (1) TW201018570A (zh)
WO (1) WO2010008508A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9280047B2 (en) 2011-08-11 2016-03-08 Canon Kabushiki Kaisha Imprint apparatus and article manufacturing method
TWI623411B (zh) * 2015-02-13 2018-05-11 佳能股份有限公司 模具、壓印設備、以及製造物品的方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8142704B2 (en) * 2008-10-22 2012-03-27 Molecular Imprints, Inc. Imprint lithography system and method
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
FR2955522B1 (fr) * 2010-01-28 2012-02-24 Commissariat Energie Atomique Moule pour la lithographie par nano-impression assistee uv et procedes de realisation d'un tel moule
KR102046933B1 (ko) 2010-11-05 2019-11-20 캐논 나노테크놀로지즈 인코퍼레이티드 비-볼록 형상의 나노구조의 패터닝
KR20130123760A (ko) 2012-05-03 2013-11-13 삼성전자주식회사 탬플릿 시스템 및 그 나노 임프린트 방법
JP2014069339A (ja) * 2012-09-27 2014-04-21 Hitachi High-Technologies Corp スタンパ、スタンパ製造装置及びその製造方法並びに微細構造転写方法
JP6495283B2 (ja) * 2013-08-19 2019-04-03 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム ナノメータスケール精度を有するユーザ定義プロファイルのプログラム可能な薄膜蒸着
US11454883B2 (en) * 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
JP2023537471A (ja) * 2020-08-03 2023-09-01 アプライド マテリアルズ インコーポレイテッド シームレスソフトスタンプを製造するための装置及び方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2975476A (en) * 1959-03-02 1961-03-21 John E Burke Press
US3130412A (en) * 1959-07-31 1964-04-21 Scott Paper Co Process of and apparatus for treating sheet materials and product
FR2209967B1 (zh) * 1972-12-08 1979-03-30 Thomson Csf
US3946367A (en) * 1972-12-20 1976-03-23 Videonics Of Hawaii, Inc. Three dimensional electro-optical retrieval system
FR2339741A1 (fr) * 1976-01-30 1977-08-26 Snecma Joint statorique abradable pour turbomachine axiale et son procede d'execution
NL7710555A (nl) * 1977-09-28 1979-03-30 Philips Nv Werkwijze en inrichting voor het vervaardigen van informatie bevattende platen.
US4601861A (en) * 1982-09-30 1986-07-22 Amerace Corporation Methods and apparatus for embossing a precision optical pattern in a resinous sheet or laminate
JPS613339A (ja) * 1984-06-18 1986-01-09 Hitachi Ltd 高密度情報記録円板複製用スタンパおよびその製造方法
US4514249A (en) * 1984-07-19 1985-04-30 Brown & Williamson Tobacco Corporation Device for making grooves in cigarette filters
KR960025390A (ko) * 1994-12-03 1996-07-20 안시환 광 디스크 스템퍼의 제조방법
US5708652A (en) * 1995-02-28 1998-01-13 Sony Corporation Multi-layer recording medium and method for producing same
US5804017A (en) * 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
JP3298607B2 (ja) * 1995-09-29 2002-07-02 ソニー株式会社 液晶素子及びその製造方法
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
IT1294942B1 (it) * 1997-08-01 1999-04-23 Sacmi Procedimento di pressatura di polveri ceramiche ed attrezzatura di attuazione dello stesso.
US20020159918A1 (en) * 2000-06-25 2002-10-31 Fan-Gang Tseng Micro-fabricated stamp array for depositing biologic diagnostic testing samples on bio-bindable surface
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
JP3580280B2 (ja) * 2001-10-25 2004-10-20 株式会社日立製作所 記録媒体とその製造方法
CA2380114C (en) * 2002-04-04 2010-01-19 Obducat Aktiebolag Imprint method and device
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
TW570290U (en) * 2003-05-02 2004-01-01 Ind Tech Res Inst Uniform pressing device for nanometer transfer-print
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
JP4455093B2 (ja) * 2004-02-20 2010-04-21 キヤノン株式会社 モールド、モールドを用いた加工装置及びモールドを用いた加工方法
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US20060177532A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US8001924B2 (en) * 2006-03-31 2011-08-23 Asml Netherlands B.V. Imprint lithography
WO2007123805A2 (en) * 2006-04-03 2007-11-01 Molecular Imprints, Inc. Lithography imprinting system
JP4819577B2 (ja) * 2006-05-31 2011-11-24 キヤノン株式会社 パターン転写方法およびパターン転写装置
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US8012394B2 (en) * 2007-12-28 2011-09-06 Molecular Imprints, Inc. Template pattern density doubling
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9280047B2 (en) 2011-08-11 2016-03-08 Canon Kabushiki Kaisha Imprint apparatus and article manufacturing method
TWI601619B (zh) * 2011-08-11 2017-10-11 佳能股份有限公司 壓印設備及物件製造方法
TWI623411B (zh) * 2015-02-13 2018-05-11 佳能股份有限公司 模具、壓印設備、以及製造物品的方法
US10409156B2 (en) 2015-02-13 2019-09-10 Canon Kabushiki Kaisha Mold, imprint apparatus, and method of manufacturing article

Also Published As

Publication number Publication date
WO2010008508A1 (en) 2010-01-21
JP2011528506A (ja) 2011-11-17
KR20110046438A (ko) 2011-05-04
US20100015270A1 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
TW201018570A (en) Inner cavity system for nano-imprint lithography
JP5998236B2 (ja) 引っ込んだ支持特徴部を有するチャッキングシステム
JP5543502B2 (ja) 固化したインプリンティング材料からモールドを分離する方法
TWI336422B (en) Method for expelling gas positioned between a substrate and a mold
KR101121015B1 (ko) 모세관 임프린트 기술
TWI730185B (zh) 結構的微影製造
CN106030756B (zh) 用于局部区域压印的非对称模板形状调节
TWI576229B (zh) 奈米壓印之安全分離技術
TWI408045B (zh) 壓印微影術製程中之分離技術
EP2635419B1 (en) Patterning of non-convex shaped nanostructures
US9122148B2 (en) Master template replication
US20100320645A1 (en) Dual zone template chuck
JP2022523615A (ja) 液滴パターンを生成する方法、液滴パターンを有する膜を成形するためのシステム、および、液滴パターンを使って物品を製造する方法
JP5852123B2 (ja) 多段インプリントによるハイコントラストな整列マーク
KR20130020425A (ko) 스탬프와 그 제조방법 및 스탬프를 이용한 임프린트 방법
TW200907562A (en) Template having a silicon nitride, silicon carbide or silicon oxynitride film
JP2016119372A (ja) インプリント用のモールド、およびインプリント方法
TWI421162B (zh) 母模板複製方法
JP2023171283A (ja) 平坦化プロセス、装置、及び物品製造方法