TW201010525A - Plasma treatment apparatus and method for plasma-assisted treatment of substrates - Google Patents

Plasma treatment apparatus and method for plasma-assisted treatment of substrates Download PDF

Info

Publication number
TW201010525A
TW201010525A TW098114699A TW98114699A TW201010525A TW 201010525 A TW201010525 A TW 201010525A TW 098114699 A TW098114699 A TW 098114699A TW 98114699 A TW98114699 A TW 98114699A TW 201010525 A TW201010525 A TW 201010525A
Authority
TW
Taiwan
Prior art keywords
electrode
substrate
plasma
space
container
Prior art date
Application number
TW098114699A
Other languages
English (en)
Inventor
Ulrich Kroll
Boris Legradic
Original Assignee
Oerlikon Trading Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oerlikon Trading Ag filed Critical Oerlikon Trading Ag
Publication of TW201010525A publication Critical patent/TW201010525A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)

Description

.201010525 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種電漿處理設備或系統,以及一種製 造基板之方法’甚至藉由電漿輔助表面處理之方式來處理 大型基板。因此,可瞭解到該“大型(large)”基板至少爲 lm 大小。更可瞭解到 電獎輔助處理(plasma assisted treatment)”爲電漿增強化學氣相沈積、pecvd、離子蝕刻 或電漿輔助反應蝕刻、電漿輔助加熱,及藉由藉助於電漿 0 所產生之離子、電子或中子而露出待處理表面所實現之其 它電漿輔助表面處理。 因此,本發明係提出此等利用Rf操作電漿之設備及方 法。 因此,可通盤瞭解本說明書及申請專利範圍之“ Rf” 爲具有ΙΟΟΚΗζ與200MHz之間的頻率之AC信號,特別是 具有13.56MHz的產業共用頻率以及其比較高階的諧波。 【先前技術】 © 於所屬技術領域中廣爲大眾所知悉之基板處理爲所謂 的平行板反應器以及其方法。原則上,在平行板反應器於 二極體或三極體之架構中,Rf電漿係於二個伸出的平行電 極間產生。待處理基板配置在該等二電漿產生電極之一者 上,或者,可能電氣偏壓(三極體架構)而浸入該等二平行 電極間所產生的Rf電漿中。事實上,在此類平行板反應器 中,該基板係直接露出或浸入強烈的Rf電漿中而造成臨界 控制問題,例如,關於離子撞擊,發生較大駐波(特別是其 變得更加臨界),其中認爲此類反應器爲處理大基板及甚至 201010525 非常大的基板之表面的對象。本發明係根據不同方法,亦 即,產生”遠端電漿(remote plasma)”的方法。 通盤瞭解本說明書及申請專利範圍之”遠端電漿源” 下,真空容器內的電漿源用來處理基板,藉以產生獨立於 該基板之電氣操作的電漿,以及該基板係設在遠離所產生 電漿的該容器中。因此,藉由遠端電漿源執行基板處理係 完全不同於藉由平行電極Rf電漿設備來處理此基板。在稍 後說明中,該基板係直接露出或浸入一強烈的Rf電漿中, ❹ 並且該基板之電氣操作可有效地影響該電漿。 通盤瞭解本說明書及申請專利範圍下,“直流隔離(DC isolating)”可用作關於DC電子信號之電氣隔離。 更具體言之,此一遠端電漿源方法由美國專利US 7 090 705 得知。根據此參考,其提供一種由第一導電電極所組成之遠端 電漿源,於其上裝配互相平行且具有各自的間隔桿之電氣 DC隔離材料。遠離該第一電極之該等桿的表面係以具有多 條導電材料來覆蓋作爲第二電極。Rf信號係施加於該等二 電極之間且於該等桿之間的空間中產生電漿。製程氣體係 送入該DC隔離材料之該等桿間的空間中。該基板係支撐 於離該雙電極遠端電漿源頗遠的真空容器內的基板固持器 上,以及其在施加Rf信號以於該雙電極之間產生電漿時, 大體上不會受到Rf信號的影響,反之亦然。 藉此遠端電獎源,電獎條(plasma stripes)係沿著該等 平行桿之長度大小來產生。沿著且取決於此大小,可輕易 地產生駐波,其不會影響分配於該基板上之處理。此外, 201010525 該條狀電漿圖案可能造成該基板上之各個電漿處理圖案 化。此外,該遠端雙電極電漿源係製造上極複雜且昂貴, 這在擬實施大的或非常大的遠端電漿源以處理大的或非常 大的基板情況下,將成爲嚴重的缺失。此外,依待執行之 處理製程而定,例如’在極活潑之反應氣體的協助下,顯 然該遠端電漿源須接受維修以以清潔或替換。由於該參考 遠端電漿源之高度複雜的結構,故此維修耗時且昂貴。此 於運用至製造方法時會造成較高的製造成本。 Φ 【發明内容】 本發明之目的係改善該提出之參照所教示之設備及方 法所帶來的至少部分缺失。 此依照本發明之電漿處理設備即可實現,其中本發明 包含真空容器、用於待處理基板的基板固持器以及遠端電 漿源。該遠端電漿源包含以二維方式延伸之第一板狀導電 電極及以二維方式延伸之第二導電電極。該等第一及第二 電極係大體上平行且互相DC電氣隔離。該等第一及第二 〇 電極更可操作連接至Rf電氣產生器,其中該Rf電氣產生 器以一預定頻率來產生一 Rf信號並且具有一預定功率。該 第一電極具有一任意露出於該基板固持器之表面及具有一 背面,以及更包含沿著其表面範圍所分配之通孔樣式。 依照本發明所示之上述該等設備及依照本發明之製造 方法係特別適用以操作及製造具有至少1 m2或甚至至少 3m2之大尺寸之基板。故因此該等第一及第二電極之大小 具有至少大體上等於在各個基板固持器中將待處理或者將 被固持之各個基板的大小。 201010525 該第二電極具有一露出於該第一電極之背面的表面, 以及從那裡間隔一距離,及藉以於該第一電極之該背面與 露出該第二電極之該背面的表面間界定一空間。該遠端電 漿源更包含一氣體排出孔樣式,其鄰接於該空間中。規劃 該第一電極中之通孔之大小,以便於其中以該預定頻率 Rf、功率及一預定氣體壓力來建立電漿。此外,於該空間 中以該頻率Rf、功率及預定氣體壓力選擇用以界定該空間 之該間隔距離,以大體上防止電槳產生於該空間中。 Φ 因此,依照本發明之設備提供遠端電漿源,其事實上 係大致由二個平行且間隔開之大'尺寸電極所組成。規劃該 等電極間的空間的尺寸以防止電漿於其中產生》不同的 是,局部電漿係經由該電極(從將待處理之基板看過去)而 在通孔中產生,並沉積於一基板固持器上。該氣體或氣體 混合物係施加至該空間,其中該氣體或氣體混合物爲貴重 氣體及/或反應氣體或其氣體混合物。當此氣體或氣體混合 物離開該空間而經由該等通孔朝向該基板時,所指通孔中 〇 之該局部電漿與所指之工作氣體或氣體混合物作強烈地交 互作用。 不同或局部電漿沿著該遠端電漿源之表面分配而產 生,其中該遠端電漿源可爲大的或實質上非常大的電漿 源’該事實容許在出現此種駐波時,補償沿著該基板之處 理均一性(treatment homogeneity)的負面影響。藉由適當選 擇沿著相對且遠離該基板之一個電極表面的通孔分配,及/ 或沿著該電極板之大小的厚度外形,及/或該通孔之形狀與 尺寸,及/或所考量沿著其軸向大小之該等開口的形狀及結 201010525 構,其變得可準確地補償該影響(若駐波在該基板之處理分 配上發生時,其可能提高)。 此外,該基板於該基板固持器上電氣操作可被大體上 選擇獨立於該電漿產生,以及反之,其大體上不會影響此 電漿產生。該基板沒有遭受該局部電漿作用,但遭受經激 發之電漿氣體或氣體混合物之結果的作用,亦即,遭受來 自該遠端電漿源之離子、電子或中子作用。例如,若如期 望使該基板遭受電漿藉以得到比該局部電漿還低強度之電 0 漿,即可電性操作該基板以建立此期望效應。 於根據本發明之該設備的一個實施例中,該第二電極 沿著該容器之部分側壁而相鄰延展,且與該容器之部分側 壁隔開。因此,建立該第二電極與該部分側壁之間的另一 空間,其可被用來作爲氣體分配室。此外,在此實施例中, 該第二電極可被操作在Rf電位,然而該第一電極可被操作 在參考電位,最好在接地電位且另一較佳者爲與該容器之 側壁同電位。此具有顯著優點,亦即該基板及各個基板固 〇 持器事實上係藉由全爲等電位之導電側壁及表面來圍住。 在剛提及之該設備之另一實施例中,該容器的部分側 壁與該第二電極事實上界定另一空間。至少一個氣體注入 線透過該側壁之該部位而設置,且鄰接於該另一空間中。 另一氣體注入線之樣式係從該另一空間透過該第二電極而 設置,其中該第二電極係鄰接於該第一及第二電極之間的 一個空間中。因此,於第二延伸電極與該容器之部分側壁 之間的該另一空間係用來作爲氣體分配等化室,其中來自 所饋入氣體之亂流與壓力差係被均一化(homogenized)。 201010525 在對本發明設備之一般性考量下,形成第一電極之背 部(係設有該等通孔)與該第二電極之間的空間。藉由分別 建立限定上述空間之建一個或二個上述表面之表面,促成 該局部電漿於上述通孔中之點火(ignition)。因此,在一實 施例中,建立個別電極之上述表面的至少一者。藉此,在 一實施例中,大體上呈現與該第一電極中之該等通孔的至 少若干對齊,在界定該空間之該等表面之至少一者上,最 好在該第二電極處側向形成結構。在一實施例中,此等結 φ 構可藉由對齊或大體上與該通孔對齊之盲孔(blind holes) 來形成。 從上述該實施例觀之,其中另一空間經由氣體注入線 而與該第一及第二電極之間的空間相通,該等注入線可藉 由設置其注入口至所對齊或大體上與該第一電極中之至少 部分通孔對齊的該空間,而被附加利用以增強電漿放電點 火。顯然地,於一般態樣下,該等電極表面之至少一者的 該表面結構(其界定該空間)最好應提供該通孔附近或與該 〇 通孔對齊之不穩定表面,例如轉角或邊緣。 在依照本發明之設備的一個實施例中,該第一電極以 及最好與該容器之部分側壁係電氣連接至一參考電位,最 好連接至接地電位。在此實施例中,其事實上目前該遠端 電漿源之較佳第二電極顯然可以Rf電位來操作。當此第二 電極設在該容器之部分側壁與該第一電極間時,電氣DC 隔離一方面係必須在該第一與第二電極之間,且另一方面 在該第二電極與該容器之部分側壁之間。從該第二電極與 該容器各個部分側壁之間的空間作爲氣體分配等化室觀 -10- 201010525 之,於該部分側壁與該第二電極之間的該DC隔離 由配置相鄰於該第二電極且沿著該第二電極之周圍 或框形DC隔離間隔來實現。因此,該第二電極與 側壁之間的空間變成大體上包封爲一腔室。相同的 術藉由將該電氣DC隔離調整爲沿著及相鄰於該第 二電極周圍所設置之環形或框形間隔構件而可被用 一空間上。藉由在該等環形或框形DC隔離間隔之 者上施加不同的開口,可控制沿著該各個電極與容 φ 壁之周圍所產生的氣流,以便處理可能影響基板處 之均一性的周邊效應(border effects)。 在另一實施例中,該第二電極係電性連接至 位,最好連接至接地電位,以及較佳爲連接至與該 側壁相同的電位。因此,在此實施例中,自該基板 上之基板所觀察到的電極係操作在Rf電位。 此實施例在該容器之側壁與該第二電極之間不 電壓之態樣下是有助益的。因此,氣體供應與分配 φ 利用該第二電極與該側壁之各個部分之間的空間作 分配等化室來實現,而不用考慮任何電氣DC隔離 產生。 在該實施例之另一實施例中’該第二電極係藉 器之側壁本身來實現。此進一步簡化該遠端電漿源 架構。 在依照本發明之設備的另一實施例中’其可 所述之任何實施例,該基板固持器可操作連接至 產生器或電氣裝配爲浮接。一方面藉由選擇各自 ,可藉 的環形 該部分 包封技 一及第 在該第 至少一 器之側 理分配 參考電 容器之 固持器 具有Rf 可藉由 爲氣體 及電漿 由該容 之整體 合目前 壓電氣 壓或者 -11- 201010525 浮接操作該基板固持器,針對各個想用輔助電漿 用之基板的操作係大體上以獨立於該遠端電漿源 產生的方式來控制,然而顯然地也取決於施加至 漿源之該等二電極之間的空間上之該工作氣體或 物。 因此,藉由適當地電氣偏壓該基板,例如可; 沈積製程中控制離子撞擊或可選擇將遭受低強度 板,其中該低強度電漿係不同於在該第一電極之 Φ 中所產生的強烈局部電漿放電。 必須進一步指出,該第一電極在其整個大小 要爲固定厚度。其絕對可調整第一電極板具有期 形,例如,具有沿著其外圍增加厚度之外形。此 的通孔並因此在相關的通孔中明確選擇電漿放電 到在所選擇之該等通孔之一或全部中產生中: (hollow cathode-type)放電。 依照本發明之製造方法,特別適用以製造 φ lm2大小之基板,該基板具有藉由真空電漿輔助 理之表面,包含將基板施加至真空容器中之步驟 該基板之該真空容器中產生許多局部電漿放電, 沿著該基板大小來分配。此藉由具有多個面向該 著該基板延伸之通孔的第一電極,以及藉由一間 大體上平行延伸並與該第一電極間隔開之第二電 更藉由施加一電子電漿放電信號Rf至該第一 k 來實現。在產生該電漿前,於該容器中建立一預 力。將氣體注入或饋入該第一與第二電極之間的 處理所適 中之電漿 該遠端電 氣體混合 £ PECVD 電漿之基 該等通孔 上未必需 望厚度外 造成較長 特性,直 空陰極型 具有至少 處理所處 。在遠離 其遠離並 基板並沿 隔距離而 極,以及 第二電極 定真空壓 空間中。 -12- 201010525 藉以選擇該第一與第二電極間之間隔距離,以便至少大體 上防止電漿在該空間中產生。選擇並調整該等通孔以便分 別於其中建立局部電漿放電。因此,依照本發明之製造方 法可產生使用簡便且高效率之遠端電漿源,其中在該基板 上之駐波影響可獲補償以及其可被擴大處理大型或甚至大 於3m2之超大型基板而不用太多支出。此主要因爲事實上 該來源係由二片電極板所組成,且其中一片具有通孔樣 式,該等通孔可輕易地藉由例如雷射切割來實現。 φ 在依照本發明之方法的其中一個模式中,該基板係電 性浮接操作或者以選定的偏壓電位來電氣偏壓。 因此,在依照本發明之方法的一個操作模式中,藉由 適當選擇該基板之電氣偏壓,可大體上管理該基板如何與 該遠端電漿源交互作用。例如,藉由施加一負DC偏壓, 可建立該基板之軟離子(soft ion)撞擊。另一方面,藉由另 一選擇可讓該基板遭受且事實上爲浸入低強度電漿中,但 仍沒有受到該遠端電漿源所產生之高強度局部電漿放電。 φ 此外,藉由沿著該電極之大小選擇該第一電極中該等 通孔之二維分配,及/或藉由適當地選擇該第一電極之厚度 外形以及該通孔之長度大小,及/或經由該第一電極沿著其 軸上範圍所考量之通孔外形,及/或其開口之形狀及/或其 大小,可補償可能在該基板處理時所發生駐波之負面影響》 在依照本發明之方法的另一模式中,該第一電極係操 作在參考電位,最好操作在與該容器之側壁等電位,較佳 爲操作在接地電位。如本發明之設備所述之內容,此操作 模式之其中一個顯著優點爲該基板係被均操作在相同電位 -13- 201010525 或至少非操作在Rf電位之側壁及表面所包圍。 在依照本發明之方法的另一模式中,於該第二電極與 該容器之部分側壁之間建立一另一空間。氣體或氣體混合 物係藉由至少一條注入線之配置而經由該容器之部分側壁 饋入或注入該另一空間中,且最後經由該第二電極中所分 配之饋送孔樣式,自此另一空間饋入該等二電極之間的一 個空間中。 如上所述及依照本發明之方法,於該第一電極之該等 φ 通孔中的該局部電漿放電之點火可藉由建立該第一及第二 電極之至少一個表面來改善,其中該表面鄰近或界定該空 間。因此,爲了使氣體經由該第二電極而送入饋送孔以便 自該另一空間遞送氣體或氣體混合物至一空間中,可將此 饋送孔設置相對於且大體上對齊該等通孔(其中該等局部 電漿放電將被點火)。關於用以改善點火之該等表面之更普 遍認知,參照本發明設備之上下文中的各個解說。 如前所述,該空間及/或另一空間可藉由設在各處及鄰 φ 近於其各周圍之各個環形或框形間隔而被大體上包封住。 該等電極之周圍及該容器之側壁間所期望控制之側向氣流 可藉由各個側向通孔經由此等間隔環或框來建立,以代替 藉由不同支柱(posts)僅利用機械方式及/或電氣方式來將 該第二電極與該容器之側壁分開,以及將該第一電極與該 第二電極分開之此等環形或框形間隔。 在依照本發明方法之另一操作模式中,該第二電極係 操作在參考電位,最好爲操作在與該容器之側壁等電位, 較佳爲操作在接地電位。 • 14- 201010525 如上所述’事實上,該第二電極非操作在Rf電位,且 其最好爲操作在與該容器之側壁相同電位,其在調整該氣 體饋送及分配系統上具有顯著優點。 因此,在該模式之另一實施例中,該容器之側壁係直 接用來作爲該遠端電漿源之第二電極。 在依照本發明方法之一操作模式中,該基板係藉由未 反應蝕刻、反應電漿輔助蝕刻、電漿增強化學氣相沈積 (PECVD)、藉由在許多不同遠端電漿放電中所產生之離子 φ 及/或電子及/或中子之交互作用而固化表面之任一者來處 理。在依照本發明方法之另一實施例中,其可結合任何上 述操作模式,該經製造之基板具有至少lm2,甚至至少3m2 之大小。 【實施方式】 第1圖顯示依照本發明之電漿處理設備及依照本發明 製造方法之操作原理的示意圖。該設備包含真空容器1、 於該容器1中之基板固持器3以及元件符號爲5之遠端電 Ο 漿源。該遠端電漿源5包含第一電極7S,其爲板狀或片狀, 且可具有至少lm2之大小。該遠端電漿源5更包含一背部 電極7b,其大體上平行沿著該第一電極78延伸且與該第一 電極間隔開》該電極7b相對於該第一電極78爲DC隔離。 經由該真空容器1之側壁設置一 Rf饋線,其以元件符號9 來圖示且一 Rf產生器11係與其連接。該真空容器1係藉 由幫浦配置(pumping arrangement)13來疏散。設置機器手 臂及負載固定配置(沒有顯示)以裝載及卸載基板固持器3 上之基板15或者待同時處理之複數基板。若基板15具有 -15- 201010525 有 同 定 、 極 Rf 在 對 實 氣 極 隔 在 的 如 上 續 此 處 表 上之基板15或者待同時處理之複數基板。若基板15具 特定最小大小,則將可了解到二塊或多塊不同基板將被 時處理且均以該最小大小來界定基板表面。
Rf功率於數百KHz到數百MHz之範圍內具有一預 頻率f〇,最好爲13.56MHz,或者其諧波,例如27MHz 40MHz、54MHz等,以及頻率較高者係施加至該等二電 7b 與 7S 。 該等二電極7(5與7S界定一空間17。雖然事實上高 φ 功率係施加該等二電極7b與7S之間,但至少可實質防止 該空間17中產生電漿。此係藉由在該等電極7s、7b之相 表面17b、17s之間建立一小於黑色間隔距離之間隔dk來 現,其中該黑色間隔距離係用於該經選擇的選擇頻率f〇 該Rf功率PRF以及在該空間17中的操作期間將建立之 體或氣體混合物壓力P。該間隔dk將被建立在該等電 7b、7S之表面間,以防止電漿於該空間1 7中點火’該間 dk可根據該Rf功率之預定頻率f〇、該Rf功率Prf以及 〇 該設備之操作期間將被使用之氣體或氣體混合物之壓力 實驗而被估測出。 該第一電極7S具有通孔19樣式。該等通孔可具有 第1圖中所示之類似圓柱之形狀。然而,其可沿著其軸 範圍充分地調整所考量之不同外形’例如不連續地或連 地調整改變直徑,以及其也可進一步調整爲橢圓形等。 外,該樣式不需均沿著該電極7s之範圍而有規律的在每 表面單元上設置相同數量的通孔。爲了補償在該基板之 理上可能發生駐波之影響,可適當選擇該電極7s之每一 -16- 201010525 大小而變化。 此外,再參照第1圖,該電極7S之大小不一定具有固 定厚度,而可推知一具體經調整之外形。此亦明顯影響該 通孔19之軸上大小,且其可爲一個建立以補償駐波效應之 參數。 在沿著該電極78之大小而依照期望圖案所分配之該等 通孔19之每一者上,由於該Rf信號施加至該等二電極7b 及7S上,故產生局部電漿放電21。 0 如上所述,該等通孔19 一般爲圓形》然而,該(等)通 孔19之精確形狀或式樣,其大小(例如,在爲圓形之情況 下之直徑)、其沿著電極7S之表面的分配(其甚至可能或可 能爲例如,沿著該電極7S之周圍的密集分配,用以例如處 理周邊效應及/或駐波)係藉由實驗及特定的預定製程條件 (關於Rf頻率、Rf功率及氣體或氣體混合物壓力)來估測, 以便沿著基板 15表面得出處理密度之期望均一性 (homogeneity)。 φ 氣體注入孔23之樣式係鄰接於該空間17中。其與氣體 槽配置24相通。待施加之該氣體或氣體混合物係取決於將 於在該基板15上待執行之處理製程來選定。例如,貴重氣 體(如氬)可被注入該基板15之未反應離子蝕刻表面,及/ 或藉由電漿氣炬(torches)21(例如,在諸如低離子撞擊處之 反應蝕刻或電漿增強化學氣相沈積,PECVD)而活化之反應 氣體》 依照第2圖,第1圖之該電極7b係操作在Rf電位, 然而該電極7S係操作在參考電位φ。,習慣上爲操作在接地 -17- 201010525 電位。該容器1之側壁係習慣上也操作在參考電位Φ。。在 此情況下,相同Rf電位差或Rf電壓將出現在該電極7b之 背面與該容器1之側壁的內表面之間作爲跨於空間17兩端 之電壓。因此,爲了防止電漿於該電極7b2背面與該容器 1之側壁的內表面之間點火,調整第2圖中標示爲dw之該 電極7b之背面27!>與該容器1之側壁的內表面27,之間的 間隔,以防止電漿在該空間27中放電。 將於下述說明,空間27中之氣體壓力不一定等於該空 0 間17中之氣體壓力,且二氣體壓力可與在處理第1圖中所 示之間隔T中所建立之氣體壓力不同。然而,關於防止在 該空間27中產生電漿,作與關於在空間17中之預防方式 相同的考量。原則上,各個間隔必須小於在各個氣體或氣 體混合物壓力處之黑色間隔距離,且取決於Rf頻率及功 率。如前所述,若期望實現該間隔至最大可能値,即可決 定性的在該等空間17與27上不藉由實驗而建立該最大間 隔,藉以仍可避免該電漿之產生。 〇 顯然地,若其不期望使用最大可能間隔,則該等間隔 可在可能發生電漿產生之臨界間隔下被好好地選出。 然而,若此等空間藉由各個柵極(grid)構件而被細分, 其中該等柵極構件可降低界定該等空間之該等表面之間的 整體電容,則所有界定空間(承載於Rf功率及於其上將避 免電漿產生)的表面距離可被作得較大。因此,參照第1圖, 浮接柵極可被導入該等電極與7b之間,其降低表面17b 與表面17s之間的電容並因此容許擴大該距離dk» 第3圖以類似於第2圖之圖式顯示進給第1圖所指之 -18- 201010525 氣體或氣體混合物之一個可能性,其中該氣體或氣體混合 物係均勻地分配或預定分配至該空間17中。因此,於電極 7b與該容器1之側壁的內表面27i之間的該電氣DC隔離 25係被認爲是一種包封該第二空間27之隔離環或框。此 空間27可因而藉由一條或大於一條之氣體注入線29被用 來作爲氣體分配空間,其中施加該期望氣體或氣體混合物。 如於第3圖中所示,該電極7b係設有通孔29之期望 樣式,經由該通孔,該氣體或氣體混合物係從空間27注入 0 至空間17。藉由個別選擇該分配以及該等通孔29之各個 直徑與長度,一期望氣體流入分配可被選入空間17中。再 參照第3圖,該空間17也藉由一絕緣間隔環或框31沿著 電極71)與7S之周圍被包封住。 須注意的是,通孔2 9a係與該等通孔1 9之一沿軸對 齊,以便於鄰近該通孔19建立具有一轉角部之表面結構(開 口 198之噴水孔)。此有助於或可改善該局部電漿之點火。 第3圖係顯示,在改善點火之相同目的下,大體上與該等 〇 通孔19之一對齊之肓孔3〇。 第2及3圖之實施例係目前較佳實施例》 第4圖係類似於第2圖之圖示。在此,該電極7b係操 作在參考電位,其等於該容器1之側壁之電位,習慣上係 操作在接地電位。具有電漿氣炬開口 19之電極7S係操作 在Rf電位。因此,也類似於第3圖之空間27的空間27a 可被建立爲氣體分配室。當第4圖之空間以等電位而被側 壁圍住時,不需要注意其中電漿之點火。同樣考量第1圖 中關於空間17與間隔dk。如第4圖中所示,該空間17可 -19- 201010525 如同第3圖之實施例中藉由DC絕緣間隔環或框31來均等 包封》第4圖之實施例顯示構造上變體及氣體饋送技術之 多樣變化,因爲電極7b與容器1之側壁係操作在相同電位 上。因此,依照第5圖之實施例,該容器1之側壁可被直 接用來作爲電極7b»在此實施例中,以一期望氣體分配至 該空間17的氣體供應可被輕易地藉由各個分配腔室及該 容器1側壁內及/或外側之分配管(沒有顯示在第5圖中)來 實現。 〇 截至目前爲此,在本發明之電漿處理設備中設有一寬 天線,藉由該等二個平行延伸之電極7b與7S所特別建立之 遠端電漿源5,其中該等電極設有阻擋電漿間隔以及在電 極7S中設有於其中產生局部電漿放電之該等通孔。 依照第3或5圖之實施例,必須提到以第5圖中之25、 31、25’及25’’取代所設置之絕緣間隔環或框,其係設置該 等各個空間27、17、27a之實體_包封(capsulation),如第3 及4圖中元件符號33所示通過此等隔離間隔環或框之各個 © 側向氣體分配孔可被設置用來沿著該等各個電極7b、78之 周圍及沿著該容器1之側壁,建立一期望及可被控制的側 向氣流’以便考量到該區域上的周邊效應(包含受駐波所造 成之影響)》藉由沿著該等各個電極71)與7S之周圍以及該 容器1之側壁,裝配及分配不同間隔支柱,其甚至可期望 用以建立共同的間隔以及其中必要的共同DC隔離》 於通孔19中設有該等局部電漿放電之電極7s最好具 有如第1圖之厚度d7s : 1 mm $ d7s $ 15 mm。 -20- 201010525 因此,若d7s選在5與15mm(最好爲10mm)之間的範圍 內時,在該等通孔19中所產生之該局部電漿放電可進一步 爲中空陰極型放電。此外,該等通孔19接著造成一朝向該 基板固持器3與此基板15之明顯定向的氣體排出。在各個 長通孔19中之電漿強烈地與外流氣體互相作用。 相反地,若該電極7S之厚度d7s選在低於5mm或甚至 低於1 mm,則該電極7S變得非常輕且該等通孔1 9可十分 輕易地藉由雷射切割方式來實現。當該電極75具有至少 φ 1 m2或更大之大小時,間隔支柱在空間1 7中變爲必要,用 以支撐電極板。此等DC絕緣材料之間隔支柱可沿著電極 板之大小而設置分配,用以建立其穩定度。 該等電極7S中之通孔19具有1到30mm之直徑,最好 爲8到15mm,更佳爲10mme 觀察上述例示之各個實施例之電氣情況,可明顯看 出,在第2及3圖之實施例中,依照第1圖之該真空容器 中的間隔T(其中存有該具有基板15之基板固持器3)係大 〇 體上藉由操作於參考電位之導電表面來鄰接。因此,該基 板固持器3特別不受施加於該遠端電漿源5之Rf功率的影 響。 在依照第4及5圖之實施例中,該電極78係操作在Rf 電位。沿著其電極7S之周圍係鄰接於該容器1之側壁,其 中該容器之側壁係操作於參考電位,習慣上係操作在接地 電位。因此,在此實施例中,可能發生的是,在該等通孔 19中之局部電漿放電展開爲一比較薄的電漿層,其均沿著 指向該間隔T之該電極7S之表面,並因此朝向第5圖中元 -21 - 201010525 件符號35所示之基板固持器3。儘管如此,此電漿層仍無 法展開作爲該間隔T中之高強度電漿並僅殘留在該電極表 面上。因此,同樣在此實施例中,考量到該高導電電漿層 35,該基板固持器3與固持於其上之基板15可大體上被操 作而不受施加至該遠端電漿源之Rf功率之影響。 因此,在上述二個實施例中,具有電極715與7S之該二 電極電漿產生源爲關於該基板固持器3之遠端電漿源。在 該基板固持器3與其基板15上之電氣情況可被大體上設定 φ 爲與施加至該遠端電漿產生源5之電氣Rf功率無關。如前 所述,關於第4圖之通孔33,沿著該遠端電漿源5之周圍 的氣流藉由控制朝向第1圖之間隔Τ的氣流,可補償沿著 基板15而可能造成不均一處理分配之周邊效應。此也可例 如藉由沿著電極7S之周圍(具有不同於電極7S之中心區域 的分配)設置通孔1 9來實現,例如,藉由沿著該周圍之每 平方公分設置更多通孔。或者,沿著該電極7S之周圍的該 等通孔在直徑上可不同於設在較接近該電極7S之中央的通 〇 孔,例如,具有較大的直徑。 此外,如前述在該基板之處理上所可能提高駐波之負 面影響可藉由一般適當調整在電極7S上之局部電漿放電之 分配及/或其各自特性來補償。因此,藉由適當選擇電極7s 之表面區域每單位通孔之數量,改變該電極之大小,及/或 此等開口之直徑大小及/或經由電極7S沿著其軸上範圍之 此等開口之幾何形狀大小,可達成駐波效應的補償。 因此,以製造目的來說,該等通孔19係最好爲圓形’ 但其形狀也可作改變,以及也可沿著電極7S之大小作改 -22- 201010525 變,用以選擇性地影響沿著該基板15之處理分配。 在討論及說明該容器1中遠端電漿源5之實現及功能 後,基板固持器3與其基板15之電氣操作將作說明並再參 照第1圖》 如第1圖中所示之示意圖,藉由”選項”單元40,該 基板固持器3可藉如下選項操作:(a)被以電氣浮動操作, 或者(b)被選擇操作在參考電位φ。上,或者(c)被選擇操作在 —選定的DC電位上。依照選項(d),該基板固持器係基於 φ 數KHz到數MHz的AC電位範圍並包含脈衝偏壓。依照選 項(e),藉由DC加上AC來執行偏壓。如示意圖所示,所 有偏壓源最好爲可調整的。 因此,除非作電氣浮接操作/否則施加DC偏壓及/或 AC電位至該基板固持器3,其中可選擇性地控制基板之離 子撞擊及電子載入,用以建立該大區域基板15之期望表面 處理。由於不同於局部電漿放電之該遠端電漿源5沿著該 來源表面展開,故可能發生駐波之效應會被準確地補償。 φ 藉由電氣饋送該Rf電位操作電極,亦即,第2及3圖之電 極,依照第4及5圖之實施例的電極,在大於一個饋送點 P處,例如,在二個或四個沿著該電極之周圍及/或中央平 坦分配之饋送點,可額外地減弱沿著該大型表面基板15而 負面影響電漿處理之均一性的駐波。 如上所述,目前依照本發明之較佳實施例及本發明之 製造方法的操作係依照第2及3圖來說明,特別是關於電 極7S之電氣操作。目前所實現之實施例係操作在40MHz 之Rf頻率f。,而在空間27與空間1 7處之間隔係選.在1到 -23- 201010525 5mm之間,特別爲約3mme 如第1圖中所不’在該電極7S之表面與基板固持器3 或基板15之表面之間的距離dss爲介於8至60mm之間, 最好取決於所使用之壓力、頻率、氣體及其氣流而介於1〇 至40mm之間。 藉由上述製造電漿處理設備大型表面基板15,其表面 係藉由電漿輔助處理而被齊次處理。此處理可例如爲表面 蝕刻、對其反應或不反應、材料沈積、不用移除或附加材 φ 料之表面處理、或藉由改變在該基板之表面上之組成物、 加熱處理等。 如上述該設備及藉由此設備所實現製造基板之方法, 可轉基板擴充至具有大於3m2之大小或甚至非常大的基 板。因此,所述該遠端電獎源爲十分簡易的架構,其容許 所述擴充至此等來源,而在製造此遠端電漿源之操作時不 會太昂貴及複雜。 一方面該經處理之基板表面大體上與該等遠端源處所 〇 產生之電漿分離,另一方面駐波問題係被有效地補償,並 且可實現施加高強度相互作用之氣體以及藉由該遠端電漿 源產生電漿。於將待處理之表面上的中子' 離子及電子可 藉由該基板偏壓來作大體上選擇及控制,主要與電漿產生 無關。倂入該設備中之該遠端電漿源爲非常簡單的架構。 由於整個設備之簡單結構,故即使多處Rf饋送至該遠端電 漿源,及/或偏壓該基板或許可期望改善表面處理之均一 性,及/或避免駐波效應亦可被輕易地完成。 【圖式簡單說明】 -24- 201010525 第1圖爲依照本發明用以操作本發明之製造方法藉以 顯示本發明之原理之設備的示意圖; 第2圖爲以剖面示意圖表示第1圖之部分設備,其顯 示依照本發明設備之一實施例用以操作本發明方法之一個 模式; 第3圖爲類似於第2圖中具有氣體分配系統以操作本 發明方法之一模式的另一實施例之表示; 第4圖爲依照第2或3圖表示本發明設備依照本發明 φ 方法而操作一模式之另一實施例; 第5圖爲類似於第2至4圖中本發明設備依照本發明 方法而操作另一模式之另一實施例之表示。 【主要元件符號說明】 1 容器 3 基板固持器 5 遠端電漿源 7S 第一電極 7b 背部電極 9 Rf饋線 11 Rf產生器 13 幫浦配置 15 基板 17 空間 -25- 201010525 17 17b' 17 19、 19 2 1 24 25 、 25’ 、 25,, 27
27b 27! 29、29 30 3 1 3 3
fo
P R F
Φ 〇 T dk d 空間 電極之相對表面 通孔 局部電漿放電 氣體槽配置 DC電氣隔離 空間 電極之背面 容器側壁之內表面 通孔 盲孔 DC絕緣間隔環 側向氣體分配孔 選項單元 預定頻率 Rf功率 參考電位 間隔 間隔 電極之厚度 -26-

Claims (1)

  1. 201010525 七、申請專利範圍: 1. —種電漿處理設備,包含: -一真空容器; -待處理基板用之基板固持器; -遠端電漿源; 其中該遠端電漿源包含: *第一導電電極,以平板狀二維方式延展; ♦第二導電電極,以二維方式延展; φ *該第一及該第二電極係大體上平行且彼此電氣DC隔 離; *該第一及該第二電極係操作連接至Rf電氣產生器, 該Rf電氣產生器以一預定頻率及一預定功率產生一 Rf信號; *該第一電極具有一任意露出於該基板固持器之表面 及具有一背面,以及更包含沿著其表面範圍所分配之 通孔樣式; φ *該第二電極具有一露出於該第一電極之背面的表 面,以及從那裡間隔一距離,藉以於該第一電極之背 面與該第二電極所露出之該表面間界定一空間; *注入孔樣式,鄰接於該空間中; *該等通孔可被規劃尺寸以於其中以該預定頻率Rf、 該預定功率以及一預定氣體壓力來建立電漿; *在該空間內以該預定頻率Rf、該預定功率及預定氣 體壓力使得該距離大體上防止該空間內之電漿產生。 2. 如申請專利範圍第1項之設備,其中該第二電極沿著該 -27- 201010525 容器之內壁鄰接延展並與該容器之內壁間隔開。 3. 如申請專利範圍第2項之設備,其中該內壁及該第二電 極界定另一空間,其中至少一氣體注入線經由該內壁而 設置且鄰接於該另一空間中,另一氣體注入線之樣式係 經由該第二電極自該另一空間設置且鄰接於該空間中。 4. 如申請專利範圍第1至3項中任一項之設備,其中該第 一電極可較佳地也與該容器之內壁電氣連接至參考電 位,最好爲連接至接地電位。 ❿ 5.如申請專利範圍第1至3項中任一項之設備,其中該第 二電極係電氣連接至參考電位,較佳爲連接至接地電 位,最好爲連接至相同於該容器之內壁的電位》 6. 如申請專利範圍第5項之設備,其中該第二電極係藉由 該容器之內壁之部分來實現》 7. 如申請專利範圍第1至6項中任一項之設備,其中該基 板固持器係可操作連接至偏壓電氣產生器或電氣裝配爲 浮接。 φ 8.如申請專利範圍第1至7項中任一項之設備,其中該基 板固持器具有以至少lm2或甚至至少3m2的範圍來固持 基板之範圍。 9. 一種製造具有由真空電漿輔助處理所處理之表面的基板 之方法,包含下列步驟: 將該基板施加至一真空容器中; 於遠離該基板處產生大量局部電漿放電,該電漿放電係 藉由具有面向該基板之通孔並沿著該基板分配的第一電 極’沿著大體上平行於該第一電極且藉由一間隔距離與 -28- 201010525 該第一電極間隔開所延展之第二電極來分配,以及對該 第一及第二電極施加一電漿放電信號Rf; 在產生該電漿前,於該容器中建立一預定真空壓力; 將氣體饋送入該第一及該第二電極間的空間中; 選擇該間隔距離以防止電漿於該空間中產生;以及 選擇該通孔以分別於其中建立電漿放電。 10.如申請專利範圍第9項之方法,其中更包含將一偏壓電 位施加於該基板或者以電氣浮接方式操作該基板。 0 11.如申請專利範圍第9或10項之方法,其中包含將該第 一電極操作於參考電位,較佳爲與該容器之內壁等電 位,最好爲操作於接地電位。 12.如申請專利範圍第9至11項中任一項之方法,其中包 含於該第二電極與該容器之內壁間建立另一空間,藉由 至少一個注入線之配置,經由該內壁饋送該氣體,並饋 送入該另一空間中,且自該另一空間經由該第二電極中 所分配之注入孔樣式而饋送入該空間中。 Φ 13.如申請專利範圍第9、10或12項之方法,其中將該第 二電極操作於一參考電位,較佳爲操作於與該容器之內 壁等電位,最好爲操作於接地電位。 14. 如申請專利範圍第13項之方法,其中利用該容器之內 壁作爲該第二電極。 15. 如申請專利範圍第9至14項中任一項之方法,其中該 基板係藉由未反應触刻、反應電獎輔助蝕刻、電獎增強 化學氣相沈積、藉由離子及/或電子及/或中子之交互作 用而固化該基板之表面之任一者來處理。 -29- 201010525 16.如申請專利範圍第9至15項中任一項之方法,其中該 基板具有至少lm2或甚至至少3m2之範圍。
    -30-
TW098114699A 2008-05-02 2009-05-04 Plasma treatment apparatus and method for plasma-assisted treatment of substrates TW201010525A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US4989908P 2008-05-02 2008-05-02

Publications (1)

Publication Number Publication Date
TW201010525A true TW201010525A (en) 2010-03-01

Family

ID=40792878

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098114699A TW201010525A (en) 2008-05-02 2009-05-04 Plasma treatment apparatus and method for plasma-assisted treatment of substrates

Country Status (5)

Country Link
US (2) US20110272099A1 (zh)
EP (2) EP2283510B1 (zh)
CN (2) CN102017056B (zh)
TW (1) TW201010525A (zh)
WO (2) WO2009133189A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI576911B (zh) * 2012-01-20 2017-04-01 Tokyo Electron Ltd 電漿處理裝置
TWI760438B (zh) * 2017-02-14 2022-04-11 美商應用材料股份有限公司 遠端電漿流動性cvd腔室的方法及設備

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
US8834732B2 (en) * 2008-10-02 2014-09-16 Varian Semiconductor Equipment Associates, Inc. Plasma uniformity control using biased array
CN102110571B (zh) * 2009-12-23 2015-01-14 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体加工装置
EP2537398B1 (en) * 2010-02-17 2018-01-31 Vision Dynamics Holding B.V. Device and method for generating a plasma discharge for patterning the surface of a substrate
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
CN102333409B (zh) * 2011-06-17 2013-01-02 深圳市华星光电技术有限公司 大气压等离子装置及其制造方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9738976B2 (en) * 2013-02-27 2017-08-22 Ioxus, Inc. Energy storage device assembly
WO2015048501A2 (en) * 2013-09-26 2015-04-02 Tel Solar Ag Process, film, and apparatus for top cell for a pv device
US10580623B2 (en) * 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6483259B2 (ja) * 2015-06-29 2019-03-13 株式会社アルバック 基板処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108140550B (zh) 2015-10-08 2022-10-14 应用材料公司 具有减少的背侧等离子体点火的喷淋头
US10596653B2 (en) * 2015-10-20 2020-03-24 Richard Keeton Cutting water table and methods of use
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US20180059289A1 (en) * 2016-09-01 2018-03-01 Trion Technology Apparatus for plasma processing on optical surfaces and methods of manufacturing and use thereof
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
JP7069159B2 (ja) * 2016-12-27 2022-05-17 エヴァテック・アーゲー 高周波容量結合エッチング反応器
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
GB201709446D0 (en) 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) * 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2022519663A (ja) * 2019-02-06 2022-03-24 エヴァテック・アーゲー イオンを生成する方法および装置
CN110092356B (zh) * 2019-05-22 2021-04-02 浙江大学 气体流动控制的沿面介质阻挡放电臭氧发生装置及方法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4601807A (en) * 1985-01-17 1986-07-22 International Business Machines Corporation Reactor for plasma desmear of high aspect ratio hole
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US6001431A (en) * 1992-12-28 1999-12-14 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating a magnetic recording medium
DE4301189C2 (de) * 1993-01-19 2000-12-14 Leybold Ag Vorrichtung zum Beschichten von Substraten
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
US6137231A (en) * 1996-09-10 2000-10-24 The Regents Of The University Of California Constricted glow discharge plasma source
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5872426A (en) * 1997-03-18 1999-02-16 Stevens Institute Of Technology Glow plasma discharge device having electrode covered with perforated dielectric
DE19755902C1 (de) * 1997-12-08 1999-05-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zum Vergüten von Oberflächen
US6261406B1 (en) * 1999-01-11 2001-07-17 Lsi Logic Corporation Confinement device for use in dry etching of substrate surface and method of dry etching a wafer surface
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
JP2000260598A (ja) * 1999-03-12 2000-09-22 Sharp Corp プラズマ発生装置
SE516722C2 (sv) * 1999-04-28 2002-02-19 Hana Barankova Förfarande och apparat för plasmabehandling av gas
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
KR20080103609A (ko) * 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
AU2002354775A1 (en) * 2001-07-02 2003-01-21 Plasmasol Corporation A novel electrode for use with atmospheric pressure plasma emitter apparatus and method for using the same
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
DE112004000057B4 (de) 2003-05-27 2008-09-25 Matsushita Electric Works, Ltd., Kadoma Plasmabehandlungsapparat und Plasmabehandlungsverfahren
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20070037408A1 (en) * 2005-08-10 2007-02-15 Hitachi Metals, Ltd. Method and apparatus for plasma processing
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
TWI318417B (en) * 2006-11-03 2009-12-11 Ind Tech Res Inst Hollow-type cathode electricity discharging apparatus
US20100218721A1 (en) * 2007-09-05 2010-09-02 Atomic Energy Council - Institute Of Nuclear Energy Research Hollow-cathode discharge apparatus for plasma-based processing
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI576911B (zh) * 2012-01-20 2017-04-01 Tokyo Electron Ltd 電漿處理裝置
TWI760438B (zh) * 2017-02-14 2022-04-11 美商應用材料股份有限公司 遠端電漿流動性cvd腔室的方法及設備

Also Published As

Publication number Publication date
EP2274764A1 (en) 2011-01-19
EP2283510B1 (en) 2013-01-23
WO2009133189A1 (en) 2009-11-05
CN102017056B (zh) 2013-11-20
EP2283510A1 (en) 2011-02-16
CN102017056A (zh) 2011-04-13
US8518284B2 (en) 2013-08-27
US20110272099A1 (en) 2011-11-10
CN102017057A (zh) 2011-04-13
WO2009133193A1 (en) 2009-11-05
US20110049102A1 (en) 2011-03-03
CN102017057B (zh) 2012-11-28

Similar Documents

Publication Publication Date Title
TW201010525A (en) Plasma treatment apparatus and method for plasma-assisted treatment of substrates
CN107408486B (zh) 用于循环与选择性材料移除与蚀刻的处理腔室
TWI720010B (zh) 利用二次電漿佈植的電漿蝕刻系統及方法
TWI427669B (zh) 使用中空陰極電漿處理大面積基板之裝置
KR101696333B1 (ko) 감소된 공구 풋 프린트를 갖는, 균일한 박막 증착을 위한 평행판 반응기
US9095038B2 (en) ICP source design for plasma uniformity and efficiency enhancement
TW201933417A (zh) 具有多個嵌入式電極的基板支撐件
JP2018037668A (ja) デュアルチャンバ構成のパルスプラズマチャンバ
TW202333294A (zh) 用於將電壓耦接到基板的部份的系統
US20200090972A1 (en) Semiconductor substrate supports with embedded rf shield
JP2015225856A (ja) ガス分配装置およびこれを備える基板処理装置
JP2002246368A (ja) ウェハー表面径方向均一プラズマを用いるウェハー処理システム
JP2008047915A (ja) 表面処理装置
US6380684B1 (en) Plasma generating apparatus and semiconductor manufacturing method
TW473556B (en) Planer gas introducing unit of CCP reactor
JP2015130325A (ja) 誘電体窓、アンテナ、及びプラズマ処理装置
WO2017120241A1 (en) Atomic layer etching system with remote plasma source and dc electrode
KR20090130907A (ko) 혼합형 플라즈마 반응기
KR101279353B1 (ko) 플라즈마 발생장치
TW202205377A (zh) 用於遠端電漿處理的對稱中空陰極電極及放電模式的方法及設備
JP7220944B2 (ja) プラズマを収容したラジカル源
JP5038769B2 (ja) プラズマ処理装置
US11043362B2 (en) Plasma processing apparatuses including multiple electron sources
JP2007258570A (ja) プラズマ処理装置
JP5691740B2 (ja) プラズマ処理装置