TW200937604A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
TW200937604A
TW200937604A TW097144489A TW97144489A TW200937604A TW 200937604 A TW200937604 A TW 200937604A TW 097144489 A TW097144489 A TW 097144489A TW 97144489 A TW97144489 A TW 97144489A TW 200937604 A TW200937604 A TW 200937604A
Authority
TW
Taiwan
Prior art keywords
film
insulating film
wiring
manganese oxide
oxide film
Prior art date
Application number
TW097144489A
Other languages
English (en)
Other versions
TWI423412B (zh
Inventor
Hiroshi Kudo
Nobuyuki Ohtsuka
Masaki Haneda
Tamotsu Owada
Original Assignee
Fujitsu Microelectronics Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Microelectronics Ltd filed Critical Fujitsu Microelectronics Ltd
Publication of TW200937604A publication Critical patent/TW200937604A/zh
Application granted granted Critical
Publication of TWI423412B publication Critical patent/TWI423412B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

200937604 六、發明說明: 【發明所屬之技術領域】 參考相關說明 5 ❹ 10 15 Ο 20 此申請案基於及主張提出申請於2007年12月5日之日 本專利申請案第2007-314729號的優先權,該文件的整個内 容併入此處作為參考。 發明領域 此處討論之實施例的一面向係針對一種具有多層佈線 結構的半導體裝置及製造此等半導體裝置的方法。 【先前技術3 發明背景 於現今製造之半導體積體電路裝置中,在一共同基材 上各含有大量數目的半導體元件’而且使用多層佈線結構 以將此等半導體元件彼此連接起來。 於一多層佈線結構中’其中埋有用以構成佈線層之佈 線圖案的灸層絕緣膜被叠層化。而且,形成於夾層絕緣膜 中的通孔接觸連接上佈線層與下佈線層。 特別地,就最近的特精細、極高速半導體裝置而言, 低;|電$數膜(所謂的低k膜)被用作此等夾層絕緣膜以減少 多層佈線結構中發生的訊號遲延(例如RC遲延)的問題。而 且’低阻抗銅(Cu)圖案被用作佈線圖案。 於Cu互連圖案被埋入低介電常數夾層絕緣膜中之此種 類型的多層佈線結構中,所欲的是經由乾蝕刻圖案化Cu 層。通常用以乾蝕刻圖案化Cu層的方法為所謂的金屬鑲嵌 3 200937604 法製程或雙重金屬鑲嵌法製程,其中佈線槽或通孔被預先 切割通過夾層絕緣膜。這些佈線槽或通孔被€11層填充,其 後,在夹層絕緣膜上的留存的不必要Cu層部分以化學機械 抛光(CMP)法移除。 5 於此時,Cu佈線圖案與夾層絕緣膜的任何直接地接 觸,將造成Cu原子擴散進入夾層絕緣膜中,如此引起短路 及其他缺陷。為避免短路及其他缺陷,通常於用以形成 佈線圖案之佈線槽或通孔的侧壁及底部上覆蓋導電擴散障 礙物(亦即,障礙金屬膜),然後以Cu層塗覆此障礙金屬膜。 10 被用作此種障礙金屬膜的材料之例包括高熔點金屬,諸如鈕 (Ta)、鈦(Ti)及鎢(W),以及這些高熔點金屬的導電氮化物。 然而,在基於現行45-nm技術或更新技術的超極高速半 導體裝置中,切割通過夾層絕緣膜而形成的佈線槽或通孔 的尺寸已經隨著微型化而顯著地變小。為了達成佈線阻抗 15 所欲的下降’同時使用此等高介電常數障礙金屬膜,必須 的是’覆蓋此種超細佈線槽或通孔各個障礙金屬膜應該要 儘可能地細而且無縫地覆蓋佈線槽或通孔的側壁與底部。 解決此狀況所提出的技術為以銅-猛合金層(Cu_Mn合 金層)直接覆蓋切割通過夾層絕緣膜的佈線槽或通孔。於此 20 技術中,Cu-Mn合金層所含的Μη原子與夾層絕緣膜所含的
Si及氧原子反應,因此具有2 nm至3 nm厚度範圍及]V[nSixC) 組成的锰-矽氧化物層形成於Cu-Mn合金層内作為擴散障礙 膜。 然而,已經知道,於此技術中,内部形成的錳_矽氧化 200937604 物層含有過低濃度的錳(Μη)以致於層對Cu膜的黏著性為有 問題地薄弱。 結果,另一種障礙金屬膜結構被提出,其中Cu_Mn合 金層與基於高熔點金屬(諸如Ta4Ti)的障礙金屬臈結合。 5 此等結合Cu_Mn合金層與基於高熔點金屬(諸如^或^) 之障礙金屬膜的障礙金屬結構經由下述的順序提供較佳之 增強抵抗氧化的特性。 Φ 最近,使用低介電常數多孔膜作為構成夾層絕緣膜的 低介電常數材料已經被提出以防止訊號遲延,例如RC遲 10 延。然而,很不幸地,此等低介電常數多孔性材料具有低 密度,因此於製造過程中很容易被電漿損害,而且損壞的 膜常會將濕氣保留於其表面上及内部中。據此,形成於此 等低介電常數多孔膜上的障礙金屬膜很容易地為留存於内 部的濕氣所氧化,如此造成障礙金屬膜的不良性質,而且 15 對於Cum線層或接道的黏著性也變得不好。 ❹ 於另一方面,上述的Cu-Mn合金層含有Μη原子,若該 層被用作種層’則這些Μη原子與障礙金屬膜的氧化部分反 應’藉以確保障礙金屬膜用作擴散障礙所必要的特性,而 且對於Cu佈線層或接道依然維持高黏著性。 20 相關的資訊可於以下的專利文件中找到:專利文獻1 : 曰本專利早期公開案第2007-142236號;專利文獻2:日本 專利早期公開案第2005-277390號。 【潑^明内容】 發明概要 5 200937604 依據一實施例之一面向,一種半導體裝置包括:形成 於一半導體基材上方的一第一絕緣膜;形成於該第一絕緣 膜中的一第一開口;沿著該第一開口之内壁形成的一第一 氧化錳膜· ,埋入於該第一開口中的一第一銅佈線;及形成 5 於該第一銅佈線上之一包括碳的第二氧化猛媒。 圖式簡單說明 存在於建構及操作細節之這些以及其他面向與接著將 會顯明的優點,以下將完整地描述及聲明,參考附隨圖式 的說明形成描述及聲明的一部分,其中於整篇中相似標號 10 指稱相似部件。 第1A-1F圖係用以解釋習知技藝的圖形; 第2圖係用以解釋習知技藝中問題的圖形; 第3A-3F圖係用以解釋另一習知技藝的圖形; 第4圖顯示依據實施例1之半導體裝置的構形; 15 第5A_5L圖顯示依據實施例1之半導體裝置的製造過 程; 第6圖係用以解釋發生於依據實施例丨之過程中之反應 的圖形; 第7圖係用以解釋實施例丨及2之有利效果的圖形; 20 第8A圖顯示作為控制組之標準裝置試驗的構形以說明 實施例1的有利效果; 第8B圖顯示用於說明實施例丨之有利效果之裝置的構 形; 第9A-9K圖顯示依據實施例2之半導體裝置的製造過 200937604 程; 第10圖顯示用以說明實施例2之有利效果之裝置的構 形; 第11圖為說明實施例2之有利效果的另一圖形;及 5 第12圖顯示用以說明實施例2之有利效果之裝置的構 形。 【實施方式3 較佳實施例之詳細說明 第1A至1F圖為呈現形成aCu佈線圖案過程的圖形。 10 於第1A圖中,由甲基倍半矽氧烷(MSQ)膜組成之二氧 化矽膜12覆蓋形成於矽基材上之絕緣膜11,矽基材未顯示 於圖式中。 然後,如第1B圖所示,穿過二氧化矽膜12切割形成對 應所欲佈線圖案的佈線槽12T。 15 其後,如第1C圖所示,形成由高熔點金屬(諸如Ta)或 其導電氮化物(諸如TaN、TiN或WN)組成的障礙金屬膜 13BM以塗覆二氧化矽膜12的頂部與佈線槽12T側壁及底 部。 於此第1C圖所示結構中,Cu-Mn合金層13CM也形成於 20 障礙金屬膜13BM上以具有吻合障礙金屬膜13BM的橫截形 狀。 更且,Cu層13形成於Cu-Mn合金層13CM上以填充佈線 槽12T,如第1C圖所示。 然後,施加CMP以拋光Cu層13、Cu-Mn合金層13CM及 7 200937604 存在其間的障礙金屬膜13BM直到二氧化矽膜12的表面暴 露。此步驟造成第1D圖所示的結構,其中佈線槽12T以Cu 佈線圖案13P填充。 其後’如第1E圖所示’另一由MSQ膜組成的二氧化石夕 5 膜14形成於第1D圖所示的結構上,然後第ιέ圖所示的結構 在一給定溫度下加熱’例如400°C ’以提供第1F圖所示的結 構。因此,包含於Cu-Mn合金層13CM中的Μη原子被轉送至 Cu佈線圖案13Ρ的表面,且該轉送的Μη原子與存在於二氧 化矽膜14中的氧及Si原子反應,藉以形成氧化錳膜 10 13MOx,其於Cu佈線圖案13P的表面上具有MnSixOy的組 成。 此過程可排除SiN膜或其他類型之具有高介電常數之 #刻停止膜的使用,這些钱刻停止膜藉已知方法被置於絕 緣膜12與14之間且被期待可以進一步地降低Cu佈線圖案 15 13P的寄生電容。 應注意者係存在於Cu佈線圖案13P及障礙金屬膜13BM 之間的Cu-Mn合金層13CM釋放Μη原子,並且此Μη原子的 轉送完全地模糊了 Cu-Mn合金層13CM與Cu佈線圖案13Ρ之 間的分界。 20 含有第1F圖所示之Cu佈線圖案13P的佈線結構,其中 的氧化猛膜13MOx就作為擴散障礙而言可能具有不完足的 表現。例如,Cu佈線圖案13P側靠侧地形成,如第2圖所示, 此可能於其等之間產生一電位差’使得從一 Cu佈線圖案 13P1釋放的Cu離子會擴散進入另一Cu佈線圖案13P2中,如 200937604 此導致短路。 然而,cu佈線圖案13P1&13P2的表面,而非頂部表 面,塗覆有障礙金屬膜i3BM’因此可以防止從以佈線圖案 中擴散Cu原子。 5 此外’上述适些拍了一種藉著以障礙膜(諸如SiCN膜) 覆蓋如第3A至3D圖所示之氧化輯13Μ〇χ,而彌補上述氧 化賴13Μ〇χ作為擴散障礙之衫足表現的技術。應注音 ❹ 者係上述所提及之第3Α至犯圖的組件係參考先前說明; 使用之元件編號而編號以避免重複。 1〇 第从圖所示之結構相等於第1D圖所示者,因此經由第 1Α至1C騎述之步驟形成。於第3Β圖中,與先前描述之二 — 12具有㈣錢她成的二氧切賴形成於: 3Α圖所示的結構上。然後,此結構與第_所示相同之方 式在溫度大約4GG°C下加熱,以形成覆蓋前述Cu佈線圖案 15 13p表面的氧化錳膜13ΜΟχ。 、 Q 其後,如第3C圖所示,二氧化矽膜15與其下方之部分 的二氧化賴12藉祕刻或電雜刻移除直到氧化 13MOx暴露。 於此步驟中,报難於一暴露氧化錳膜13Μ〇χ的同時即 2〇知止濕蝕刻或電漿蝕刻。暴露氧化錳膜13ΜΟχ的整個表面 需要更進一步的蝕刻。所以,於第3C圖所示結構中,支持 氧化錳膜13ΜΟΧ之〇!佈線圖案13p的上部分也被暴露以從 絕緣膜12突出。 然後,如第3D圖所示,由SiCN膜組成的擴散障礙膜 9 200937604 形成於二氧化矽膜12上以覆蓋第3C圖中該突出之Cu佈線 圖案13P的上部分。其後,下一個絕緣膜17形成於此擴散 障礙膜16上,如第3E圖所示。 應注意者係Cu佈線圖案13P的上部分從絕緣膜12的表 5 面突出,如第3D圖所示,據此擴散障礙膜16具有一突出 16P。此造成絕緣膜17具有一突出17P,如第3E圖所示。 其後’與前述相同方式施用金屬鑲嵌法過程至絕緣膜 17的内側以形成為障礙金屬膜18BM支持的Cu佈線圖案18P 且以氧化錳膜19塗覆,如第3F圖所示。 10 然而’於此種結構中,每個上Cu佈線圖案18P延伸以橫 過下Cu佈線圖案13P所作成的凸塊。此使得上Cu佈線圖案 18P及下Cu佈線圖案13P容易形成短路。 第4圖顯示依據實施例1之半導體裝置的構形,第5A至 5M圖與第6圖顯示半導體裝置的製造過程。 15 於第4圖中,元素區域41A及41B以元素隔離結構411界 定於矽基材41上。於元素區域41A上,閘絕緣膜42A被置於 矽基材41上,且聚矽或相似物製成的閘電極43A形成於其 上。而於元素區域41B上’閘絕緣膜42B被置於矽基材41 上,且聚矽或相似物製成的閘電極43B形成於其上。 20 閘電極43A具有以絕緣膜塗覆的側壁,以及藉由於矽基 材41之元素區域41A中為離子植入,於此閘電極43A之兩侧 形成有擴散區域41a及41b。相似地,閘電極43B也具有塗覆 有絕緣膜的侧壁,以及藉由於矽基材41之元素區域41B中為 離子植入’於此閘電極43B之兩側形成有擴散區域41c及 200937604 41d。因此’電晶體Trl及Tr2分別形成於元素區域41A及41B 中。 5 ❹ 10 15 ❿ 20 閘電極43A及43B以形成於矽基材41上之絕緣膜43覆 蓋,且多層佈線結構20形成於此絕緣膜43上。此多層佈線 結構20將於以下詳述。 如第4圖所示,多層佈線結構2〇具有形成於絕緣膜43 上之所謂的低k夾層絕緣膜22。此低k夾層絕緣膜22的例子 可包括具有介電常數2.6的MSQ膜、碳氫聚合物膜(諸如 SiLK或多孔性SiLK(陶氏化學公司的註冊商標)),與由電漿 化學蒸氣沉積(CVD)產生的SiOC膜。 夾層絕緣膜22塗覆有含碳絕緣膜24,含碳絕緣膜24含 有碳(C)及石夕(Si) ’且其厚度範圍為i5nm至30nm,而且較佳 地由SiC膜或SiCN膜組成。如稍後所述,此含碳絕緣膜24 更包括氧(0)。 於含碳絕緣膜24上,形成相等於上述低k夾層絕緣膜22 的低k夾層絕緣膜25以具有例如250nm至300nm範圍的厚 度。此低k夾層絕緣膜25塗覆有含碳(以及矽與氧)絕緣膜 27,其相等於上述含碳絕緣膜24且具有15 nm至30 nm範圍 的厚度。 更且,於含碳絕緣膜27上,形成相等於上述低k夾層絕 緣膜22及25的低k夹層絕緣膜28以具有例如250nm至3OOnm 範圍的厚度。此低k夾層絕緣膜28也塗覆有含碳(以及矽與 氧)絕緣膜30,其相等於上述含碳絕緣膜24及27,且具有 15nm至30nm範圍的厚度。 11 200937604 佈線槽22T1及22T2穿過夾層絕緣膜22而切割形成,其 等分別填充有Cu佈線圖案23P及23Q。這些佈線槽22T1及 22T2的侧壁各塗覆有障礙金屬膜23BM,該障礙金屬膜 23BM由高熔點金屬(諸如Ta、Ti或W)或其等之導電氮化物 5 (諸如TaN、TiN或WN)組成。嚴格而言,形容詞“金屬的,’不 應被用於描述由導電氮化物組成的障礙金屬膜23ΒΜ»然 而,於本實施例中,此種障礙膜符合慣例地也被稱作“障礙 金屬膜’’。此時,Cu佈線圖案23P的頂部覆蓋有包括碳的氧 化錳膜23MOx,其具有MnSixOyCz(x = 0.3至 1.0 ; y = 0.75至 10 3.0; z = 0.2至0.7)的組成,且沿著含碳絕緣膜24以大約1 nm 至5nm範圍的厚度形成。此等氧化錳膜23MOx也形成於Cu 佈線圖案23Q的頂部上。此氧化錳膜23MOx的更詳細描述將 於以下提供。 如稍後所述,Cu佈線圖案23P及障礙金屬膜23BM之間 15 的分界由氧化錳膜23MOy組成,該氧化錳膜23MOy以1 nm 至5 nm範圍的厚度形成且具有不同於氧化猛膜23MOx的組 成。此氧化錳膜23MOy不包括或包括極少的碳及si,而且, 若存在的話,包括於氧化錳膜中之這些元素的濃度實質上 低於其等在氧化錳膜23MOx中的濃度。例如,氧化錳膜 20 23M〇y具有MnOpCq(p = 0.5 至 1.5 ; q = 0_〇1 至〇.〇5 ; q < z) 的組成。 穿過夾層絕緣膜25而切割形成佈線槽25T1、25T2及 25T3 ’這些佈線槽25T1、25T2及25T3分別填充有Cu佈線圖 案26P、26Q及26R。Cu佈線圖案26P的下部分形成Cu接道 200937604 26V,其延伸穿過氧化錳膜23ΜΟχ以與Cu佈線圖案23P電氣 接觸。 佈線槽25T1、25T2及25T3的側壁各塗覆有相等於障礙 金屬膜23BM的障礙金屬膜26BM。於Cu佈線圖案26P的頂 5 部,沿著含碳絕緣膜27形成相等於氧化錳膜23MOx的氧化 猛膜26MOx,以具有大約lnm至5nm範圍的厚度。此等氧化 錳膜26MOx也形成於Cu佈線圖案26Q及26R的頂部上。
Cu佈線圖案26P及障礙金屬膜26BM之間的分界由相等 ❹ 於氧化錳膜23MOy的氧化錳膜26MOy組成,且形成為具有1 10 nm至5nm範圍的厚度。 佈線槽28T1及28T2通過夾層絕緣膜28切割形成,且這 些佈線槽28T1及28T2分別填充有Cu佈線圖案29P及29Q«Cu 佈線圖案29P下部分形成Cu接道29V,其延伸穿過氧化錳膜 26MOx以與Cu佈線圖案26P電氣接觸。 15 佈線槽28T1及28T2的側壁各塗覆有相等於障礙金屬膜 23BM及26BM的障礙金屬膜29B1V^於Cu佈線圖案29P的頂 部上,沿著含碳絕緣膜30形成相等於氧化錳膜23MOx及 26MOx的氧化錳膜29MOx,以具有大約lnm至5nm範圍的 厚度。此等氧化錳膜29MOx也形成於Cu佈線圖案29Q的頂 20 部上。
Cu佈線圖案29P及障礙金屬膜29BM之間的分界由相等 於氧化猛膜23MOy及26MOy的氧化鐘膜29MOy組成,且其 形成為具有lnm至5nm範圍的厚度。 於具有如上述構形之多層佈線結構20的半導體裝置40 13 200937604 中,分別形成於Cu佈線圖案23P及23Q、26P至26R、及29P 與29Q上的各個絕緣膜23MOx、26MOx及29MOx如上所述 包括實質數量的碳,且此減少了膜内原子間的距離,藉以 提供較強的化學鍵結。因此’這些絕緣膜作為優良的擴散 5 障礙且有效地防止構成佈線圖案之Cu原子擴散進入低介電 常數夾層絕緣膜中,藉以避免短路及其他問題。 其次’參考第5A至5L圖與第6圖描述半導體裝置40的 製造過程,特別是形成多層佈線結構的過程。 於第5A圖中,絕緣膜43形成於矽基材41上以覆蓋電晶 10 體丁^及1^,然後夹層絕緣膜22形成於絕緣膜43上。此夾 層絕緣膜22的例子可包括MSQ膜或其他藉由塗覆方法形成 之以Si〇2為主的低介電常數膜,碳氫聚合物膜(諸如SiLK或
多孔性SiLK(陶氏化學公司的註冊商標)),與由電漿CVD 產生的SiOC膜。 15 於下一步中,佈線槽22T1切割穿過夾層絕緣膜22,如 第5B圖所示。雖然未顯示於圖式中,佈線槽22T2也切割穿 過夾層絕緣膜22。 然後’如第5C圖所示,藉由在室溫下濺鍍Ta膜、Ti膜 或W膜’障礙金屬膜23BM形成於夾層絕緣膜22上,以具有 吻合佈線槽22T1的橫截形狀及具有2nm至5nm的厚度範 園°為了形成此障礙金屬膜23BM,可以於氮大氣下使用導 電氮化物膜(諸如TaN膜、TiN膜或WN膜)的反應性濺鍍。濺 錢所需之基材溫度大約400。(:。雖然未顯示於圖式中,此等 障礙金屬膜23BM也形成於佈線槽22T2上。 200937604 於第5C圖所示步驟中,Cu-Mn合金層23CM也藉於室溫 下濺艘Cu-Mn合金而形成於障礙金屬膜23BM上。此Cu-Mn 合金層23CM包括濃度介於0.2至1.0原子百分比範圍的Μη 原子,或較佳地濃度等於或小於0.5原子百分比的Μη原子, 5 並具有吻合佈線槽22Τ1的橫截形狀,且具有5nm至30nm範 圍的厚度。雖然未顯示於圖式中,此等Cu-Mn合金層23CM 也形成於佈線槽22T2上。 第5C圖也包括Cu層23 ’其藉由種層形成及電鍍形成於 ❹
Cu-Mn合金層23CM上以填充佈線槽22T1與佈線槽22T2(雖 1〇 然其未顯示於圖式中)。 其後,如第5D圖所示,Cu層23、與Cu-Mn合金層23CM 及形成於其間的障礙金屬膜23BM以CMP拋光直到夾層絕 緣膜22的表面暴露。此導致佈線槽22T1中Cu佈線圖案23P 的形成,與佈線槽22T2中Cu佈線圖案23Q的形成(雖然未顯 15 示於圖式中)。 〇 於此實施例中,得自第5〇圖的結構然後以具有1511111至 3〇mn厚度範圍的含碳絕緣膜24塗覆,如第5£圖所示。用於 此實施例的含碳絕緣膜24為從職,其藉著在基材温度為 例如350至4帆的範圍下,材料與不同材料之電聚cvd而 形成’該材料包括Si及C(諸如三甲基碎院⑸哪灿)),該 不同材料包括氮(諸如呢)。於形成含碳絕緣膜_過程中 氧被添加,使得整侧包括3至_子百纽濃度範圍的 於此第5E圖所示步驟期間,形成含碳絕緣膜24時所產 15 200937604 生的熱將存在於Cu-Mn合金層23CM中的Μη原子轉送至Cu 佈線圖案23P的表面,如第6圖所示。該轉送的子與含 奴絕緣膜24供應的Si、破及氡原子反應。因此,氧化猛膜 23MOx形成於〇1佈線圖案23P的表面,同時沿著含碳絕緣膜 5 24散佈。以此種方式形成的氧化猛膜23MOx具有MnSixOyCz 的組成,其包括組成參數X、y及Z。 氧化链膜23MOx係以相同方式確實地製備,而且藉著 能量色散X射線光譜術(EDX)分析。此分析發現組成參數兀 的範圍為0.3至1.0,y的範圍為〇_75至3 〇,2的範圍為〇2至 0 10 〇·7。更且,樣品結構的二次離子質譜(SIMS)也顯示此方法 可用於提供具有MnSixOyCz組成且散佈於SiCN及Cu-Mn膜 之間的氧化鐘膜,其中樣品結構係一塗覆有c;u膜的平坦 Cu-Mn膜,而該Cu膜然後以SiCN膜塗覆,且整個結構在溫 度400°C下加熱,其中該方法係SiCN膜接觸Cu_Mn膜而形 15 成。 第5E圖呈現的步驟也關於在與含碳絕緣膜24形成有關 的熱處理期間’從夾層絕緣膜22轉送少數氧原子通過障礙 金屬膜23BM至Cu佈線圖案23P。如第6圖所示,此種氧原子 與初始包括於Cu-Mn合金層23CM中的一些Μη原子反應,藉 20 以於障礙金屬膜23ΒΜ與Cu佈線圖案23Ρ之間產生另一氧化 猛膜23MOy。此氧化猛膜23MOy不包括或包括很少的碳及 Si ’而且若存在的話’此處包括之這些元素的濃度低於氧 化錳膜23MOx中的濃度。所以,以此方式產生的氧化錳膜 23MOy具有MnOpCq的組成,其中組成參數p的範圍為〇.5至 16 200937604 1.5,q的範圍為0.01至0.05,如前所述。應注意者係q小於z。 由於以作為部分Cu佈線圖案23P的Cu層取代’當形成 此種氧化錳膜23MOx及23MOy時,原始Cu-Mn合金層23CM 減少,而且最後消失於第5E圖所示的步驟終點。 5 於第5F圖所示下一步驟中,第5E圖所示之結構為與夾 層絕緣膜22相同方式形成的夾層絕緣膜25所覆蓋。其後, 如第5G圖所示,佈線槽25T1及通孔25V1被切割以準備形成
Cu佈線圖案26P,且此暴露佈線槽25T1及通孔25V1之下的 Cu佈線圖案23P。同時,佈線槽25T2及25T3分別地切割通 1〇 過夾層絕緣膜25以準備形成Cu佈線圖案26Q及26R。
然後,如第5H圖所示,藉由於室溫下濺鍍Ta膜、Ti膜 或W膜,障礙金屬膜26BM形成於第5G圖所示的夾層絕緣膜 25上’以具有吻合佈線槽25T1的橫截形狀及具有2nm至5nm 的厚度範圍。為了形成此障礙金屬膜26BM,可以使用於氮 15 大氣下反應性濺鍍導電氮化物膜(諸如TaN膜、TiN膜或WN 膜)。濺錢所需之基材溫度為大約400。(:。雖然未顯示於圖 式中,此等障礙金屬膜26BM也形成於佈線槽25T2及25T3 上。 於第5H圖所示步驟中,藉由於室溫下濺鍍cu_Mn合 2〇金Cu_Mn合金層26CM也形成於障礙金屬膜26BM上。包 括0二至丨·0原子百分比濃度範圍的Μη原子的此Cu_Mn合金 層26CM具有吻合佈線槽25T1的橫截形狀,及具有5nm至 3〇nm的厚度範圍。雖然未顯示於圖#中,此等Cu-Mn合金 層26CM也形成於佈㈣2517及25了3上。 17 200937604 第5H圖也包括Cu層26,其藉由種層形成及電鍍形成於 Cu-Mn合金層26CM上以填充佈線槽25T1以及佈線槽25T2 及25T3(雖然未顯示於圖式中)。 其後,如第51圖所示’ Cu層26及形成於其下的Cu-Mn 5 合金層26CM及障礙金屬膜26BM以CMP拋光直到夾層絕緣 膜25表面暴露。此造成佈線槽25T1中之Cu佈線圖案26P 及,雖然未顯示於圖式中,佈線槽25T2及25T3中之Cu佈線 圖案26Q及26R分別地形成。 於此實施例中,第51圖所得之結構然後以具有15 nm至 10 30 nm之厚度範圍的含碳絕緣膜27塗覆,如第5J圖所示。用 於此實施例的含碳絕緣膜27為SiCN膜,其藉由在基材溫度 例如350至400°C範圍下,電漿CVD包括i及C之材料(諸如三 曱基矽烷(SiH(CH3)3))以及包括說(諸如NH3)之不同材料而 形成。氧添加於含碳絕緣膜27形成的過程中,使得整個膜 15 包括3至18原子百分比範圍的氧濃度。 於此第5J圖所示之步驟的期間,含碳絕緣膜27形成所 生的熱轉送存在於Cu-Mn合金層26CM中的Μη原子至Cu佈 線圖案26P的表面,如前第6圖所述者。該轉送的Mn原子 與含碳絕緣膜27所供應的Si、碳及氧原子反應。因此,具 20 有MnSix〇y〇成的氧化錳膜26MOx形成於Cu佈線圖案 26P的表面上,同時以與氧化錳膜23MOx相同的方式沿著含 碳絕緣膜27散佈。 第5J圖所示之步驟也關於在與形成含碳絕緣膜27有關 的熱處理期間,將少數氧原子從夾層絕緣膜25通過障礙金 18 200937604 5 ❹ 10 15 ❿ 20 屬膜26BM轉送至Cu佈線圖案26P。如前第6圖所述者,此氧 原子與初始包括於Cu-Mn合金層26CM的一些Μη原子反 應,藉以於障礙金屬膜26ΒΜ及Cu佈線圖案26Ρ(接道26V) 之間以與氧化錳膜23MOy相同的方式產生另一氧化錳膜 26MOy。此氧化猛膜26MOy不包括或包括極少的碳及si, 而且若存在的話,這些包括於其中的元素濃度低於包括於 氧化猛膜26MOx中的濃度。 於此案例中’當此種氧化錳膜26MOx及26MOy形成 時,原始Cu-Mn合金層26CM也減少,而且最終在第5J圖所 示步驟的終點消失。 於第5K圖所示下一步驟中,第5J圖所示的結構以與夾 層絕緣膜22及25相同方式形成的夹層絕緣膜28覆蓋。然 後,重複第5G至5J圖所示的步驟以通過夾層絕緣膜28括除 佈線槽28T1,來以障礙金屬膜29BM覆蓋佈線槽28T1,及然 後以Cu佈線圖案29P填充佈線槽28T1。其後,於Cu佈線圖 案29P的上部分中,氧化錳膜29Μ〇χ以與氧化錳膜23MOx 及26MOx相同方式沿著含碳絕緣膜30形成,該含碳絕緣膜 30以與含碳絕緣膜27相同的方式形成》在(:11佈線圖案29P 及障礙金屬膜29BM之間的分界中,氧化錳膜29MOy以與氧 化錳膜23MOy及26MOy相同的方式形成。 第7圖顯示時間依賴性介電崩潰試驗(TDDB試驗)的結 果’使用具有如上構形之多層佈線結構2〇的半導體裝置40 執行上述試驗。 於第7圖中,“(d)習知技藝”表示使用標準裝置所得的結 19 200937604 果’標準裝置係作為本實施例的控制組而進行試驗,且對 應前述第2圖的結構。此標準裝置構形如下:具有寬度7〇 nm 的各個Cu佈線圖案13P以70nm間隔排列;障礙金屬膜13BM 具有2 nm的厚度;而且氧化錳膜i3MOx具有20 nm的厚度及 5 MnSixOy的組成,其中組成參數X為〇_3及y為〇.5。 第7圖中之“(c)無Μη”表示使用另一標準裝置作為控制 組試驗所得的結果,該另一標準裝置的製備係排除了第5Α 至5Ε圖所示步驟中之Cu-Mn合金層23CM的形成,因此在如 第8A圖所示之Cu佈線圖案23P1及23P2的頂部上沒有氧化 10 錳膜23MOx。於此標準裝置中,本應形成於Cu圖案側壁及 底部上之氧化錳膜23MOy的形成被省略。應注意者係於第 8A圖中,上面已經描述過的組件以先前說明所使用之編號 為元件編號以避免重複。為了比較,此標準裝置的夾層絕 緣膜22及25與第2圖所示之夾層絕緣膜12及14具有相同組 15 成及相同厚度,而且此標準裝置的障礙金屬膜23BM與第2 圖所示的障礙金屬膜13BM具有相同組成及相同厚度。Cu 佈線圖案23P1及23P2的寬度及間隔與第2圖顯示之標準裝 置所使用者相同。 第7圖中的“ (a)實施例Γ ’表示使用對應前述實施例1之 2〇 裝置所得的結果,及因此裝置之Cu佈線圖案23P1及23P2形 成於第5A至5F圖所示步驟中,如第8B圖所示。應注意者係 於第8B圖中,上面已經描述過的組件以先前說明所使用之 編號為元件編號以避免重複。為了比較,此裝置的夾層絕 緣膜22及25與第2圖所示之夾層絕緣膜12及14具有相同組 200937604 成及相同厚度,而且此標準裝置的障礙金屬膜23BM與第2 圖所示的障礙金屬膜13BM具有相同組成及相同厚度。Cu 佈線圖案23P1及23P2的寬度及間隔與第2圖顯示之標準裝 置所使用者相同。 第7圖中的“(b)實施例2”表示表示使用實施例2所得的 結果,實施例2將稍後敘述。 ❹
於第7圖中所簡述的試驗中,於溫度丨%。。下,3〇乂電 壓被施加於各個裝置之相鄰的CU佈線圖案間,而且測定介 電崩潰所需的時間。 第7圖縱轴上的TDDB值已經就“(d)習知技藝”中標準 裝置顯示的值而標準化。圖形中清楚顯示,顯示於 “(c)WITHOUT Μη”之其他標準裝置的TDDB值與“(d)習知 技藝”中所顯示者幾乎相等。此意味著含碳膜24本身對於防 止Cu原子擴散不具能力或僅具有極小的能力。 於另一方面,對應實施例1及顯示於“(a)實施例1”之裝 置的TDDB值比作為試驗控制組之標準裝置的TDDB值高 超過12倍。 所以,就此可以說,於防止Cu原子擴散方面,含碳的 氧化猛膜23ΜΟχ特別展示出高度的表現能力,而且依據實 20 施例1所構形之具有此等氧化錳膜23ΜΟχ及其相等物(即氧 化猛膜26ΜΟχ及29ΜΟχ)的半導體裝置40可因此獲得較長 的使用年限。 第9Α至9Κ圖顯示依據實施例2之製造半導體裝置的過 程。應注意者係於第9Α至9Κ圖中,上面已經描述過的組件 21 200937604 以先前說明所使用之編號為元件編號以避免重複。 除了夾層絕緣膜22係對抗碳氫聚合物膜(諸如MSQ膜) 之蝕刻的低介電常數Si02膜之外,第9A圖對應第5D圖所示 結構。
5 於實施例2中,如第9B圖所示,含碳膜31形成於第9A 圖所示結構上以覆蓋層絕緣膜22的頂部及Cu佈線圖案23P 的頂部。此含碳膜31例如為商業上可購得之名為SiLK(陶氏 化學公司之註冊商標)的碳氫聚合物膜或包括碳(C)及氧的 類似膜,其對抗350至400。(:溫度範圍内的熱處理,及容許 10 對於存在其下方之夾層絕緣膜22的選擇性蝕刻。 然後,於純性大氣’或更典型地,氮大氣之下,在溫 度範圍350至400°C内加熱第9B圖所示結構。其後,其組成 係使用組成參數s及t (MnOsCt)而呈現的氧化猛膜33MOx被 形成以覆蓋Cu佈線圖案23P的頂部,同時沿著碳氫聚合物膜 15 31散佈。更特別地,由初始包括於Cu-Mn合金層23CM中之 Μη原子與由碳氫聚合物膜31供應之氧及碳原子反應形成 的氧化猛膜33ΜΟχ具有lnm至5nm的厚度範圍。以此等方式 形成之氧化錳膜33MOx的組成參數s及t分別為0.75至3.0及 0-2至0.7。 更且’夾層絕緣膜22釋放的氧原子穿過障礙金屬膜 23BM進入Cu佈線圖案23P中,然後與存在於cu-Mn合金層 23CM中的Μη原子反應,藉以產生散佈於Cu佈線圖案23P 及障礙金屬膜23BM之間的氧化錳膜33MOy。此氧化錳膜 33MOy具有以組成參數u&v(MnOuCv)呈現的組成,其中組 22 200937604 成參數v為零或任何小於t的數目(v<t)。 實施例2更關於第9D圖所示的步驟,其中含碳膜31經由 選擇性姓刻或灰化過程移除以較佳地暴露夾層絕緣膜22及 氧化錳膜33ΜΟχ。 5 ❹ 10 15 20 接著’如第9E圖所示,第9D圖所示之結構為由MSQ膜 或類似之氧化矽膜組成的下一夾層絕緣膜25覆蓋。其後, 佈線槽25T1及通孔25V1切割穿過夹層絕緣膜25,使得Cu佈 線圖案23P因而暴露,如第9F圖所示。 更且’如第9G圖所示,第9F圖中所見的夾層絕緣膜25 以障礙金屬膜26BM塗覆,及然後使用與第5H圖所述步驟相 同的方式以Cu-Mn合金膜26CM塗覆,使得塗覆層具有吻 合佈線槽25T1的橫截形狀。 第9G圖也包括Cu層26,其藉由種層形成及電鍍形成於 Cu-Mn合金層26CM上以填充佈線槽25T1及通孔25V1。 其後,如第9H圖所示,Cu層26及形成於其下方之Cu-Mn 合金層26CM及障礙金屬層26BM經CMP拋光直到夾層絕緣 膜25表面暴露。此造成佈線槽25T1中之Cu佈線圖案26P的 形成及,雖然未顯示於圖式中,佈線槽25T2及25T3中之Cu 佈線圖案26Q及26R的分別形成。 於此實施例中,第9H圖所得的結構然後以含碳膜32塗 覆,含碳膜32具有與含碳膜31相同的組成,及具有15 nm至 30nm的厚度範圍,如第91圖所示,以及然後此結構在溫度 範圍350至400。(:下加熱。此熱處理使得存在於Cu-Mn合金 層26CM中的Μη原子移向Cu佈線圖案26P的表面並與含碳 23 200937604 膜32供應的碳及氧原子反應,如前第6圖所述。因此,具有
前述Mn〇sCt組成的氧化猛膜36MOx形成於Cu佈線圖案26P 的表面,同時以與氧化猛膜33MOx相同的方式沿著含碳膜 32散佈。 5 第91圖呈現的步驟也牵涉於熱處理期間,從夾層絕緣 膜25轉送少數氧原子通過障礙金屬膜26BM至Cu佈線圖案 26P。如前第6圖所述,此種氧原子與初始包括於Cu-Mn合 金層26CM中的一些皿11原子反應,藉以與氧化錳膜33M〇y 相同的方式’在障礙金屬膜26BM及cu佈線圖案26P (接道 〇 10 26V)之間產生另一氧化錳膜36MOy。此氧化錳媒36MOy不 包括碳或其中之碳的濃度低於氧化錳膜36]νί〇χ*碳的濃 度。 於此案例中,當此種氧化錳膜36ΜΟχ及36MOy形成 時,原始Cu-Mn合金層26CM減少,而且最終在第91圖步驟 15 的終點消失。 於第9J圖所示下一步驟中,第91圖所示之結構以與夾 層絕緣膜22及25相同方式形成的夾層絕緣膜28覆蓋。然 ® 後,重複第9E至91圖所示的步驟以切割佈線槽28T1穿過夾 層絕緣膜28,並以障礙金屬膜29BM覆蓋佈線槽28T1,以及 2〇 然後以Cu佈線圖案29P填充佈線槽28T1。其後,於Cu佈線 圖案29P的上部分中’一額外的含碳絕緣膜以與含碳膜3〇 相同的方式形成,以及氧化錳膜39MOx以與氧化錳膜 33MOx及36MOx相同的方式沿著該額外的含碳膜形成。於 Cu佈線圖案29P及障礙金屬膜29BM之間,以與氧化錳媒 24 200937604 33MOy及36MOy相同的方式形成氧化錳膜39MOy。應注意 者係第9K圖呈現的是在上述過程之後,移除額外之含碳絕 緣膜所得到的結構。 使用依據實施例2製備之多層佈線結構所進行之TDDB 5 試驗的結果也顯示為第7圖的“(b)實施例2” ’除了夾層絕緣 膜25直接形成於夹層絕緣膜22上及使用氧化錳膜33MOx及 33MOy而非氧化猛膜23ΜΟχ及23MOy之外,此試驗牵涉相 等於第8B圖所示之半導體裝置,如第1〇圖所示。此裝置的 相鄰Cu佈線圖案之間的間隔也為70nm而且使用其他的試 10 驗裝置。 如於第7圖所清楚見到的,對應實施例2之裝置的TDDB 值也比作為試驗控制組之標準裝置的TDDB值高出超過12 倍。 此時,第11圖呈現短路研究的結果,其中其中上Cu佈 15 線圖案18P延伸同時與下Cu佈線圖案13P交叉的試驗結 構,類似先前第3F圖所描述者,係經由第9A至9K圖所示的 步驟製備,然後監控上及下Cu佈線圖案之間發生短路的情 況。如第12圖所示’此試驗結構包括彼此垂直排列的下以 佈線圖案13P及上Cu佈線圖案18P,而且就上及下圖案兩者 2〇 而言,相鄰Cu佈線圖案之間的間隔設定為7〇 nm。此外,此 試驗中所使用之結構構形為沒有接道26V及29V。 如第11圖所見,發生短路的比例大約落在依據實施例2 製備之半導體裝置的2至3%的範圍内,然而,在第3八至31? 圖所示步驟製備之作為控制組的標準裝置中發生短路的比 25 200937604 例同過85%。於此種第3A至3F圖所示步驟製備的標準襞置 中,由於cu佈線圖案13P,擴散障礙膜16具有高度3〇nm的 凸塊’而且夾層絕緣膜17高度為3〇〇nm。 第11圖所示之結果可能反映了本實施例使用下夾層絕 5 緣膜22及氧化錳膜33MOx兩者對抗蝕刻,因此以第9D圖所 示步驟之乾蝕刻或灰化移除碳氫聚合物膜31之後,沒有凸 塊的形成。 此時’於本實施例中,夾層絕緣膜22、25及28並不總 疋必須由MSQ膜組成。因此,雖然具有較高的介電常數, 1〇然而依據所要的應用,也可以使用電漿CVD四乙氧基矽烷 (TEOS)所產生的氧化石夕媒。 實施例的許多特徵及優點可從詳細的說明書内容明顯 得知,因此,本案申請專利範圍涵蓋落於其實際精神及範 可之内之所有實施例的特徵及優點。再者,因為對於習於 15此藝者而言,無數的修改及改變係可輕易完成的,所以本 發明實施例的範疇並不想被限制於所顯示及描述之完全相 同的建構及操作内,而且據此所有適合的修改及相等範圍 可被歸類於而且落入本發明的範圍内。 【圖式簡單說明】 20 第1A_1F圖係用以解釋習知技藝的圖形; 第2圖係用以解釋習知技藝中問題的圖形; 第3A-3F圖係用以解釋另一習知技藝的圖形; 第4圖顯示依據實施例丨之半導體裝置的構形; 第5 A-5L圖顯示依據實施例丨之半導體裝置的製造過 200937604 程; 第6圖係用以解釋發生於依據實施例1之過程中之反應 的圖形, 第7圖係用以解釋實施例1及2之有利效果的圖形; 5 第8A圖顯示作為控制組之標準裝置試驗的構形以說明 實施例1的有利效果; 第8 B圖顯示用於說明實施例1之有利效果之裝置的構 形; D 第9A-9K圖顯示依據實施例2之半導體裝置的製造過 10 程; 第10圖顯示用以說明實施例2之有利效果之裝置的構 形; 第11圖為說明實施例2之有利效果的另一圖形;及 第12圖顯示用以說明實施例2之有利效果之裝置的構 15 形。 【主要元件符號說明】 31,32...含碳膜 13BM, 18BM, 23BM, 26BM, 29BM....障礙金屬膜 13CM, 23CM, 26CM... Cu-Mn 合金層 13MOx, 23MOx, 26MOx, 29MOx, 33MOx, 36MOx, 39MOx...氧化錘膜 11.. .絕緣膜 12,14…二氧化矽膜 13.23.26.. .Cu 層 16.. .擴散障礙膜 17, 22, 25,28...夾層絕緣膜 19.. .氧化猛膜 20.. .多層佈線結構 24, 27, 30 ...含碳絕緣膜 27 200937604 13MOy, 23MOy, 26MOy, 41...矽基材 29MOy, 33MOy, 36MOy, 41A...元素區域 39MOy...氧化錳膜 41B...兀素區域 13P, 13P1,13P2,18P,23P,23P 1, 41a...擴散區域 23P2,26P, 29P......Cu佈線圖案 41b...擴散區域 16P,17P...突出 41c...擴散區域 12Τ, 22Τ1, 25Τ1, 28Τ1, 29Τ1... 41d...擴散區域 佈線槽 411...元素隔離結構 22Τ2, 25Τ2, 28Τ2...佈線槽 42A...閘絕緣膜 25Τ3....佈線槽 42B…閘絕緣膜 23Q, 26Q, 29Q...CU佈線圖案 43...絕緣膜 26R...CU佈線圖案 43A...閘電極 25V1,28V1,29V1...通孔 43B...閘電極 26V,29V...接道 Trl...電晶體 40...半導體裝置 Tr2...電晶體 28

Claims (1)

  1. 200937604 七、申請專利範圍: 1_ 一種半導體裝置,包括: 形成於一半導體基材上方的一第一絕緣膜; 形成於該第一絕緣膜中的一第一開口; 5 ❹ 10 15 〇 20 沿著該第一開口之一内壁形成的一第一氧化錳膜; 埋入於該第一開口中的一第一銅佈線;及 形成於該第一銅佈線上之一包括碳的第二氧化錳 膜。 2. 如申請專利範圍第1項的半導體裝置,包括形成於該 第一乳化鐘膜上的一第二絕緣膜。 3. 如申請專利範圍第1項的半導體裝置,更包括形成於該 第二氧化錳膜上的一碳化矽絕緣膜。 4·如申請專利範圍第1項的半導體裝置,其中該第一氧化 猛膜包括濃度低於該第二氧化錳膜中之碳濃度的碳。 5.如申請專利範圍第1項的半導體裝置,其中該第一氧化 錳膜不包括碳。 6·如申請專利範圍第丨項的半導體裝置,更包括: 形成於該第一絕緣膜及該第二氧化錳膜上的一第 二絕緣膜; 形成於該第二絕緣膜中的一第二開口;及 形成於該第二開口中的一第二銅佈線; 其中一部分的該第二氧化錳膜被移除以於該第一 銅佈線及該第二銅佈線之間製造電接觸。 7·如申請專利範圍第6項的半導體裝置,更包括沿著該第 29 200937604 一開口及該第二開口之各開口之一内壁形成的一高熔 點金屬膜。 8. —種製造半導體裝置的方法,包括: 於一半導體基材上形成一第一絕緣膜; 5 於該第一絕緣膜中形成一第一開口; 沿著該第一開口之一内壁形成一包括錳的金屬膜; 於該第一開口中形成一第一銅佈線; 平面化該第一絕緣膜及該第一銅佈線; 於該第一銅佈線上形成一包括碳的膜;及 10 藉著實施一熱處理於該第一銅佈線上形成一包括 破的氧化猛膜。 9. 如申請專利範圍第8項的方法,其中該膜為碳化矽膜且 該碳化矽膜係於溫度範圍350°C至400°C形成。 10. 如申請專利範圍第8項的方法,其中該膜為碳化矽膜且 15 該碳化矽膜包括濃度範圍3%至18%的氧。 11. 如申請專利範圍第8項的方法,其中該膜係藉由電槳化 學蒸氣沉積而形成。 12. 如申請專利範圍第8項的方法,更包括於該氧化錳膜上 形成一第二絕緣膜。 2〇 13.如申請專利範圍第8項的方法,更包括於該氧化錳膜上 方成一碳化矽絕緣膜。 14.如申請專利範圍第8項的方法,更包括: 於該第一絕緣膜及該氧化錳膜上形成一第二絕緣 膜; 30 200937604 於該第二絕緣膜中形成一第二開口;及 於該第二開口中形成一第二銅佈線; 其中一部分的該氧化錳膜被移除以於該第一銅佈 線及該第二銅佈線之間製造電接觸。 5 15.如申請專利範圍第14項的方法,更包括沿著該第一開口 及該第二開口之各開口的一内壁形成一高熔點金屬膜。 16. 如申請專利範圍第8項的方法,更包括於該氧化錳膜上 形成一碳氫絕緣膜以做為該膜。 17. 如申請專利範圍第16項的方法,其中藉由使用H2或NH3 10 氣體蝕刻以移除該碳氫絕緣膜。 18. 如申請專利範圍第8項的方法,其中該膜係藉由一塗覆 方法而形成。 ❿ 31 200937604 四、指定代表圖: (一) 本案指定代表圖為:第(4 )圖。 (二) 本代表圖之元件符號簡單說明: 20...多層佈線結構 41...矽基材 22,25,28…夾層絕緣膜 41A...元素區域 22T1,25T1,28T1···佈線槽 41B...元素區域 22Τ2, 25Τ2, 28Τ2...佈線槽 41a...擴散區域 25Τ3....佈線槽 41b...擴散區域 23ΒΜ,26ΒΜ, 29ΒΜ···障礙金屬膜 41c...擴散區域 23ΜΟχ, 26ΜΟχ, 29ΜΟχ...氧化猛膜 41d...擴散區域 23MOy, 26MOy...氧化猛膜 41L..元素隔離結構 23P, 26P, 29P...CU佈線圖案 42A...閘絕緣膜 23Q,26Q,29Q...Cu佈線圖案 42B...閘絕緣膜 26R...Cu佈線圖案 43...絕緣膜 24, 27, 30...含碳絕緣膜 43A...閘電極 25V1,28V1...通孔 43B...閘電極 26V,29V...接道 Trl...電晶體 40...半導體裝置 Tr2...電晶體 五、本案若有化學式時,請揭示最能顯示發明特徵的化學式:
TW097144489A 2007-12-05 2008-11-18 半導體裝置 TWI423412B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007314729A JP2009141058A (ja) 2007-12-05 2007-12-05 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
TW200937604A true TW200937604A (en) 2009-09-01
TWI423412B TWI423412B (zh) 2014-01-11

Family

ID=40720793

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097144489A TWI423412B (zh) 2007-12-05 2008-11-18 半導體裝置

Country Status (4)

Country Link
US (2) US7928476B2 (zh)
JP (1) JP2009141058A (zh)
KR (1) KR101179973B1 (zh)
TW (1) TWI423412B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5264187B2 (ja) * 2008-01-08 2013-08-14 パナソニック株式会社 半導体装置及びその製造方法
JP5353109B2 (ja) * 2008-08-15 2013-11-27 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5530118B2 (ja) * 2009-04-08 2014-06-25 東京エレクトロン株式会社 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
US8377822B2 (en) 2010-05-21 2013-02-19 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US20140061915A1 (en) * 2012-08-30 2014-03-06 International Business Machines Corporation Prevention of thru-substrate via pistoning using highly doped copper alloy seed layer
JP6025857B2 (ja) * 2012-10-31 2016-11-16 株式会社日立製作所 分光素子、およびそれを用いた荷電粒子線装置
KR20170110332A (ko) 2016-03-23 2017-10-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10256191B2 (en) 2017-01-23 2019-04-09 International Business Machines Corporation Hybrid dielectric scheme for varying liner thickness and manganese concentration

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596631B1 (en) 2000-07-26 2003-07-22 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US7365007B2 (en) * 2004-06-30 2008-04-29 Intel Corporation Interconnects with direct metalization and conductive polymer
JP4679270B2 (ja) * 2005-06-30 2011-04-27 株式会社東芝 半導体装置およびその製造方法
KR100703973B1 (ko) * 2005-07-20 2007-04-06 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
JP4523535B2 (ja) * 2005-08-30 2010-08-11 富士通株式会社 半導体装置の製造方法
JP4529880B2 (ja) * 2005-11-21 2010-08-25 ソニー株式会社 半導体装置および半導体装置の製造方法
JP2007149813A (ja) 2005-11-25 2007-06-14 Sony Corp 半導体装置の製造方法
JP2007173511A (ja) * 2005-12-22 2007-07-05 Sony Corp 半導体装置の製造方法
JP2007220738A (ja) 2006-02-14 2007-08-30 Sony Corp 半導体装置の製造方法
CN101395290B (zh) * 2006-02-28 2010-11-10 合同会社先端配线材料研究所 半导体装置、其制造方法以及用于该制造方法的溅射用靶材
JP2008091645A (ja) * 2006-10-02 2008-04-17 Tokyo Electron Ltd 半導体製造装置、半導体装置の製造方法及び記憶媒体
US7884475B2 (en) * 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same

Also Published As

Publication number Publication date
KR101179973B1 (ko) 2012-09-07
JP2009141058A (ja) 2009-06-25
US20090146309A1 (en) 2009-06-11
US20110183515A1 (en) 2011-07-28
US7928476B2 (en) 2011-04-19
KR20090059045A (ko) 2009-06-10
TWI423412B (zh) 2014-01-11

Similar Documents

Publication Publication Date Title
TW200937604A (en) Semiconductor device and method of manufacturing the same
US7718524B2 (en) Method of manufacturing semiconductor device
US7193327B2 (en) Barrier structure for semiconductor devices
US9165883B2 (en) Interconnection structure for an integrated circuit
JP7015925B2 (ja) 自己形成拡散バリア層を有する低抵抗性金属相互接続構造体
TWI375995B (en) Microelectronic device
US10903116B2 (en) Void-free metallic interconnect structures with self-formed diffusion barrier layers
TWI248647B (en) Semiconductor device and method for manufacturing the same
TWI228794B (en) Method of selectively making copper using plating technology
TW200416948A (en) Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects
JP2005340808A (ja) 半導体装置のバリア構造
JP2011523780A (ja) 導電性コンタクトの組み込みのための構造体及びプロセス
JP5141761B2 (ja) 半導体装置及びその製造方法
US8378488B2 (en) Semiconductor device and method of manufacturing the same
US20090194875A1 (en) HIGH PURITY Cu STRUCTURE FOR INTERCONNECT APPLICATIONS
JP3686325B2 (ja) 半導体装置及びその製造方法
TWI300596B (en) Semiconductor device
TWI278981B (en) Semiconductor device and production method therefor
TWI227047B (en) Tungsten-copper interconnect and method for fabricating the same
TW200945491A (en) Method for fabricating a semiconductor device
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
KR100571386B1 (ko) 반도체 소자의 구리 배선 및 그의 제조 방법
EP4275226A1 (en) Ruthenium reflow for via fill
WO2018035120A1 (en) Method of metal filling recessed features in a substrate
KR20060006338A (ko) 반도체 소자의 금속배선 형성방법