TW200823611A - Formulation for removal of photoresist, etch residue and BARC - Google Patents

Formulation for removal of photoresist, etch residue and BARC Download PDF

Info

Publication number
TW200823611A
TW200823611A TW096104641A TW96104641A TW200823611A TW 200823611 A TW200823611 A TW 200823611A TW 096104641 A TW096104641 A TW 096104641A TW 96104641 A TW96104641 A TW 96104641A TW 200823611 A TW200823611 A TW 200823611A
Authority
TW
Taiwan
Prior art keywords
acid
formulation
weight
group
photoresist
Prior art date
Application number
TW096104641A
Other languages
English (en)
Other versions
TWI355569B (en
Inventor
Matthew I Egbe
Michael Walter Legenza
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/602,662 external-priority patent/US7674755B2/en
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW200823611A publication Critical patent/TW200823611A/zh
Application granted granted Critical
Publication of TWI355569B publication Critical patent/TWI355569B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture

Description

200823611 九、發明說明: 相關申請的交叉引用 本發明要求享有2006年11月21日申請的名稱爲“去 除光阻劑 ' 钱刻殘餘物和BARC的配方”的美國臨時申古奮 11/602,662的優先權。在此引入該臨時申請所公開的内容 作爲參考。 發明所屬之技術領域 本發明係關於一種去除光阻劑、.離子注入光阻劑、餞 刻殘餘物或底部抗反射塗層(Β ARC)的配方。 先前技術 微電子結構的製造涉及許多步驟。在製造積體電路的方 案中’有時需要選擇性㈣半導體表面。已往,已經不同 程度地成功利用許多差別很大的類型的姓刻工藝來選擇性 去除物質。此外,在微電子結構中不同層的選擇性蝕刻被 ㈣是積體電路製造中的關鍵且決定性步驟。 在半導體和半導體微電路的製造中,經常需要用聚合有 機物質塗敷基材材料。—些基材㈣的實例包括鈦、銅、 可進一步含有鈦、銅箄今 寻i屬几素的塗有二氧化矽的矽片。 一般地,所述聚合有機物暂θ t ^ 義物貝疋先阻劑材料。這是一種在曝 ^ =影時將形成_遮罩的材.料。在接下來的加工步驟 二==分所述光阻劑從所述基材的表面去除。一 Ί去除光阻劑的方法是通過濕化學法。所配 5 200823611 製來從所述基材去除所述光阻劑㈣化學組合物應該做到 坆點而不腐蝕、溶解和’或鈍化任何金屬電路的表面;化學 改k所述無機基材;和/或侵蝕所述基材本身。另一種去除 光阻劑的方法是通過乾灰法,在該方法中使用氧氣或合成 氣體例如氫氣通過電漿灰·化去除所述光阻劑。所述殘餘物 或剎產物可能是所述光阻劑本身或所述光阻劑、下面的基
材和/或蝕刻氣體的組合。這些殘餘物或副産物經常作爲侧 壁聚合物、掩飾物( veils)或圍欄物(fences)而提及。 活性離子蝕刻(RIE)越來越多地作爲在通孔、金屬線 路和溝槽形成期間圖案傳輸工藝的選擇。例如,需要線路 互連配線的後端的多重層的複雜半導體裝置例如高級 =rams和微處理器,利用RIE生成通孔、金屬線路和溝槽 結構。使用通孔穿過層間介電體從而提供一層的矽、矽化 物或金屬配線(wiring)與下一脣的配線之間的接觸。金屬線 路是用作裝置互連的導電結構。溝槽結構在金屬線路結構 的形成中使用。在含銅的半導體基材中廣泛使用底部抗反 射塗層(BARC)和^隙填充材料,它們一般是高度交聯的 有機聚合物材料。β arc材料還可以包含,例如,矽。通 孔、金屬線路和溝槽結構一般露出金屬和合金例如Ai_cu、
Cu、Ti、TiN、Ta、TaN、W、TiW、石夕或石夕化物例如鎢、 鈦或鈷的矽化物。所述RIE工藝通常留下可能包括下述物 貝的以餘物·再賤射的(re-sputtered)氧化物材料以及可能 的來自用於平版印刷地限定所述通孔、金屬線路和或溝槽 結構的光阻劑和抗反射塗敷材料的有機材料。 6 200823611 因此,人們希望提供一種選擇性清潔組合物以及能夠去 除例如下述物質的殘留物的方法:例如,殘留的光阻劑、 BARC和/或加工殘留物,例如,使用電裝和/或咖選擇性 蝕刻産生的殘餘物。此外,人們希望提供—種選擇性清潔 、’且口物以及旎夠去除殘餘物例如光阻劑、和兹刻殘 餘物的方法,其對於所述殘餘物相比於下述物質表現出= 選擇性:金屬、高介電常數物質(此處寫作“高士” )、矽7 • 矽化物和/或包括低介電常數物質(此處寫作“低_k” )例 如也可能暴露於所述清潔組合物的沈積氧化物的層間介電 體材料。人們希望提供一種組合物,它能和例如下述的感 光低-k膜相容並一起使用:HSQ、msq、f〇x、黑金剛石 和TEOS (石夕酸四乙基酯)。 發明内容 此處所公開的配方(formulation)能夠選擇性去除殘餘物 而不在任何不希望的程度上侵钮也可能暴露於所述配方的 金屬、低-k介電體和’或高冰介電體材料’所述殘餘物例如 光阻劑、離子注人光阻劑、間隙填料、BARC和/或其他聚 合物材料、和/或無機材料以及來自基材的加工殘餘物。所 述去除光阻劑、蝕刻殘餘物或BARC的配方包括气氧化 錄和胺基笨并㈣、餘量的水。—優選的該配方L括: 氫氧化四甲,、甲苯基三峻、丙二醇、2_胺基苯并噻嗅、 -丙二醇單甲謎、餘量的水;更優選:氫氧化四曱基錢上 15重1%、甲苯基三唑卜5重量%、丙二醇卜μ重量 7 200823611 %、2-胺基苯并噻唑1一 10重量0/〇 ;二丙二醇單曱醚2〇— 45 重量%、餘量的水。一種具體更優選的配方包括:氮氧化 四曱基銨6.5重量%、曱苯基三唑3重量%、丙二醇1〇重 量%、2 -胺基苯并ϋ塞吐6重量% •,二丙二醇單甲鱗3 9重量%、 餘量的水。另一種具體更優選的配方包括:氫氧化四甲基 銨5重量%、甲苯基三唑3重量%、丙二醇12.13重量〇/〇、 2-胺基苯并嘍唑1.5重量%;二丙二醇單甲醚40重量%、餘 量的水。本發明還是一種從基材去除選自光阻劑、餘刻殘 餘物、BARC以及沱們的組合的物質的方法,包括:將上 述的配方應用到所述基材從而從所述基材去除所述物質。 實施方式 此處描述了用於選擇性去除例如下述殘餘物的配方以 及包括該配方的方法··光阻劑、離子注入光阻劑、間隙填 料、底部抗反射塗層(BARC)和其他聚合物材料和/或加 工殘餘物例如由蝕刻産生的殘餘物。在涉及用於微電子裝 置的基材的清潔方法中,通常需要去除的污染物可包括, 例如,有機化合物例如暴露的和/或灰化的光阻劑材料、灰 的光阻劑殘餘物、uv或x光硬化的光阻劑、含c_F的 聚合物分子量和高分子量聚合物,以及其他有機蝕刻 殘餘物;無機化合物例如金屬氧化物、來自化學機械平面 化(CMP)聚的陶兗顆粒和其他無機餘刻殘餘物;含金屬 、、物例如有機金屬殘餘物和金屬有機化合物;離子的 和中性的、輕的和重的無機(金屬)物質、濕氣、以及不 8 200823611 溶的材料,勺仏丄 匕括由例如研磨和钱刻加工的方法産生的顆 养立。__彻 —個具體實施方案中,從所述基材去除的殘餘物包 括含矽的BARC,餘物。 I蜮餘物通常存在於基材中,該基材還可以包括金 屬夕矽酸鹽和/或層間介電體材料,例如沈積的二氧化 矽和—氧化矽衍生物例如HSQ、MSQ、FOX、TE0S和旋塗 化+氣相沈積的介電體材料、低-k材料和/或高_k =料例如發酸給、氧化铪、鈦酸銷鋇(bst)、加2、, &中所述殘餘物和所述金屬、石夕、石夕化物、層間介電體材 料、低和/或高_k材料都將與所述清潔配方相接觸。此處 所公開的配方和方法提供選擇性去除所述殘餘物而不顯著 侵钕所述金屬1二氧切、層間介電體材料、低-或尚_k材料,㈣餘物例如纽劑、離子注人光阻劑、 BARC、間隙填料和/或加卫殘餘物。在特定實施方案中, 該基材可包含金屬,例如但不限於銅、銅合金、鈦、^化 鈦、组、氮化组、鶴和/或鈇/鎮合金。在—個實施方案中, 此處所公開的配方可適用於令咸 3感先低-I膜的基材。在一個 具體實施方案中,該基材可包含根 l 3低_k材料、高-k材料或它 們的組合。 方案’ k供了伙包含β Arc的其士士本 八的基材去除殘餘物的配 方,該配方包括:二丙二醇單甲鍵、氫氧化四甲基銨、甲 苯基三峻、丙二醇、2•胺基苯并㈣和去離子水。更停選 該配方是二丙二醇單曱醚2〇— 4 欠〜 里里7。、氫氧化四曱基銨 1一 15重量%、甲苯基三唑土奴 5重里%、丙二醇5_ 15重 9 200823611 量%、2-胺基笨并噻唑重量%和餘量的去離子水。一 種具體更優選的該配方是包括氫氧化四甲基銨65重量 %、甲苯基三嗤3重量%、丙二醇10重量%、2_胺基笨=噻 唑6重量%、二丙二醇單甲醚39重量%和餘量的水。另一 種具體更優選的該配方是包括氫氧化四甲基銨5重量%、 T苯基三吐3重量%、丙二醇1213重量%、2-胺基苯并噻 唑1.5重量%、二丙二醇單曱醚4〇重量%和餘量的水。該 • 氫氧化物不含有超過1〇〇 ppm的污染物金屬,例如K,Na 等等。此處所公開的配方不含氧化劑、磨粒或任何不利地 影響所述配方的剝離和清潔能力或損害下面的基材的一個 或多個表面的附加成分。氧化劑的實例包括但不限於過氧 化氫(H2〇2 )、過一硫酸氫鹽、碘酸鹽、過鄰苯二曱酸鎂、 過氧乙酸和其他過酸、過硫酸鹽、溴酸鹽、過碘酸鹽、硝 酸鹽、硝酸、鐵鹽、錦鹽、Mn (m)、Mn (W)和Mn (^) 皿銀1 Cu鹽、鉻鹽、銘鹽、鹵素次氯酸鹽以及它們的 _ 混合物。磨粒的實例包括金剛石顆粒和金屬氧化物、删化 物%I化物、氧化鋁、二氧化鈽和矽石以及它們的混合物。 在特定實施方案中,該配方用於去除殘餘物例如在來自 CMP工藝的CMp溶液中所含的磨粒。此處所公開的配方優 選不含這樣的顆粒。 此處所公開的配方中還存在水。水按重量計以大約i % 到大約95%,或大約i到大約75%,或大約i到大約5〇% 的畺存在。匕可以作爲其他成分的组份附帶地存在,例如, 匕έ氟化物離子源或季銨化合物的水溶液,或者可以將其 10 200823611 單獨地加入。一些非限制性的水的實例包括去離子水赶 純水、蒸餾水、二次蒸餾水或具有低金屬含量的去離子水 在特定實施方案中,此處所公開的配方可包含作爲任、阳 組份的有機溶劑,其優選是水溶性的。該水溶性有機溶別 按重量計可以以大約0%到大約60%,或大約〇到大約Η 55%,或大約〇到大約50%的量存在。該水溶性有機溶劑 的實例包括但不限於二曱基乙醯胺(DMAC )、N-曱美吡: 烧闺(NMP)、二甲基亞砜(DMS0)、二甲基甲醯胺、n 甲基曱醯胺、甲醯胺、二甲基_2_呱啶酮(DMpD)、四氕糠 醇、甘油、乙二醇和其他醯胺、醇或亞砜,或多官能團化 合物,例如羥基醯胺或胺基醇。該水溶性有機溶劑的進2 步實例包括一醇和多元醇例如(C2—C2❻)烷基二醇和 一 Cm)烷基三醇、環狀醇和取代的醇。這些水溶性有機、、容3 劑的具體實例包括丙二醇、四氫糠醇、雙丙酮醇和二 己烧一曱醇。在特定實施方案中’該有機極性溶劑可以是 蘭口⑽、NMP和/或DMAC。以上列舉的水溶性有機容劑= 以單獨使用或者兩種或更多種溶劑聯合使用。 在特定實施方案中,該水溶性有機溶劑可包括乙二醇 醚。二醇醚的實例包括乙二醇單甲_、乙二醇單乙峻、乙 二醇單丁醚、乙二醇二甲醚、乙二醇二乙謎、二 w 曱醚、二乙二醇單乙醚、二乙二醇 _ _早 私早丙醚、二乙二醇單里 丙醚、二乙二醇單丁峻、二乙二醇單異丁越、二乙二醇單 节醚、二乙二醇二甲醚、二乙二醇二乙鍵、三乙二酵單甲 謎、三乙二醇二曱鍵、聚乙二醇單甲鍵、二乙二醇甲基乙 11 200823611 基鱗、乙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、 丙一醇甲基醚乙酸酷、丙二醇單甲_、丙二醇二甲醚、丙 二醇單丁醚、丙二醇、單丙醚、二丙二醇單曱醚、二丙二 醇單丙醚、二丙二醇單異丙醚、二丙二醇單丁醚、二丙二 醇二異丙醚、三丙二醇單甲醚、^曱氧基_2_丁醇、2-甲氧 基-1_丁醇、2-甲氧基-2-曱基丁醇、1,1-二甲氧基乙烷和2-(2 -丁氧基乙氧基)乙醇。 在特定實施方案中,該配方可任選包括按重量計大約 0 · 1 %到大約5 %的取代的經胺或它的酸式鹽。經胺的實例包 括二乙基羥胺和它的乳酸和檸檬酸鹽。 在特定實施方案中,該配方可任選地包括有機酸。該有 機酸按重量計以該配方的大約0%到大約10%,或大約〇〇/0 到大約5%,或大約〇%到大約2%的量存在。有機酸的實 例包括但不限於檸檬酸、鄰胺基苯曱酸、沒食子酸、苯甲 酸、丙二酸、馬來酸、富馬酸、D,L-蘋果酸、異酞酸、鄰 苯二曱酸和乳酸。 在特定實施方案中,此處所描述的配方可任選地包括一 種或多種腐姓抑制劑。所述確酸或相應的鹽按重量計以該 配方的大約〇%到大約2〇%,或大約〇%到丨〇%,或大約〇% 到大約5 %的量存在。合適的腐蝕抑制劑的實例包括但不 限於有機酸鹽、兒茶紛、本弁二嗤(BZT)、間本二紛、其 他酚、酸或三唑、馬來酸酐、鄰苯二甲酸酐、兒茶紛、連 苯三酚(pyrogallol)、沒食子酸的酯、羧基苯并三唑、果糖、 硫代硫酸銨、甘胺酸、四曱基脈、亞胺基二乙酸、二甲基 12 200823611 乙fe乙醯胺、二羥基苯、二羥基苯、水揚基羥肟酸 ( salicyel〇hydroXamie)以及它們的混合物。
該配方還可以包括一種或多種下述的添加劑:界面活性 ^螯5蜊、化學改性劑 '染料、生物殺滅劑和其他添加 背J可以將這些添加劑加入此處所描述的配方的條件是其 不會不利地影響該配方的剝離和清潔能力或下面的金屬、 矽、二氧化矽、層間介電體材料、低-k和/或高-k材料的完 整性。例如,如果用該配方處理含銅的基材,該配方不含 有έ增加所述配方的銅蝕刻速率的附加添加劑。代表性添 加劑的一些實例包括炔醇及其衍生物、炔二醇(非離子烷 氧基化的和/或可自乳化的炔二醇界面活性劑)及其衍生 物醇、季銨和二胺、醯胺(包括疏質子溶劑例如二甲基 甲醯胺和二甲基乙醯胺)、烧基烧醇月安(例如二乙醇乙胺) 和螯合劑例如石-二酮、沒_酮亞胺、羧酸、基於蘋果酸 (malhc acid )和酒石酸的酯和二酯以及它們的衍生物、和 弟二胺、二胺和三胺。 此處所公開的配方是與包含低凌膜例如hsq (f〇x)、 MSQ、SlLK等的基材相容的。該配方還在低温下有效地剝 離包括正性和負性絲劑的絲劑以及電㈣刻殘餘物例 如有機殘餘物、有機金屬殘餘物、無機殘餘物、金屬氧化 物或光阻劑複合物,並且對含銅和/或鈦的基材具有極低的 腐钱。此外,該配方是與多種金屬、石夕、二氧切、層間 介電體材料、低-k和/或高_k材料相容的。 在製造過程中,將光阻劑層塗到基材上。使用照相平版 13 200823611 印刷法將圖案限定在光阻劑層上。這樣’將所述具有圖案 的光阻劑層進行讓刻,通過電漿餘刻將圖案傳輸到所 述基材上。蝕刻殘餘物在蝕刻階段產生。本發明所用的基 材有些灰化而有些不灰化。t所述基材灰化時待清潔的 主要殘餘物是_劑殘餘物。如果所述基材沒有灰化,那 麼待清潔或剝離的主要殘餘物是蝕刻殘餘物和光阻劑。
可以通過將基材與所述的配方相接觸來實施此處所描 述的方法,其巾所述的㈣具有作爲膜或者殘餘物存在的 金屬、有機或金屬有機聚合物、無機鹽、氧化物、氬氧化 物或錯合物或它們的組合。實際條件例如溫度、時間等取 決於待去除的物質的性質和厚度。通常地,在2(rc到μ 該基材然後乾燥。乾燥通常在惰性氣氛中進行。在待定實 施方案中,在所述基材與此處所描述的配方接觸之前、期 間和/或之後,可以進行去離子水沖洗或包含去離子水與其 他添加劑的沖洗。然而,該配方可用於任何利用清潔液去 除光阻劑、離子注入光阻劑、BARC、灰分或蝕刻殘餘物和 ’或殘餘物的本領域公知的方法中。 =,或2〇°c到6(rc,或20它到4(rc的溫度下將所述基材 導入或浸人含有所述配方的容器中。所述基材暴露於所述 配方的一般時間間隔可以是,例如01到6〇分鐘,或丄到 3 〇刀鐘,或1到15分鐘。在與所述配方接觸後,可沖洗 以下是本說明書中使用的縮寫: OPM 二丙二醇單甲醚 TMAH 氫氧化四曱基銨 200823611 TMAF 氟化四曱基銨 DI水 去離子水 PG 丙二醇 ABT 2-胺基苯并噻唑 TTL 曱笨基三唑 實施例的配方被列於表1:
表1 配方
實施例A 實施例B 實施例C DPM 25.00 DPM 39.00 DPM 50.00 TMAF 0.30 TMAF 0.00 TMAF 0.00 TMAH 6.50 TMAH 6.50 TMAH 6.50 TTL 3.00 TTL 3.00 TTL 3.00 PG 10.00 PG 10.00 PG 4.00 ABT 8.00 ABT 6.00 ABT 4.00 DI水 47.20 DI水 35.50 DI水 32.50 實施例D 實施例E 實施例F DPM 44.00 DPM 40.00 DPM 38.00 TMAF 0.00 TMAH 5.00 TMAH 5.00 TMAH 6.50 TTL 3.00 TTL 3.00 TTL 3.00 PG 12.13 PG 16.13 PG 10.00 ABT 1.50 ABT 1.5 0 ABT 5.00 DI水 38.37 DI水 36.37 DI水 31.50 15 200823611 表2提供在低k介電體殼層上的蝕刻速率的概要。在所 有下述的兹刻速率中,在40ΐ暴露5、1()、2〇、4〇和6〇 分鐘的條件下實施測量ό在每個時間間隔測定厚度並對每 個貫例組合物的結果用“最小平方擬合,,模型作圖。每個 組合物的“最小平方擬合,,模型的計算斜率是以埃/分鐘 (A/min)表示的合成蝕刻速率。在確定介電體蝕刻速率過 私中所述曰曰圓具有沈積在Si晶圓上的已知厚度的殼層。 使用Fdm Tek 2000 SE型光譜橢偏儀/反射儀測定初始厚 度。將大約200 mls測試溶液置於25〇ml燒杯中並攪拌和 加熱(如果需要)至"寺定的溫度。如果只將一片晶圓置於 含溶液的燒杯中則將僞晶圓(dumm fe 卜在敎所述初始厚度後,„試晶圓以實'例組;"物 中。五分鐘後,將該測試晶圓從所述測試溶液中移除,用 去,子水沖洗三分鐘並在氮氣中完全乾燥。測量每片晶圓 的厚度亚且如果需要,在所述測試晶圓上重復該工序。 實施例a,b,d,m F與低k介電體的相容性被評估。 實施例C與低k介電體的㈣性未被評估。所有六個實施 例的去除綠劑、BARC和錢關餘物的能力都被評估 亚列於表3。從對圖案化的晶圓所得到的結果,實施例c 未破壞多孔性ILD,且能有效的去除光阻劑和BAM物質。 16 200823611 表2钱刻速率(A/min ) 溫度 钱刻速率(A/rnin ) 實施例 °c JSR LEB-043 PDEMS2.5 PDEMS2.2 pSiLK A 40 未測試 3 4 1 B 40 2 3 7 未測試 C 40 未測試 未測試 未測試 未測試 D 40 未測試 2 7 未测試 E 40 未測試 2 5 未測試 L F 40 未測試 1 >10 未測試 JSR LEB-043TM: JSR 公司製造 PDEMS2.5/2.2 ™:氣體産品與化學公司製造 pSiLK TM: DOW化學公司製造 表3舉例說明優選的組合物從測試基材去除光阻劑、 B ARC和钱刻殘餘物的效果。所述晶圓具有193 nm的光阻 劑層、193 nm的BARC層、未知的超低-k層和二氧化矽層。 然後,通過將所述基材浸入優選的組合物中加工該基材。 在這個工序中,將一片或多片測試晶圓置於含有400 ml每 種組合物的600ml燒杯中。該600 ml燒杯進一步包括1英 寸的攪拌棒,該攪拌棒以每分鐘400轉旋轉。然後,以表 3中所提供的時間和溫度加熱其中含有所述晶圓的組合 物。在暴露於所述優選的組合物後,用去離子水沖洗該晶 圓並用氮氣乾燥。將該晶圓劈裂以提供棱邊,隨後用掃描 電子顯微鏡在所述晶圓上的多個預定位置上檢测,並且對 17 200823611 清潔性能和對下面的層 視覺說明和標記,其以下:電體(ILD)的損害的結果進行 、初“ 主_ 、的方式提供在表3中··對於清 >系 衣示優秀,π Ρ ”本—上 ^ ^ Ιτ ^ 义不#份移除,而“-,,表示差,以 及對於ILD損金“ +,,主— 、σ 表示無損害,而“-,,表示損害。 ^---- 溫度 SEM結果 實施例 時間 光阻劑 BARC ILD C 分鐘 清潔 --------- 清潔 損害 A 40 -----. 15 + P 腾 B 40 15 ----~~— ^^!_ + + 15 + 4- D 40 ~ ---- — 卞 丁 15 + P + E 40 15 + + + F 40 15 ----- + + + 從對圖案化的晶圓所得到的結果,實施例C,B,D,Ε 及F未破壞夕孔性ILD。所有六個實施例都能有效的去除 光阻J另一方面,實施例β,C,E及F能有效的去除 BARC物質。 雖然參照具體的實施例並詳細描述了本發明,但是本領 域的熟練技術人員可以在所揭示内容的教導下可以對前述 細節進行不同的修飾和改變。因此所揭示的特定配置僅作 爲示範說明的用途,而不是用於限制本發明範圍,本發明 範圍爲下列申請專利範圍及其均等物所界定。 18

Claims (1)

  1. 200823611 十、申請專利範圍: 1、 一種去除光阻劑、離子注入光阻劑、BARc和/或蝕 刻殘餘物的配方,其中該配方包栝:氫氧化銨和2_胺基苯 开噻唑、餘量的水,並且該氫氧化銨不含有超過100 ppm 的污染物金屬。 2、 如申請專利範圍第丨項所述的配方,其不含有氧化 _ 劑或磨粒。 3、 如申請專利範圍第1項所述的配方,其中該該氣^ 化銨選自氫氧化四甲基銨、氟化四曱基銨及它們的混合物 所組成的群組。 4、 如申請專利範圍第1項所述的配方,其進一步包括 0-60重量%的水溶性的有機溶劑,其選自二甲基乙酸胺、 _ N-甲基吡咯烷酮、二甲基亞颯、二甲基曱醯胺、N_甲基甲 醯胺、曱醯胺、二甲基-2-呱啶酮、四氫糠醇、甘油、乙一 醇、醯胺、醇、亞颯、多官能團化合物、羥基醯胺、胺美 醇、二醇、多元醇、(C2—C20)烷基二醇、(C3~ c20)烷基 三醇、環狀醇、丙二醇、四氫糠醇、雙丙酮醇、1,4_環己燒 二曱醇、乙二醇醚及它們的混合物所組成的群組。 5、如申請專利範圍第1項所述的配方,其進一步包括 0.1-5重量%的取代的羥胺或它的酸式鹽。 19 200823611
    6、 如申請專利範圍第1項所述的配方,其進一步包括 0-10重量%的有機酸,該有機酸選自檸檬酸、鄰胺基笨甲 酸、沒食子酸、苯曱酸、丙二酸、馬來酸、富馬酸、d,l_ 蘋果酸、異酞酸、鄰苯二甲酸、乳酸及它們的混合物所組 成的群組。 7、 如申請專利範圍第1項所述的配方,其進一步包括 0-20重量%的腐蝕抑制劑,該腐蝕抑制劑還自有機酸盤、 鉍、酸、二峻、兒茶紛、苯并三唾、間苯二驗、馬來酸酐、 鄰苯二甲酸酐、兒茶酚、連苯三酚、沒食子酸的酯、缓基 苯并三唾、果糖、硫代硫酸銨、甘胺酸、四曱基胍、亞胺 基一乙酸、二曱基乙醯乙醯胺、三羥基苯、二經基笨、水 楊基羥肟酸及它們的混合物所組成的群組。 8、 如申請專利範圍第1項所述的配方,其進一步包括 選自界面活性劑、螯合劑、化學改性劑、染料、生物殺滅 背!及匕們的合物所组成的群組的添加劑,條件是該添加 劑不會不利地影響該配方的剝離和清潔能力或下面的金 屬、矽、二氧化矽、層間介電體材料、低_k和/或高_k材料 的完整性。 9、 一種去除光阻劑、離子注入光阻劑、BARC和/或钱 刻殘餘物的配方’其中該配方包括··氫氧化四甲基按、甲 20 200823611 苯基一唑、丙二醇、2_胺基苯并噻唑、二丙二醇單甲醚、 餘里的Jc 並且該氣氧化四甲基銨不含有超過1〇〇 ppm的 污染物金屬。 1 〇、如申請專利範圍第9項所述的配方,其中該配方包 括:氯氧化四甲基銨1—15重量%、曱苯基三唑1—5重量 %、丙二醇5_ 15重量❶、、2_胺基苯并噻唑1一 1〇重量。/〇、 φ 二丙一醇單甲醚20 — 45重量%、餘量的水。 11、 如申請專利範圍第9項所述的配方,其中該配方包 括··氫氧化四曱基銨6.5重量%、曱苯基三唑3重量%、丙 二醇10重量%、2 -胺基苯并ti塞嗤6重量%、二丙二醇單甲 醚3 9重量%、餘量的水。 12、 如申請專利範圍第9項所述的配方,其中該配方包 括·氫氧化四甲基錢5重量%、甲苯基三唾3重量%、丙〆 醇12.13重量%、2-胺基苯并噻唑1.5重量%、二丙二醇單 甲醚40重量%、餘量的水。 13、 一種從基材去除選自光阻劑、離子注入光阻劑、# 刻殘餘物、B ARC以及它們的組合的物質的方法,其包拍^ / 將申請專利範圍第1項的配方施用到所述基材從而從所述 基材去除所述物質。 21 200823611 H 14、如f請專利範圍帛13項所述的方法,其中該配方 不含有氧化劑或磨粒。 15、如申讀專利範圍第13項所述的方法,其中該配方 進一步包括〇_60重量%的水溶性的有機溶劑,其選自二甲 基乙醯胺、N-曱基吼B各烧酮、二甲基亞石風、二曱基甲酿胺、 N-甲基甲醯胺、曱醯胺、二甲基_2士定酉同、四氯糖醇、甘 油、乙二醇、醯胺 '醇、亞石風、多官能團化合物、羥基酿 胺、胺基醇、二醇、多元醇、(C2—c2〇)烷基二醇、(c3 — C20)烷基三醇、環狀醇、丙二醇、四氫糠醇、雙丙酮醇、 1,4_環己烷二甲醇、乙二醇醚及它們的混合物所組成的群 組。 16、 如中請專利範圍第13項所述的方法,其中該配方 進-步包括0.1-5重量%的取代的羥胺或它的酸式鹽。 17、 如中請專利||圍第13項所述的方法,其中該配方 進-步包括0-10重量%的有機酸,該有機酸選自檸檬酸、 鄰胺基苯甲酸、沒食子酸、苯甲酸 '丙二酸、馬來酸、富 馬酸、D,L-蘋果酸、異酞酸、鄰苯二甲酸、乳酸及它們的 混合物所組成的群纟且。 、18、如申請專利範圍帛13項所述的方法,其中該配方 進-步包括0-20重量%的腐蝕抑制劑,該腐蝕抑制劑選自 22 200823611 t 有機酸鹽、酚、酸、三唑、兒茶酚、苯并三唑、間苯二酚、 馬來酸酐、鄰苯二甲酸酐、兒茶酚、連苯三酚、沒食子酸 的酯、羧基苯并三唑、果糖、硫代硫酸銨、甘胺酸、四甲 基脈、亞胺基一乙酸、二曱基乙酿乙酿胺、三經基笨、二 經基苯、水揚基羥肟酸及它們的混合物所組成的群紕。 19、如申請專利範圍第13項所述的方法,其中該配方 φ 進一步包括選自界面活性劑、螯合劑、化學改性劑、染料、 生物殺滅劑及它們的混合物所組成的群組的添加劑,條件 是該添加劑不會不利地影響該配方的剝離和清潔能力或下 面的金屬、矽、二氧化矽、層間介電體材料、低_k和/或高 -k材料的完整性。 20、一種從基材去除選自光阻劑、離子注入光阻劑、蝕 刻殘餘物、B ARC以及它們的組合的物質的方法,其包括: 將申請專利範圍第9項的配方施用到所述基材從而從所述 基材去除所述物質。 、如申請專利範圍第20項所述的方法,其中該配方 氫氡化四曱基銨1 — 15重量%、甲笨基三唑丨一 5重 21 包括: 量%、丙二醇5_15重量%、2_胺基苯并噻唑丨―1〇重量% 二丙二醇單曱醚20 — 45重量%、餘量的水。 22 如申請專利範圍第20項所述的 方法,其中所述配 23 200823611 職 方包括:氫氧化四甲基銨6.5重量%、甲苯基三唑3重量%、 丙二醇10重量%、2-胺基苯并噻唑6重量%、二丙二醇單 甲醚3 9重量%、餘量的水。 23、如申請專利範圍第20項所述的方法,其中所述配 方包括氫氧化四甲基銨5重量%、甲苯基三嗤3重量%、丙 二醇12.13重量%、2-胺基苯并噻唑1.5重量%、二丙二醇 血 單甲醚40重量%、餘量的水。 24 200823611 七、指定代表圖: (一) 本案指定代表圖為:第( )圖。 (二) 本代表圖之元件符號簡單說明:
    八、本案若有化學式時,請揭示最能顯示發明特徵的化學式:
TW096104641A 2006-11-21 2007-02-08 Formulation for removal of photoresist, etch resid TWI355569B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/602,662 US7674755B2 (en) 2005-12-22 2006-11-21 Formulation for removal of photoresist, etch residue and BARC

Publications (2)

Publication Number Publication Date
TW200823611A true TW200823611A (en) 2008-06-01
TWI355569B TWI355569B (en) 2012-01-01

Family

ID=39480229

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096104641A TWI355569B (en) 2006-11-21 2007-02-08 Formulation for removal of photoresist, etch resid

Country Status (5)

Country Link
JP (1) JP4499751B2 (zh)
KR (1) KR100942009B1 (zh)
CN (1) CN101187789B (zh)
SG (1) SG143115A1 (zh)
TW (1) TWI355569B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI660071B (zh) * 2017-08-30 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. 半導體裝置的形成方法及化學溶液

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101399502B1 (ko) * 2008-09-19 2014-06-27 주식회사 동진쎄미켐 티에프티 엘시디용 열경화성 수지 박리액 조성물
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
CN102043356B (zh) * 2009-10-13 2012-09-26 奇美实业股份有限公司 清洗基板用洗净液组成物
WO2012166902A1 (en) * 2011-06-01 2012-12-06 Avantor Performance Materials, Inc. SEMI-AQUEOUS POLYMER REMOVAL COMPOSITIONS WITH ENHANCED COMPATIBILITY TO COPPER, TUNGSTEN, AND POROUS LOW-ĸ DIELECTRICS
CN102902169A (zh) * 2011-07-29 2013-01-30 中芯国际集成电路制造(上海)有限公司 去除光刻胶层的方法
DE102011088885A1 (de) * 2011-12-16 2013-06-20 Wacker Chemie Ag Siliconlöser
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
CN108885412B (zh) * 2016-03-31 2022-04-05 富士胶片株式会社 半导体制造用处理液及图案形成方法
US10866518B2 (en) * 2016-09-28 2020-12-15 Dow Global Technologies Llc Solvents for use in the electronics industry
CN107957661A (zh) * 2016-10-18 2018-04-24 东友精细化工有限公司 抗蚀剂剥离液组合物及利用其的抗蚀剂的剥离方法
US10844332B2 (en) 2017-12-15 2020-11-24 Tokyo Electron Limited Aqueous cleaning solution and method of protecting features on a substrate during etch residue removal
TWI692679B (zh) * 2017-12-22 2020-05-01 美商慧盛材料美國責任有限公司 光阻剝除劑
CN108753478A (zh) * 2018-06-19 2018-11-06 成都青洋电子材料有限公司 一种半导体单晶硅清洗剂及其清洗方法
CN108998267A (zh) * 2018-08-29 2018-12-14 李少伟 一种半导体器件防蚀剂清洗剂及制备方法
US10952430B2 (en) 2019-02-06 2021-03-23 Virox Technologies Inc. Shelf-stable antimicrobial compositions
TWI749964B (zh) * 2020-12-24 2021-12-11 達興材料股份有限公司 鹼性清洗組合物、清洗方法和半導體製造方法
KR102364962B1 (ko) 2021-09-01 2022-02-18 김봉건 절삭용 공구 및 이를 포함하는 절삭 공작기계

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
KR100518714B1 (ko) * 2002-02-19 2005-10-05 주식회사 덕성 레지스트 박리액 조성물
KR100520397B1 (ko) * 2002-10-29 2005-10-11 동우 화인켐 주식회사 후-스트립 세정제 조성물 및 그를 이용한 포토레지스트스트립 공정 후의 반도체 소자 또는 액정표시소자의 세정방법
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
JP2005215627A (ja) * 2004-02-02 2005-08-11 Japan Organo Co Ltd レジスト剥離廃液の再生処理方法及び装置
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
CN1290962C (zh) * 2004-12-22 2006-12-20 中国科学院上海微系统与信息技术研究所 高介电材料钛酸锶钡化学机械抛光用的纳米抛光液
KR20060108436A (ko) * 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI660071B (zh) * 2017-08-30 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. 半導體裝置的形成方法及化學溶液
US10761423B2 (en) 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical composition for tri-layer removal
US11378882B2 (en) 2017-08-30 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical composition for tri-layer removal

Also Published As

Publication number Publication date
CN101187789A (zh) 2008-05-28
CN101187789B (zh) 2012-10-03
TWI355569B (en) 2012-01-01
KR100942009B1 (ko) 2010-02-12
JP4499751B2 (ja) 2010-07-07
JP2008129571A (ja) 2008-06-05
KR20080046073A (ko) 2008-05-26
SG143115A1 (en) 2008-06-27

Similar Documents

Publication Publication Date Title
TW200823611A (en) Formulation for removal of photoresist, etch residue and BARC
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
JP4814356B2 (ja) はく離及び洗浄用の組成物並びにそれらの使用
TWI355416B (en) Aqueous cleaning composition for removing residues
JP4819429B2 (ja) 残留物を除去するための組成物及び方法
TWI360028B (en) Composition and method for photoresist removal
KR100595024B1 (ko) 박리제 조성물
KR100849913B1 (ko) 수성 세정 조성물 및 이를 이용하는 방법
TWI237659B (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
TWI500760B (zh) 以酸,有機溶劑為主之多用途微電子清潔組合物
JP2003114540A (ja) 剥離剤組成物
TW201012921A (en) Cleaning compositions with very low dielectric etch rates
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc