TWI355569B - Formulation for removal of photoresist, etch resid - Google Patents

Formulation for removal of photoresist, etch resid Download PDF

Info

Publication number
TWI355569B
TWI355569B TW096104641A TW96104641A TWI355569B TW I355569 B TWI355569 B TW I355569B TW 096104641 A TW096104641 A TW 096104641A TW 96104641 A TW96104641 A TW 96104641A TW I355569 B TWI355569 B TW I355569B
Authority
TW
Taiwan
Prior art keywords
weight
formulation
acid
group
photoresist
Prior art date
Application number
TW096104641A
Other languages
English (en)
Other versions
TW200823611A (en
Inventor
Matthew I Egbe
Michael Walter Legenza
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/602,662 external-priority patent/US7674755B2/en
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW200823611A publication Critical patent/TW200823611A/zh
Application granted granted Critical
Publication of TWI355569B publication Critical patent/TWI355569B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

1355569 九、發明說明:. 相關申請的交叉引用 本發明要求享有2006年11月21曰申請的名轉爲“去 除光阻劑、蝕刻殘餘物和BARC的配方”的美國臨時申請 11/602,662的優先權。在此引入該臨時申請所公開的内容 作爲參考。 發明所屬之技術領域 本發明係關於一種去除光阻劑、離子注入光阻劑、蝕 刻殘餘物或底部抗反射塗層(B ARC)的配方。 .. 先前技術 . 微電子結構的製造涉及許多步驟。在製造積體電路的方 案中,有時需要選擇性蝕刻半導體表面。已往,已經不同 程度地成功利用許多差別很大的類型的蝕刻工藝來選擇性 籲去除物質。此外,在微電子結構中不同層的選擇性蝕刻被 遇爲是積體電路製造工藝中的關鍵且決定性步驟。 在半導體和半導體微電路的製造中,經常需要用聚合有 機物質塗敷基材材料。一些基材材料的實例包括鈦、鋼、 可進步3有鈦、銅等金屬元素的塗有二氧化石夕的石夕片。 般地,所述聚合有機物質是光阻劑材料。這是一種在曝 光後顯影時將形絲刻遮罩的材料。在接下來的加工步驟 中,將至少-部分所述光阻劑從所述基材的表面去除。〜 種吊用的從基材去除光阻劑的方法是通過濕化學法。 1355569 製來從所述基材去除所述光阻劑的濕化學組合物應該做到 k點而不腐截、溶解和/或純化任何金屬電路的表面;化學 改變所述無機基材;和/或侵蝕所述基材本身。另一種去除 光阻劑的方法是通過乾灰法,在該方法中使用氧氣或合成 氣體例如氫氣通過電漿灰化去除所述光阻劑。所述殘餘物 或副産物可能是所述光阻劑本身或所述光阻劑、下面的基 材和/或蝕刻氣體的組合。這些殘餘物或副產物經常作爲側 • 壁聚合物、掩飾物(veils )或圍襴物(fences )而提及。 活性離子蝕刻(RIE)越來越多地作爲在通孔、金屬線 路和溝槽形成期間圖案傳輸工藝的選擇。例如,需要線路 互連配線的後端的多重層的複雜半導體裝置例如高級 .··和微處理器,利用RIE生成通孔、金屬線路和溝槽 … 結構。使用通孔穿過層間介電體從而提供一層的矽、矽: 物或金屬配線(wiring)與下一層的配線之間的接觸。金屬線 路是用作裝置互連的導電結構。溝槽結構在金屬線路結構 籲㈣成中使用。在含銅的半導體基材中廣泛使用底部抗反 射塗層(B ARC )和間隙填充材料,它們一般是高度交聯的 有機聚合物材料。BARC材料還可以包含,例如,矽。通 孔、金屬線路和溝槽結構一般露出金屬和合金例如CU、 Cu ' Ti、TiN、Ta、TaN、W、TiW '矽或矽化物例如鎢、 鈦或鈷的矽化物。所述RIE工藝通常留下可能包括下述物 質的殘餘物:再濺射的(re-sputtered)氧化物材料以及可能 的來自用於平版印刷地限定所述通孔、金屬線路和或溝槽 結構的光阻劑和抗反射塗敷材料的有機材料。 1355569 .因此,人們希望提供一種選擇性清潔組合物以及能夠去 '除例如下述物質的殘留物的方法:例如,殘留的光阻劑、 BARC和/或加工殘留物,例如,使用電漿和/或尺吓選擇性 蝕刻産生的殘餘物。此外,人們希望提供一種選擇性清潔 組合物以及能夠去除殘餘物例如光阻劑、BARC和蝕刻^ 餘物的方法,其對於所述殘餘物相比於下述物質表現出古 選擇性:金屬、高介電常數物質(此處寫作“高呔”)、矽7 φ 石夕化物和/或包括低介電常數物質(此處寫作“低士” )例 .如也可能暴露於所述清潔組合物的沈積氧化物的層間介電 體材料。人們希望提供一種組合物,它能和例如下述的感 光低_k膜相容並一起使用:HSQ、MSQ、F0x、黑金剛^ • 和TEOS (矽酸四乙基酯)。 發明内容 此處所公開的配方(formulation)能夠選擇性去除殘餘物 鲁而不在任何不希望的程度上侵蝕也可能暴露於所述配方的 金屬、低-k介電體和/或高-k介電體材料,所述殘餘物例如 光阻劑、離子注入光阻劑、間隙填料、BARC和/或其他聚 合物材料、和/或無機材料以及來自基材的加工殘餘物。所 述去除光阻劑、蚀刻殘餘物或BARC的配方包括:氩氧化 銨和2-胺基笨并噻唑、餘量的水。一優選的該‘方包括: 氫氧化四曱基銨、甲苯基三唑、丙二醇、2_胺基苯并噻唑、 —丙二醇單甲醚、餘量的水;更優選:氫氧化四曱基銨1 —15重量〇/0、曱苯基三唑j — 5重量0/〇、丙二醇b重量 L355569 %、2-胺基笨并噻唑1— 10重量% :二丙二醇單曱謎2〇—45 重量%、餘量的水。一種具體更優選的配方包括:氫氧化 四曱基銨6.5重量0/〇、甲苯基三唑3重量%、丙二醇1〇重 量%、2 -胺基苯并售嗤6重量%;二丙二醇單甲鍵39重量%、 餘量的水。另一種具體更優選的配方.包括:氫氧化四甲基 銨5重量%、曱苯基三唑3重量%、丙二醇12 13重量%、 2-胺基苯并噻唑1.5重量% ;二丙二醇單曱醚4〇重量%、餘 量的水。本發明還是一種從基材去除選自光阻劑、姓刻殘 餘物、BARC以及它們的組合的物質的方法,包括:將上 述的配方應用到所述基材從而從所述基材去除所述物質。 實施方式 此處描述了用於選擇性去除例如下述殘餘物的配方以 及包括該配方的方法:光阻劑、離子注入光.阻劑、間隙填 料、底部抗反射塗層(BARC)和其他聚合物材料和/或加 工殘餘物例如由蝕刻產生的殘餘物。在涉及用於微電子裝 置的基材的清潔方法中,通常需要去除的污染物可包括: 例如,有機化合物例如暴露的和/或灰化的光阻劑材料、灰 化的光阻劑殘餘物、UV或X光硬化的光阻劑、含c_f的 聚合物、⑹分子量和高分子量聚合物,以及其他有機蝕刻 殘餘物1機化合物例如金屬氧化物、來自化學機械平面 化(CMP )漿的陶瓷顆粒和其他無機蝕刻殘餘物;含金屬 的化合物例如有機金屬殘餘物和金屬有機化合物;離子的 和中性的、輕的和重的無機(金屬質、濕氣 '以及不 8 溶的材料,包括由例如研磨和#丨 + 一……一 您和蚀刻加工的方法產生的顆 粒。在一個具體實施方案中,你 從所述基材去除的殘餘物包 括含矽的BARC殘餘物。 所述殘餘物通常存在於其姑φ w 隹於基材中,該基材還可以包括金 屬、矽、矽酸鹽和/或層間介電體 丨电體材枓,例如沈積的二氧化 石夕和二氧化#生物例如耶…吻峨了酬和旋塗 式玻璃、化學氣相沈積的介電體付料、低_k材料和/或高_k 材料例如矽酸铪、氧化铪、欽酸 风敗k 鋇(BST)、Ti02、Ta05, 其中所述殘餘物和料金屬H化物、層間介電體材 料、低-k和/或高_k材料都將與所述清潔配方相接觸。此處 所公開的配方和方法提供選難去除料殘餘物而不顯著 侵餘所述金屬1、二氧切、層間介電體材料、低-k和/ 或高-k材料’該錢_如綠劑、料注人光阻劑、 BARC、間隙填料和"或加工殘餘物。在特定實施方案中, 該基材可包含金屬,例如但不限於銅、銅合金、鈦、氮化 鈦,'氮化纽、鎢和/或鈦/瑪合金。在—個實施方案中, 此處所公開的配方可適用於含感光低七膜的基材。在一個 具體實施方案中,該基材可包含 ^ 土們』匕3低-k材料、高_k材料或它 們的組合。 案提供了從包3 BARC的基材去除殘餘物的配 T,該配方包括:二丙二醇單甲峻、氫氧化四甲基銨、甲 本基二唾、丙二醇、2_胺基苯并售哇和去離子水。更優選 該配方是二丙二醇單fMG—45重量%、氳氧化四甲基链 1-15重量。/。、甲苯基三唾卜5重量%、丙二醇5一 ”重 1355569 量%、2-胺基笨并噻唑1—10重量%和餘量的去離子水_ = 種具體更優選的該配方是包括氫氧化四甲基錢6 5重量 %'甲苯基三唾3重量%、丙二醇10重量%、2胺基笨并噻 唑6重量%、二丙二醇單甲醚39重量%和餘量的水。另— 種具體更優選的該配方是包括氫氧化四甲基録.5重量%、 曱苯基三唑3重量%、丙二醇12 13重量%、2_胺基笨并噻 唑1.5重量%、二丙二醇單甲_ 4〇重量%和餘量的水。該 • 氫氧化物不含有超過1〇0 ppm的污染物金屬,例如K,Na 等等。此處所公開的配方不含氧化劑、磨粒或任何不利地 影響所述配方的剝離和清潔能力或損害下面的基材的一個 或多個表面的附加成分。氧化劑的實例包括但不限於過氧 " 化氫(H2〇2)、過一硫酸氫鹽、碘酸鹽、過鄰苯二曱酸鎂、 .- 過氧乙酸和其他過酸、過硫酸鹽、溴酸鹽、過碘酸鹽、硝 酉欠鹽、;ε肖酸、鐵鹽、鈽鹽、Mn ( m )、Mn ( ^ )和( ^ ) 鹽、銀鹽、Cu鹽、鉻鹽、鈷鹽、函素次氯酸鹽以及它們的 • 混合物。磨粒的實例包括金剛石顆粒和金屬氧化物、删化 物、碳化物、氧化鋁、二氧化鈽和矽石以及它們的混合物。 在特定實施方案中,該配方用於去除殘餘物例如在來自 CMP工藝的CMP溶液中所含的磨粒。此處所公開的配方優 選不含這樣的顆粒。 此處所公開的配方中還存在水。水按重量計以大約1 % 到大約95°/。’或大約1到大約75%,或大約1到大約5〇% 的里存在。匕可以作爲其他成分的組份附帶地存在,例如, 包含敗化物離子源或季銨化合物的水溶液,或者可以將其 10 1355569 單獨地加入。一些非限制性的水的實例包括去離子水、超 ^ ^ 洛鶴水、二次蒸館水或具有低金屬含量的去離子水。 在特定實施方案中,此處所公開的配方可包含作爲任選 組份的有機溶劑,其優選是水滓性的。該水溶性有機溶劑 按重量計可以以大約〇%到大約6〇% ’或大約〇到大約
55%,或大約0到大約50%的量存在。該水溶性有機溶劑 的實例包括但不限於二甲基乙醯胺(DMAC )、N•甲基吡咯 燒嗣(NMP)、二曱基亞砜(DMS〇)、二甲基甲醯胺、 曱基曱醯胺、甲醯胺、二曱基-2_呱啶酮(DMpD)、四氫糠 醇甘油、乙二醇和其他醯胺、醇或亞颯,或多官能團化 合物,例如羥基醯胺或胺基醇。該水溶性有機溶劑的進一 步實例包括二醇和多元醇例如(C2_C2〇)烷基二醇和 -C20)烧基三醇、環狀醇和取代的醇。這些水溶性有機溶3 劑的具體實例包括丙二醇、四氫糠醇、雙丙_醇和Η俨 己院二曱醇。在特定實施方案中,該有機極性溶劑可以Γ 國〇、讀和/或DMAC。以上列舉的水溶性有機溶劑= 以早獨使用或者兩種或更多種溶劑聯合使用。 在特定實施方案中,該水溶性有機溶劑可包括乙 醚。二醇醚的實例包括乙二醇單甲醚、 _ G 一醇單乙峻、7 二醇單丁醚、乙二酵二甲醚、乙二 并一乙趟、二乙二醢留 甲醚、二乙二醇單乙醚'二乙二 _ R嘴一乙二醇單S 颭、二乙二醇單丁醚、二乙二醇 、 β u — 一 平異丁醚、二乙二醇單 苄醚 醚 乙二醇二曱醚 二乙二 乙二醇二甲醚、聚乙二醇單甲醚、二乙 乙二醇單 二醇甲基 11 丄從569 »> · — - : = · "、匕二醇單曱基醚乙酸酯、乙二醇單乙基醚乙酸酯、 丙-si甲基鍵乙酸s旨、丙二醇單甲謎、丙二醇二甲醚、内 一醇單丁醚、丙二醇' 單丙醚、二丙二醇單曱醚、二丙二 醇單丙醚、二丙二醇單異丙醚、二丙二醇單丁醚、二丙二 醇二異丙喊' 基-1-丁醇、2 二丙二醇單曱醚、1_曱氧基_2_ 丁醇、2 -曱氧 -曱氧基-2·甲基丁醇、1,1-二曱氧基乙烷和2_ (2_ 丁氧基乙氧基)乙醇。
在特定實施方案中,該配方可任選包括按重量計大約 0’ 1 /〇到大約5%的取代的羥胺或它的酸式鹽。羥胺的實例包 括二乙基經胺和它的乳酸和檸檬酸鹽。 在特定實施方案中’該配方可任選地包括有機酸。該有 機Sit按重置計以該配方的大約〇%到大約丨〇%,或大約〇% 到大約5%,或大約0%到大約2%的量存在。有機酸的實 例包括但不限於檸檬酸、鄰胺基笨甲酸、沒食子酸 '笨甲 酸、丙二酸、馬來酸、富馬酸、D,L_蘋果酸、異酞酸、鄰 笨二曱酸和乳酸。 在特定實施方案中,此處所描述的配方可任選地包括— 種或多種腐蝕抑制劑。所述續酸或相應的鹽按重量計以該 配方的大約0%到大約20% ’或大約〇%到1〇%,或大約〇0/〇 到大約5 %的量存在。合適的腐蝕抑制劑的實例包括但不 限於有機酸鹽、兒茶酚、苯并三唑(BZT )、間苯二紛、其 他酚、酸或三唑、馬來酸酐、鄰笨二甲酸酐、兒茶盼、連 苯三酚(pyrogallol)、沒食子酸的酯 '羧基苯并三唑、果糖、 硫代硫酸銨、甘胺酸、四曱基胍、亞胺基二乙酸、二曱基 12 1355569 冊...=._ 乙醯乙_、三經基笨、二經基苯、水楊基㈣酸一… (SaheyelC)hydr〇Xamic )以及它們的混合物。 該配方還可以包括一種或多種下述的添加劑:界面活性 劑、螯合劑、化學改性劑、染料、生物殺滅劑和其他添加 可x將這些添加劑加入此處所描述的配方的條件是其 B利地知響該配方的剝離和清潔能力或下面的金屬、 矽一氧化矽、層間介電體材料、低_k和/或高_k材料
整性。例如,如要H #締七& A 果用該配方處理含銅的基材,該配方不含 有曰增力σ所述配方的銅姓刻速率的附加添加劑。代表性添 ,劑的一些實例包括炔醇及其衍生物、炔二醇(非離子烷 氧基化的和/或可自乳化的炔二醇界面活性劑)及其衍生 物、醇、季錄和二胺、醯胺(包括疏質子溶劑例如二曱基 甲醯胺和—甲基乙醯胺)、烧基烧醇胺(例如二乙醇乙胺) 和螯ϋ例如石_二酮、召_酮亞胺、羧酸、基於蘋果酸 (mallicacid)和酒石酸的醋和二酯以及它們的衍生物、和 第三胺、二胺和三胺。 此處所公開的配方是與包含低-k膜例如HSQ(FOX)、 msq、SiLK等的基材相容的。該配方還在低溫下有效地剝 離包括正性和負性光阻劑的光阻劑以及電㈣刻殘餘物例 如有機殘餘物、有機金屬殘餘物、無機殘餘物、金屬氧化 物或光阻劑複合物,並且對含銅和/或鈦的基材具有極低的 腐钮。此外,該配方是與多種金屬…二氧化石夕、層間 介電體材料、低-k和/或高-k材料相容的。 在製造過程中,將光阻劑層塗到基材上。使用照相平版 13 1355569 印刷法將圖案限定在光阻劑層上。這樣,將所述具有圖案 的光阻劑層進行電漿蝕刻,通過電漿蝕刻將圖案傳輸到所 述基材上。蝕刻殘餘物在蝕刻階段産生。本發明所用的基 材有些灰化而有些不灰化。當所述基材灰化時,待清潔的 主要殘餘物是蝕刻劑殘餘物。如果所述基材沒有灰化,那 麼待m潔或剝離的主要殘餘物是蝕刻殘餘物和光阻劑。 可以通過將基材與所述的配方相接觸來實施此處所描 述的方&,其中所述的基材具有作爲膜或者殘餘物存在的 金屬、有機或金屬有機聚合物、無機鹽、氧化物、氩氧化 物或錯合物《它們的組合。f際條件例如溫度、時間等取 決於待去除的物質的性質和厚度。通常地,在2〇。〇到μ t,或2代到赃,或抑到机的溫度下將所述基材 導入或浸入含有所述配方的容器中。所述基材暴露於所述 配方的-般時間間隔可以是,例如G. i到6 Q分鐘,或i到 3〇分鐘’ & Μ 15分鐘。在與所述配方接觸後,可沖洗 該基材然後乾燥。乾燥通常在惰性氣氛中進行。在待定實 施方案中,在所述基材與此處所描述的配方接觸之前、期 間和/或之後,可以進行去離子水沖洗或包含去離子水與其 他添加劑的沖洗。然而,該m 配方可用於任何利用清潔液去 除光阻劑.、離子注入光阻劑、BARc、灰分或㈣殘餘物和 /或殘餘物的本領域公知的方法中。 以下是本說明書中使用的縮寫:
DPM '一丙二醇單曱 TMAH 氫氧化四甲基録 14 1355569 TMAF 氟化四甲基銨 DI水 去離子水 PG 丙二醇 ABT 2-胺基苯并噻唑 TTL 曱苯基三唑 實施例的配方被列於表1:
表1 配方
實施例A 實施例B 實施例C
DPM 25.00 DPM 39.00 DPM 50.00 TMAF 0.30 TMAF 0.00 TMAF 0.00 TMAH 6.50 TMAH 6.50 TMAH 6.50 TTL 3.00 TTL 3.00 TTL 3.00 PG 10.00 PG 10.00 PG 4.00 ABT 8.00 ABT 6.00 ABT 4.00 DI水 47.20 DI水 35.50 DI水1 32.50 實施 例D 實施 例E 實施例F DPM 44.00 DPM 40.00 DPM 38.00 TMAF 0.00 TMAH 5.00 TMAH 5.00 TMAH 6.50 TTL 3.00 TTL 3,00 TTL 3.00 PG 12.13 PG 16.13 PG 10.00 ABT 1.50 ABT 1.50 ABT 5.00 DI水 38.37 DI水 36.37 DI水 31.50 15 l355569 表2提供在低k介電體殼層上的蝕刻速率的概要。在所 有下述的银刻速率中,在4(rc暴露5、10、20、4〇和6〇 分鐘的條件下實施測量。在每個時間間隔測定厚度並對每 個實例組合物的結果用“最小平方擬合,,模型作圖。每個 組合物的“最小平方擬合”模型的計算斜率是以埃/分鐘 (A/nrni)表示的合成蝕刻速率。在確定介電體蝕刻速率過 程中,所述晶圓具有沈積在Si晶圓上的已知厚度的殼層。 使用FUm Tek 2000 SE型光譜橢偏儀/反射儀測定初始厚 度。將大約200 mis測試溶液置於25〇 ml燒杯中並攪拌和 加熱(如果需要)到特定的溫度。如果只將一片晶圓置於 3备液的燒杯令則將僞晶圓(dummy wafer )置於該燒杯 _。在測定所述初始厚度後,將測試晶圓浸入實例組合物 中五刀鐘後,將該測試晶圓從所述測試溶液中移除,用 去離子水沖洗三分鐘並在氮氣中完全乾燥。測量每片晶圓 的厚度並且如果需要,在所述測試晶圓上重復該工序。 實施例A,B,D,E及F與低k介電體的相容性被評估。 實施例C與低k介電體的相容性未被評估。所有六個實施 例的去除光阻劑、B A R C和後_殘餘物的能力都被評估 並歹]於表3。從對圖案化的晶圓所得到的結果,實施例匸 未破壞多孔性ILD,且能有效的去除光阻劑和barc物質。 16 1355569 表2餘刻速率(人/min) 溫度 蝕刻速率(A/min) 實施例 °C JSR LEB-043 PDEMS2.5 PDEMS2.2 pSiLK A 40 未測試 3 4 1 B 40 2 3 7 未測試 C 40 未測試 未測試 未測試 未測試 D 40 未測試 2 7 未測試 E 40 未測試 2 5 未測試 F 40 未測試 1 >10 未測試 JSR LEB-043TM: JSR 公司製造 PDEMS2.5/2.2tm:氣體產品與化學公司製造 pSiLK TM·· DOW化學公司製造 .表3舉例說明優選的組合物從測試基材去除光阻劑、 BARC和蝕刻殘餘物的效果。所述晶圓具有i93nm的光阻 劑層、193 nm的BARC層、未知的超低_k層和二氧化矽層。 然後,通過將所述基材浸入優選的組合物中加工該基材。 在這個工序中,將一片或多片測試晶圓置於含有4〇〇 Μ每 種組合物的600ml燒杯中。該600 ml燒杯進一步包括丄英 寸的攪拌棒,該攪拌棒以每分鐘4〇〇轉旋轉:然後,以表 3中所提供的時間和溫度加熱其中含有所述晶圓的組合 物、。在暴露於所述優選的組合物後,用去離子水沖洗該晶 圓並用氮氣乾燥。將該晶圓劈裂以提供棱邊隨後用掃描 電子顯微鏡在所述晶圓上的多個預定位置上檢測,並且對 (£ ) 17 1355569 清潔性能和對下面的層間介電體(ILD)的損害的結果進行 視見說明和標記’纟以下述的方式提供在表3中:對於清 潔+表不優秀,"P"表示部份移除,而“,,表示盖’以 及對於ILD損害“ 表示無損害,❿“ ·,,表示損害。 表3 SEM結果
溫度 時間 光阻劑 BARC ILD
從對圖案化的晶圓所得到的結果,實施例c,B , D,E 及F未破壞多孔性❹。所有六個實施例都能有效的去除 光阻劑。另一方面’實施例B,C,E及F能有效的去除 BARC物質。 雖然參照具體的實施例並詳細描述了本發明,但是本領 域的熟練技術人員可以在所揭示内容的教導下可以對前述 細節騎不同的修飾和改變。因此所揭示的特定配置僅作 爲跑說月的用途,而不是用於限制本發明範圍,本發明 範圍爲下列申請專利範圍及其均等物所界定。 18

Claims (1)

1355569 _ \ π年丨/月)曰修正本 _______(2011 年 11 月修正) 十、申請專利範圍: 1、 一種去除光阻劑、離子注入光阻劑、BARC和/或蝕 刻殘餘物的配方,其中該配方包括:氫氧化铵和2-胺基苯 并噻唑、餘量的水,並且該氫氧化銨不含有超過1〇〇 ppm 的污染物金屬。 2、 如申請專利範圍第1項所述的配方,其不含有氧化 劑或磨粒。 3、如申請專利範圍第1項所述的配方,其中該氫氧化 錄為氫氧化四甲基錢。
4、如申請專利範圍第1項所述的配方,其進一步包括 大於0重量%至60重量%的水溶性的有機溶劑,其選自二 甲基乙醯胺、N-甲基吡咯烷酮、二甲基亞颯、二甲基甲醜 胺、N -甲基甲酿胺、曱酿胺、二甲基-2-0瓜咬酮、四氫糖醇、 甘油、乙二醇、酿胺、醇、亞礙、多官能團化合物、趣基 醯胺、胺基醇、二醇、多元醇、主鍵碳數2-20的烧基二醇、 主鏈碳數3-20的烷基三醇、環狀醇、丙二醇、四氫糠醇、 雙丙酮醇、1,4-環己烷二甲醇、乙二醇醚及它們的混合物所 組成的群組。 5、如申請專利範圍第1項所述的配方’其進一步包括 0.1-5重量%的取代的羥胺或它的酸式鹽 19 1355569 « (2011年11月修正) 6、 如申請專利範圍第1項所述的配方,其進一步包括 大於0重量%至10重量%的有機酸,該有機酸選自檸檬酸、 鄰胺基苯甲酸、沒食子酸、苯甲酸、丙二酸、馬來酸、富 馬酸、D,L-蘋果酸、異酞酸、鄰苯二甲酸、乳酸及它們的 混合物所組成的群組。 7、 如申請專利範圍第1項所述的配方,其進一步包括 大於0重量%至20重量%的腐蝕抑制劑,該腐蝕抑制劑選 自有機酸鹽、酚、酸、三唑、兒茶酚、苯并三唑、間苯二 酚、馬來酸酐、鄰苯二甲酸酐、兒茶酚、連苯三酚、沒食 子酸的酯、羧基苯并三唑、果糖、硫代硫酸銨、甘胺酸、 四甲基胍、亞胺基二乙酸、二甲基乙醯乙醯胺、三羥基苯、 一經基苯、水楊基經肟酸及它們的混合物所組成的群組。 8、 如申請專利範圍第1項所述的配方,其進一步包括 :自:面活性劑、整合劑、化學改性劑、染料、生物殺滅 :及它們的混合物所組成的群組的添加劑,條件是該添加 屬不會不利地影響該配方的剝離和清潔能力或下面的金 氧化矽層間介電體材料、低-k和/或高_k材料 的完整性。 8項所述的配方’其中該添加劑 9、如申請專利範圍第 為氟化四曱基銨。 20 1355569 (2011年11月修正) 10、一種去除光阻劑、離子注入光阻劑、BARC和/或蝕 刻殘餘物的配方,其中該配方包括:氫氧化四甲基銨、甲 苯基三唑、丙二醇、2-胺基苯并嘍唑、二丙二醇單甲醚、 餘量的水’並且該氫氧化四甲基銨不含有超過1〇〇ppm的 污染物金屬。 11、如申請專利範圍第1〇項所述的配方,其中該配方 ^ 包括:氫氧化四甲基錄1 一 15重量%、甲苯基三唑1 — 5重 量%、丙二醇5 — 15重量%、2-胺基苯并噻唑1— 10重量%、 二丙二醇單甲醚20 — 45重量%、餘量的水。
12、如申請專利範圍第10項所述的配方,其t該配方 包括:氫氧化四甲基銨6.5重量%、甲苯基三唑3重量%、 丙二醇10重量%、2-胺基苯并噻唑6重量%、二丙二醇單 甲醚39重量%、餘量的水。 13、如申請專利範圍第1〇項所述的配方,其中該配方 包括:氫氧化四甲基銨5重量%、甲苯基二唾3重量%、丙 二醇12.13重量%、2-胺基苯并噻吐1,5重量°〆。、二丙二醇 單甲醚40重量%、餘量的水。 14、一種從基材去除選自光阻劑、離子注入光阻劑、蚀 刻殘餘物、BARC以及它們的組合的物質的方法,其包括: 5- 21 1355569 ' (2011年11月修正) 將申請專利範®第1項的配方施用砑所述基材一而從所述, 基材去除所述物質。 15、如申請專利範圍第14項所述的方法,其中該配方 不含有氧化劑或磨粒。
16、如申請專利範圍第14項所述的方法,其中該配方 進一步包括大於0重量%至60重量%的水溶性的有機溶 劑,其選自二甲基乙醢胺、N_甲基吡咯烷鲖、二甲基亞砜、 二甲基甲醯胺、N-甲基甲酿胺、曱醯胺、二甲基·2·呱啶酮、 四氫糠醇、甘油、乙二醇、酿胺、醇、亞砜、多官能團化 合物 '羥基醯胺、胺基醇、二醇、多元醇 '主鏈碳數2_2〇 的烷基二醇、主鏈碳數3_20的烷基三醇、環狀醇、丙二醇、 四氫糠醇、雙丙酮醇、1,4-環己烷二甲醇、乙二醇醚及它們 的混合物所組成的群組。 17、如申請專利範圍第14項所述的方法,其中談配 進一步包括0.1-5重量%的取代的羥胺或它的酸式鹽。 18、如申請專利範圍第14項所述的方沐 乃壬,其中該配方 進一步包括大於〇重量%至10重量%的有播舱 _ 令機酸,該有機酸 選自擦樣酸、鄰胺基苯甲酸、沒食子酸、笼田雜 + τ酸、丙二酸、 馬來酸、富馬酸、D,L-蘋果酸、異酞酸、她 ’本二曱酸、乳 酸及它們的混合物所組成的群組。 1355569 (2011年11月修正) 19如申請專利範圍第14項所述的方法,其中該配方 進-步包括大於〇重量%至2〇重量%的腐蝕抑制劑,該腐 蝕抑制劑選自有機酸鹽、酚、酸、三唑1茶酚、苯并三 唑、間苯二酚、馬來酸酐、鄰苯二甲酸酐兒茶酚、連苯 三齡、沒食子酸_、叛基苯并三吐、果糖、硫代硫酸按、 甘胺酸、四甲基胍、亞胺基二乙酸、二甲基乙醯乙醯胺、 二羥基苯、二羥基苯、水楊基羥肟酸及它們的混合物所組
20、如申請專利範圍第14項所述的方法,其中該配方 進一步包括選自界面活性劑、螯合劑、化學改性劑、染料、 生物殺滅劑及它們的混合物所組成的群組的添加劑,條件 是該添加劑不會不利地影響該配方的剝離和清潔能力或下 面的金屬、碎、二氧化珍、層間介電體材料、低-k和/或高 -k材料的完整性。 21' —種從基材去除選自光阻劑、離子注入光阻劑、蝕 刻殘餘物、BARC以及它們的組合的物質的方法,其包括: 將申請專利範圍第10項的配方施用到所述基材從而從所 述基材去除所述物質。 22、如申請專利範圍第21項所述的方法’其中該配方 包括:氫氧化四甲基銨1 一 15重量0/〇、甲苯基三唑i — 5 23
量%、丙二醇5 一、5 一丙二醇單20 1355569 重t %、2_胺基苯并噻唑卜 一 45重量%、餘量的水。 23、如申請專利範圍第21項所述的方法, 括.風氧化四甲基銨6.5重量%、f笨基三唑 丙二醇1〇重量%、2-胺基苯并…重 _ 甲鍵39重量%、餘量的水。 一 Λ 、如申請專利範圍第21項所述的方法,其 方包括氫氧化四甲基銨5重量。/。、f苯基三唑31 醇重量%、2_胺基苯并嘍唑15重量%、 單甲醚40重量%、餘量的水。 (2011年11月修正) 10重量%: 中所述配 3重量%、 丙二醇單 中所述配 量%、丙 二丙二醇
24
TW096104641A 2006-11-21 2007-02-08 Formulation for removal of photoresist, etch resid TWI355569B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/602,662 US7674755B2 (en) 2005-12-22 2006-11-21 Formulation for removal of photoresist, etch residue and BARC

Publications (2)

Publication Number Publication Date
TW200823611A TW200823611A (en) 2008-06-01
TWI355569B true TWI355569B (en) 2012-01-01

Family

ID=39480229

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096104641A TWI355569B (en) 2006-11-21 2007-02-08 Formulation for removal of photoresist, etch resid

Country Status (5)

Country Link
JP (1) JP4499751B2 (zh)
KR (1) KR100942009B1 (zh)
CN (1) CN101187789B (zh)
SG (1) SG143115A1 (zh)
TW (1) TWI355569B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101399502B1 (ko) * 2008-09-19 2014-06-27 주식회사 동진쎄미켐 티에프티 엘시디용 열경화성 수지 박리액 조성물
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
CN102043356B (zh) * 2009-10-13 2012-09-26 奇美实业股份有限公司 清洗基板用洗净液组成物
CN103782368B (zh) * 2011-06-01 2017-06-09 安万托特性材料有限责任公司 对铜、钨和多孔低κ电介质具有增强的相容性的半水性聚合物移除组合物
CN102902169A (zh) * 2011-07-29 2013-01-30 中芯国际集成电路制造(上海)有限公司 去除光刻胶层的方法
DE102011088885A1 (de) * 2011-12-16 2013-06-20 Wacker Chemie Ag Siliconlöser
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
KR101420571B1 (ko) * 2013-07-05 2014-07-16 주식회사 동진쎄미켐 드라이필름 레지스트 박리제 조성물 및 이를 이용한 드라이필름 레지스트의 제거방법
KR102152665B1 (ko) * 2016-03-31 2020-09-07 후지필름 가부시키가이샤 반도체 제조용 처리액, 및 패턴 형성 방법
BR112019004949A2 (pt) * 2016-09-28 2019-06-25 Dow Global Technologies Llc solventes para uso na indústria de eletrônica
CN107957661A (zh) * 2016-10-18 2018-04-24 东友精细化工有限公司 抗蚀剂剥离液组合物及利用其的抗蚀剂的剥离方法
US10761423B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical composition for tri-layer removal
US10844332B2 (en) 2017-12-15 2020-11-24 Tokyo Electron Limited Aqueous cleaning solution and method of protecting features on a substrate during etch residue removal
TWI692679B (zh) * 2017-12-22 2020-05-01 美商慧盛材料美國責任有限公司 光阻剝除劑
CN108753478A (zh) * 2018-06-19 2018-11-06 成都青洋电子材料有限公司 一种半导体单晶硅清洗剂及其清洗方法
CN108998267A (zh) * 2018-08-29 2018-12-14 李少伟 一种半导体器件防蚀剂清洗剂及制备方法
US10952430B2 (en) 2019-02-06 2021-03-23 Virox Technologies Inc. Shelf-stable antimicrobial compositions
TWI749964B (zh) * 2020-12-24 2021-12-11 達興材料股份有限公司 鹼性清洗組合物、清洗方法和半導體製造方法
KR102364962B1 (ko) 2021-09-01 2022-02-18 김봉건 절삭용 공구 및 이를 포함하는 절삭 공작기계

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
KR100518714B1 (ko) * 2002-02-19 2005-10-05 주식회사 덕성 레지스트 박리액 조성물
KR100520397B1 (ko) * 2002-10-29 2005-10-11 동우 화인켐 주식회사 후-스트립 세정제 조성물 및 그를 이용한 포토레지스트스트립 공정 후의 반도체 소자 또는 액정표시소자의 세정방법
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
JP2005215627A (ja) * 2004-02-02 2005-08-11 Japan Organo Co Ltd レジスト剥離廃液の再生処理方法及び装置
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
KR20050110470A (ko) * 2004-05-19 2005-11-23 테크노세미켐 주식회사 반도체 기판용 세정액 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
US9217929B2 (en) * 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
CN1290962C (zh) * 2004-12-22 2006-12-20 中国科学院上海微系统与信息技术研究所 高介电材料钛酸锶钡化学机械抛光用的纳米抛光液
KR20060108436A (ko) * 2005-04-13 2006-10-18 매그나칩 반도체 유한회사 반도체 소자 세정용 조성물 및 이를 이용한 반도체 소자의세정 방법
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC

Also Published As

Publication number Publication date
KR100942009B1 (ko) 2010-02-12
SG143115A1 (en) 2008-06-27
CN101187789A (zh) 2008-05-28
CN101187789B (zh) 2012-10-03
TW200823611A (en) 2008-06-01
KR20080046073A (ko) 2008-05-26
JP4499751B2 (ja) 2010-07-07
JP2008129571A (ja) 2008-06-05

Similar Documents

Publication Publication Date Title
TWI355569B (en) Formulation for removal of photoresist, etch resid
US7674755B2 (en) Formulation for removal of photoresist, etch residue and BARC
TWI274968B (en) Composition for stripping and cleaning and use thereof
TWI297106B (en) Aqueous cleaning composition and method for using same
TWI355416B (en) Aqueous cleaning composition for removing residues
TWI360028B (en) Composition and method for photoresist removal
JP4819429B2 (ja) 残留物を除去するための組成物及び方法
EP1688798B1 (en) Aqueous based residue removers comprising fluoride
KR100700998B1 (ko) 기판으로부터 잔사를 제거하기 위한 조성물 및 그의 사용방법
TWI338199B (en) Composition for removal of residue comprising cationic salts and methods using same
US7682458B2 (en) Aqueous based residue removers comprising fluoride
TWI500760B (zh) 以酸,有機溶劑為主之多用途微電子清潔組合物
JP2003114540A (ja) 剥離剤組成物
EP1965418A1 (en) Formulation for removal of photoresist, etch residue and barc