TW200426940A - Processing system and method for chemically treating a substrate - Google Patents

Processing system and method for chemically treating a substrate Download PDF

Info

Publication number
TW200426940A
TW200426940A TW093107048A TW93107048A TW200426940A TW 200426940 A TW200426940 A TW 200426940A TW 093107048 A TW093107048 A TW 093107048A TW 93107048 A TW93107048 A TW 93107048A TW 200426940 A TW200426940 A TW 200426940A
Authority
TW
Taiwan
Prior art keywords
processing
chemical
gas distribution
substrate
chemical processing
Prior art date
Application number
TW093107048A
Other languages
English (en)
Other versions
TWI253690B (en
Inventor
Thomas Hamelin
Jay Wallace
Arthur H Laflamme
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200426940A publication Critical patent/TW200426940A/zh
Application granted granted Critical
Publication of TWI253690B publication Critical patent/TWI253690B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/026Anodisation with spark discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • ing And Chemical Polishing (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

200426940 五、發明說明(l) 一、【發明所屬之技術領域】 本發明係關於一種用以處理基板的系統及方法,尤關 於一種基板化學處理用之系統及方法。 本發明係與以下申請中之美國專利申請案有關··美國 專利申請案第10/XXX,XXX號之「處理基板用之處理系統與 方法」,代理人編號第P30 1 0 73/PC6018A號,其與本案為 同一申請日;美國專利申請案第1〇/χχχ,χχχ號之「用以熱 處理基板的處理系統與方法」,代理人編號第 P301088/PC6020A號,其與本案為同一申請日;及美國專 利申睛案第10/ΧΧΧ,XXX號之「用以熱絕緣相鄰之溫度受控 制的處理室的方法與設備」,代理人編號第 P292055/PC6021A號,其與本案為同一申請日。在此將直 所有内容列為參考資料。 ^ 在 或姓刻 層孔或 全覆蓋 中的半 具有預 之中, 存在之 形成電 先前技術】 半導體處理 掉沿著微細 接觸孔中的 的已圖案化 導體基板。 設流量之可 同時節流真 一定比例的 漿,其中上 期間, 線路的 材料。 之保護 一旦基 離子化 空泵而 氟體物 述電子 (乾)電 材料或圖 電漿蝕刻 層,例如 板定位在 且分離的 達到大氣 種被已加 係藉由電 漿蝕刻處 案化在矽 處理通常 光阻層, 處理室之 氣體混合 處理壓力 熱之電子 感型或電 理可用 基板之 包括使 定位處 中後, 物導入 。之後 離子化 容型射 上的 用可 理室 立g卩 處理 ,當 時, 頻 200426940 五、發明說明(2) (RF )功率的轉移而加熱、或利用微波功率而加熱, 如’電子迴旋共振器(ECR )。又,已加熱之電子用以分 離大氣之氣體物種中的某些物種且產生適用於露出表面 餘刻化學物吳之反應物種。一旦形成電漿之後,則利用電 漿蝕刻基板上的選定表面。調整處理而使其達到適當條 件,包括期望之反應物的適當濃度與用以在基板的^ 域中钱刻出各種特徵的離子量(例如,渠溝、介層孔,二 觸孔、閘等等)。能進行蝕刻的此種基板材料必^為二 化石夕(S i 〇2 )、低k介電材料、多晶石夕與氮化石夕。在”材 理期間,蝕刻出此種特徵的操作通常包含將形成在遮罩处 之中的圖案轉移到形成有各特徵的覆蓋膜之中。例如,^ 罩可包含例如(負型或正型)光阻的感光材料、含阳 層與抗反射塗層(ARC)的多層膜、或從第一層之中的九阻 案,例如光阻,轉移成覆蓋之硬罩層所形成的硬罩。 三、【發明内容】 本發明係關於一種化學處理基板用之系統及方法,且 關於一種用以化學處理基板的系統及方法。 在本發明之一實施樣態中 之處理系統。此處理系統包含 處理系統則包含一溫度受控制 制的基板夾具,而此基板夾具 基板、一抽真空系統,其連接 控制的氣體分配系統,其用以 ,說明一種化學處理基板用 一化學處理系統,而此化學 的化學處理室、一溫度受控 則用以支撐受化學處理的一 於化學處理室、及一溫度受 將一個或更多之處理氣體導
第8頁 200426940 五、發明說明(3) , 入化學處理室之中,其中並示利用該處理氣體形成電聚。 此外,亦說明一種處理基板用之處理系統的操作方。 法。此種處理基板用之處理系統的操作方法包含以下步 驟·將基板輸送到化學處理系統之中;對化學處理系统戶 需的一個或更多之化學處理參數進行設定,其中一個或^ 多之化學處理參數包含化學處理的處理壓力、化學處理^ 溫度、化學處理基板溫度、化學處理基板夾具溫度、與= 學處理氣體流量的至少之一;使用一個或更多之化學^ 參數處理化學處理系統之中的基板。又,或此外,一個 更多之化學處理的處理參數係包含氣體分配系統溫度。2 茲將參照附隨的圖示,以說明本發明。在圖示中, 似的參考符號指示類似的元件。 相 四、【實施方式】 •在材料處理方法中,圖案蝕刻係包含在基板的上表 重佈薄層的感光的材料,例如光阻,並接著圖案化此光 降,俾能提供蝕刻期間用以將此圖案轉移到覆蓋薄 罩。感光材料的圖案化通常包括以穿過所使用之感光材粗 的標線板(及相關光學元件)之輕射源加以曝& ,例如2
光刻系統,接著使用顯影溶劑去除照射區域之感光材料, (在正型光阻的情況下)、或非照射區域之感光材料(負 犁光阻的情況下)。 此外,蝕刻出薄膜之中的特徵將可實現多層與硬罩。 例如,當使用硬罩㈣出㈣之中的特徵時,在對薄膜進
第9頁 200426940 五、發明說明(4)
行主要的蝕刻步驟之前,先 之中的遮罩圖案轉移到硬罩層的: ,夕(㈣)、氧化石夕(SiA):石: 種材料中選擇硬罩。 /、石墨 為了縮小形成於薄膜之中的 使用之硬罩,例如,進行 ^徵尺寸 露出表面的化學處理,俾改變硬罩Ϊ:表 :;罩層之露出表面的後處理, 根據-,例’圖⑽代表用 1,例如,使用遮罩層裁剪。 10,盥結合於筮一本 处毯糸統1包 第- i理李蛴】n r处理系統10的第二處理 則包含熱處理系統?:化;”系統,及 系統,例如清水沖洗李一处理系統20 傳送進入及傳送出3統;又,如圖u所 並為了與多重元=一處理系統1(1與第 处理系統1 0結合。例如,第一與 中二0及輸送系統3〇係包含位在多重元件 板僂J :件。例如,多重元件的製造系 統、沉積系:處理元件 置,俾能隔離第統案化系統、 組件5 0則用以:接:J:糸統之中所發生 連接各糸統。例如,隔離組 刻步驟將感光層 以從用於含有二 等矽之處理的數 ,故可裁剪縱向 包括對硬罩層之 面化學物質、及 改變的表面化學 基板的 含第一 系統20 第二處 係包含 示,為 二處理 ,故使 第二處 的製造 統4 0係 則包括 測量系 的處理 件5 0係 處理系統 處理系統 。例如, 理系統20 基板沖洗 了將基板 系統20, 輸送系統 理系統 系統4 0之 能夠將基 餘刻系 統等裝 ’而隔離 包含用以
200426940
提供熱隔離的熱絕緣組件、與用以提供真空隔離的閘閥組 件之至少之一。當然,處理系統1〇及20,與輸送系統3〇 # 可排列成任意順序。 “ 又,在另一實施例中,圖1 B係代表使用如遮罩層裁剪 的處理而用以處理基板的處理系統100。處理系統1〇〇係包 含第一處理系統11 0,與第二處理系統1 2 0。例如,第_處 理系統1 1 0係包含化學處理系統,及第二處理系統1 2 0則包 含熱處理系統。又,第二處理系統1 2 0係包含基板沖洗系 統’例如清水沖洗系統。又,如圖1B所示,輸送系統丨3〇 係可與第一處理系統11 0結合,俾能將基板傳送到及傳送 出第一處理系統11 0,並可與第二處理系統1 2 0結合,俾能 將基板傳送到及傳送出第二處理系統1 2 0。此外,輸送系 統1 3 0可與一個或更多之基板收納匣(未圖示)交換基 板。雖然圖1 B僅顯示出兩個處理系統,但其它之處理系統 亦可通到輸送系統1 3 0,例如蝕刻系統、沉積系統、塗佈 系統、圖案化系統、測量系統等裝置,俾能隔離第一與第 了系統之中所發生的處理,而隔離組件1 5 0則用以連接各 系統。例如’隔離組件丨5 〇係包含用以提供熱隔離的熱絕 緣組件、與用以提供真空隔離的閘閥組件之至少之一。此 外’例如’輸送系統丨3〇係可當作隔離組件15〇的一部份。 又’在另一實施例中,圖1C係代表使用如遮罩層裁剪 =處理而用以處理基板的處理系統600。處理系統60 0係包 ,第一處理系統61〇,與第二處理系統62〇,其中第一處理 系統610係從垂直方向上疊置第二處理系統62〇的頂端。例
第11頁 200426940
如’第一處理系統61 〇係包含化學處理系統,及第二處理 系統620則包含熱處理系統。又,第二處理系統62〇係包含 基板沖洗系統’例如清水沖洗系統。又,如圖丨c所示,輸 送系統630係可與第一處理系統61〇結合,俾能將基板傳送 f及傳送出第一處理系統61〇,並可與第二處理系統62〇結 合’俾能將基板傳送到及傳送出第二處理系統62〇。此 外送系統630可與一個或更多之基板收納匣(未圖 不)交換基板。雖然圖丨c僅顯示出兩個處理系統,但其它 之處理系統亦可通到輸送系統6 3〇,例如蝕刻系統、沉積 系f、塗佈系統、圖案化系統、測量系統等裝置,俾能隔 離第厂與第,系統之中所發生的處理,而隔離組件65〇則 1以連接各系統。例如,隔離組件6 5 〇係包含用以提供熱 ,離的熱絕緣組件、與用以提供真空隔離的閘閥組件之至 夕之一。此外’例如,輸送系統63 0係可當作隔離組件650 的一部份。 與:般而言,圖1A所示之處理系統1的第一處理系統i 〇 ^第一處理系統2 〇兩者的至少之一係包含至少兩個轉移開 ,俾能,作穿過基板之中的通道。例如,如圖1 A所示, —處理系統1 〇修包含兩個轉移開口,第一轉移開口係供 第一處理系統丨0與輸送系統3 〇之間的基板通道,且第二 移開口則供作第一處理系統與第二處理系統之間的基板
"tS x y I j °」而’就圖IB之處理系統i 00與圖1C之處理系統6〇〇 =舌’各處理系統、120與610、620係分別包含至少之 一轉移開口,俾能供作穿過基板之中的通道。
第12頁 200426940 五、發明說明(7) ---- 以y參見圖2,其顯示對基板進行化學處理與熱處理 的處理系統2 〇 〇。處理系統2 〇 〇係包含化學處理系統2丨〇, 與釔合於化學處理系統21 0的熱處理系統2 2 0。化學處理系 統210係包含化學處理室211,而其溫度則受到控制。熱處 理系統220係包含熱處理室221,而其溫度則受到控制。如 以下之詳細說明,利用熱絕緣組件23〇而使化學處理室2ιι 與”、、處理至2 2 1互相熱絕緣,並利用閘閥組件2 9 6而使彼此 互相真空隔離。 如圖2與圖3所示,化學處理系統21 〇更包含溫度受控 制的基板夾具240,其形成為實質與化學處理室211呈熱隔 離並形成為用對支撐基板242、抽真空系統25〇,結合於化 學處理室211而對化學處理室211抽真空、及氣體分配系统 260 ,用以將處理氣體導入化學處理室211之中的處理* 262。 如圖2與圖5所示,熱處理系統22〇更包含溫度受到控 制的基板夾具270,其安裝在熱處理室221之中及形成為實 質與熱處理室221呈熱絕緣及形成為支撐基板242,、抽真 空系統280,用以對熱處理室221抽真空、及基板升降組件 2 9 0,結合於熱處理室2 2 1。升降組件2 9 〇能夠垂直地轉送 位在載持面(實線所示)與基板夾具2 7〇 (虛線所示)之 間的基板242 、或位在其間的輸送面。熱處理室221更勹 含上組件284。 匕 此外’化學處理室2 11、熱處理室2 2 1與熱絕緣組件 230界定出可經由其中而傳送基板的共同開口 294。在處理
第13頁 200426940 五、發明說明(8) 期間,可使用閘閥組件2 9 6而使共同開口 2 9 4完全密閉,俾 能使兩個處理室211、2 2 1進行獨立的處理。又,可在熱處 理室2 21中形成轉移開口 2 9 8,俾能使基板與圖1 a所示之輸 送系統進行交換。例如,第二熱絕緣組件2 3 1可使熱處理 至2 2 1與輸送糸統(未圖不)呈熱絕緣。雖然所示之開口 298為熱處理室221之一部份(與圖1A—致),但轉移開口 298亦可形成在化學處理室211之中而非在熱處理室221之 中(在圖1A所示之處理室的相反位置)、或轉移開口 298 可形成在化學處理室211與熱處理室221兩者之中(如圖1B 及圖1C所示)。
如圖2及圖3所示,化學處理系統2 1 0係包含基板夾具 240 ’與基板夾具組件244,俾能提供數種用以熱控制及處 理基板2 4 2的操作功能。基板夾具2 4 〇與基板夾具組件2 4 4 係包含靜電夾鉗系統(或機械夾鉗系統),俾能電性(或 機械性)爽钳住基板242與基板夾具240。又,基板夾具 240/例如’更包含冷卻系統具有再循環的冷媒流體,其 可從基板夾具240吸收熱量並對熱量傳遞到熱交換器系統 (未圖示)、或於加熱時,則從熱交換器系統傳遞熱量。 又,熱傳遞氣體,例如,可經由背面氣體系統而傳送到基 板242的背面’俾能提高基板242與基板夾具240之間的氣 2之熱傳導性。例如,供應到基板M2之背面的熱傳遞氣 直=包,惰性氣體,例如氦、氬、氙、氪、處理氣體、或 氣體例如氧、氮、或氫。當需要在已升高或降低 、溫度時控制基板的溫度時,將可使用此種系统。例如,
第14頁 200426940
背面氣體系統係包含多區段的氣體分配系統,例如兩個 段的(中央-邊緣)系統,其中背面氣隙壓力在基板242 = 中央與邊緣之間係產生獨立的變化。在其它實施例中,加 熱/冷卻元件,例如電阻加熱元件或電熱加熱器/冷卻器σ 不僅可設置在基板夾具240之中,更可設置在化學處理室1 2 11的處理室壁部之中。 例如,圖7代表溫度受控制的基板夾具30 0,其用以進 行上述的數種功能。基板夾具3 〇 〇係包含處理室匹配元件 3 1 0,結合於化學處理室211的下壁部、絕緣元件3丨2,結 合於處理室匹配元件310、及溫度控制元件314,結合於^邑 緣元件3 1 2。處理室匹配及溫度控制元件3丨〇、3丨4,例 如’由紹、不鏽鋼、鎳等等導電且導熱的材料所製成,而 絕緣元件3 1 2,例如,由石英、釁土、鐵氟龍(τ e f 1 0 n ) 等等具有較低之熱傳導性的耐熱材料所製成。 溫度控制元件3 1 4係包含溫度控制元件,例如冷卻 道、加熱道、電阻加熱元件、或電熱元件。例如,如圖7 所示,溫度控制元件314係包含冷媒通道320,其具有冷媒 入口 322與冷媒出口 324。冷媒通道320,例如,為位在溫 度控制元件3 1 4之中的螺旋形通道,用以提供固定流量的 冷媒’例如水、Fluorinert(商標名)、葛爾登HT-135等 專’俾使溫度控制元件3 1 4具有熱傳導-熱對流的冷卻效 果。又,溫度控制元件3 1 4係包含一陣列之電熱元件,其 能夠依據流過各元件之電流的方向而加熱或冷卻基板。電 熱元件的一實例為市售的尖端電熱,型號St_127_1.4-
第15頁 200426940
8· 5M (40ππηχ 40mmx 3· 4mm的電熱裝置,能夠有72w的最大熱 傳遞功率)。 此外,基板夾具300更包含an靜電夾鉗(ESC) 328, 其具有陶瓷層330、内建於其中的失鉗電極332、及高壓 (HV ) DC電壓源334,其利用電性接點336而結合於夾钳電 極3 32。ESC328,例如,為單極性或雙極性。此種夾钳的 设計與實現已為熟悉靜電夾钳系統技術者所熟知。 此外,基板夾具300更包含背面供氣系統34〇,用以供 應熱傳遞氣體,例如氦、氬、氙、氪等惰性氣體、處理氣 體、或其它包括氧、氮或IL之氣體,經由至少一氣體供應 官線3 4 2 ’及複數之孔部與通道的至少之一而供應到基板 2 4 2的背面。背面供氣系統3 4 0,例如,為多區段的供應系 統,例如兩個區段的(中央-邊緣)系統,其中背面壓力 係從中央至邊緣呈現徑向的變化。 絕緣元件3 1 2更包含熱絕緣空隙3 5 〇,俾能提供溫度控 制元件314與覆蓋匹配元件310之間的額外熱絕緣。使用泵 浦系統(未圖示)對熱絕緣空隙35〇抽真空,或使用當作 抽真空系統2 5 0的真空管線,及/或結合於氣體供應源 (未圖示)’俾能改變其熱傳導性。氣體供應源,例如, 為用於將熱傳遞氣體連接至基板2 4 2之背面的背面氣體源 340 〇 匹配元件3 1 0更包含升降銷組件3 6 〇,其能夠升高及降 低三個或更多之升降銷362,俾能垂直地將基板242轉送到 及轉送出基板夾具300的上表面及處理系統之中的輸送
第16頁 200426940 五、發明說明(11) 面。 各元件310、312與314更包含鎖緊裝置(例如螺栓與 牙孔),俾能將一元件與另一元件固定,且固定基板夾具 300與化學處理室211。又,各元件31〇、312與314便於上 述設施通入各元件的通道,且利用真空密封件,例如彈性 的0形環,確保處理系統之中所需的真空完整性。 溫度受控制的基板夾具2 4 0之溫度可使用溫度感測裝 置3 44,例如熱電偶(例如K型熱電偶、pt感測器、等等) 加以監視。又,控制器可將溫度量測值回授給基板夾具組 件2 4 4,俾能控制基板夾具2 4 0的溫度。例如,可調整流體 流量、流體溫度、熱傳遞氣體種類、熱傳遞氣體壓力、夹 钳作用力、電阻加熱器元件電流或電壓、電熱裝置電流或 極性等等的至少之一,俾能影響基板夾具24〇之溫度及/ 或基板242之溫度的變化。 再參見圖2及圖3,化學處理系統2 1 0係包含氣體分配 系統2 60。在一實施例中,如圖8所示,氣體分配系統4〇〇 係包含沖洗型氣體射出系統,其具有氣體分配組件4 〇 2, 及結合於氣體分配組件4 0 2的氣體分配板4 0 4,並形成為氣 體分配充氣室4 0 6。雖然未圖示,但氣體分配充氣室4 〇 6則 包含一個或更多之氣體分配阻板。氣體分配板4 0 4更包含 一個或更多之氣體分配孔4 0 8,俾能將來自氣體分配充氣 室4 0 6的處理氣體散佈到化學處理室2 11之中的處理空間。 此外,一個或更多之氣體供應管線41 0、41 0,等等係經 由’例如,氣體分配組件而結合於氣體分配充氣室4 〇 6,
第17頁 200426940 五、發明說明(12) 俾能供應包含一個或更多之氣體的處理氣體。處理氣體, 例如,包括NH3、HF、H2、02、CO,C02、Ar、He 等等。 在另一實施例中,如圖9A及圖9B (為圖9A之放大圖) 所示,用以散佈具有至少兩個氣體的處理氣體之氣體分配 系統420係包含氣體分配組件422,其具有一個或更多之元 件424、426與428、第一氣體分配板430,結合於氣體分配 組件422且用以將第一氣體連接到化學處理室211的處理空 間、及第一氣體勿配板432 ’結合於第一氣體分配板430且 用以將第二氣體連接到化學處理室211的處理空間。,當 第一氣體分配板430結合於氣體分配組件422時,係構成第 -氣體分配充氣室440。此外’當第二氣體分配板432 於第一氣體分配板430時,#拟士铱一严μ v f + p 』_ ^ t 係形成第二氣體分配充氣室 442。雖然未圖示,但氣^ 灰 札體刀配充亂至440、442亦可句今 一個或更多之氣體分配咀軛。给 产祕、x J ^ 3 峨 ^ ; 阻板第二氣體分配板432更包合 第一陣列之一個或更多 又匕3 夕 < 孔444,結合且與形成在箆一名 體分配板430之中的一個式p夕 ^ 弟 軋 ^ 1固或更多之通道446的陣列一耖、 第二陣列之一個或更多 致 及 之孔4 4 8。第一陣列之一個式争夕 之孔444連同一個或更多夕捅^ 次更夕 來自第-氣體分配充氣室所九成的陣列係用以將 室2U的處理空間之中。至第第一氣體散佈到化學處理 用以將來自第二氣體分配充〜列之一個或更多之孔“8則 ^ 上 ^ 配充乳室442的第二氣體散到务風 處理室211的處理空間之由. 欣則化學 HF、η n rn,rn 。處理氣體,例如,包括、 HF iI2、02、C0 C〇2、Ar、jj ^ . , 3 氣體與第二氣體,除了在處 ^ 配置,第一 ί在處理空間之中以外,係可在不會
第18頁 200426940 五、發明說明(13) 有任何相互作用的情況下分別導入處理空間之中。 如圖1 0 A所示,第一氣體係可經由形成在氣體分配組 件422之中的第一氣體供應通道450而結合於第一氣體分配 充氣室4 4 0。此外,如圖1 0 B所示,第二氣體係可經由形成 在氣體分配組件422之中的第二氣體供應通道4 52而結合於 第二氣體分配充氣室442。 再參見圖2及圖3,化學處理系統220更包含溫度受控 制的化學處理室2 11,其被維持在升高溫度。例如,壁部 加熱元件266可結合於壁溫控制單元268 ,且壁部加熱元件 2 6 6係用以連接至化學處理室2 11。加熱元件,例如,包括 電阻加熱器元件,例如鎢、鎳-鉻合金、鋁-鐵合金、氮化 銘等等的細絲。市售用以製造電阻加熱元件的材料包括坎 薩耳、耐克婁薩耳、愛克婁薩耳,上述皆為美國康乃迪克 州貝薩爾市之坎薩耳公司的金屬合金之註冊商標名稱。坎 薩耳系列包括肥粒鐵合金(FeCrA 1 )及耐克婁薩耳系列含 有奥斯田鐵合金(N i Cr、N i CrFe )。當電流流過細絲時, 所消散的功率即產生熱,故壁溫控制單元2 6 8,例如,包 括可控制的DC電源。例如,壁部加熱元件266係包含至少 一市售的瓦特隆之發爾洛德的卡匣式加熱器(美國伊利諾 州芭達維爾市60 5 1 0之金斯蘭醫生路131〇號)。冷卻元件 亦可用於化學處理室2 11之中。可使用溫度感測裝置,例 如,電偶(例如K型熱電偶、Pt感測器等等)監視化學處 =室211的溫度。X,控制器可將溫度量測纟回授給壁溫 控制單元268 ,俾能控制化學處理室211的溫度。
200426940 五、發明說明(14) - 再參見圖3,化學處理系統21〇更包含溫度受控制的《 體分配系統260,其可保持在任意選定的溫度。例=如/氣*1 體分配加熱元件267可結合於氣體分配系統溫度控制單2 2 6 9,及氣體分配加熱元件2 6 7可連接於氣體分配系統凡 2 6 0。加熱元件,例如,包括電阻加熱器元件,例如鱗、 鎳-鉻合金、鋁-鐵合金、氮化鋁等等的細絲。市售用以勢 造電阻加熱元件的材料包括坎薩耳、耐克婁薩耳、愛克^ 薩耳,上述皆為美國康乃迪克州貝薩爾市之坎薩耳公司的 金屬合金之註冊商標名稱。坎薩耳系列包括肥粒鐵合金 (FeCrAl )及耐克婁薩耳系列含有奥斯田鐵合金(^以、 NiCrFe )。當電流流過細絲時,所消散的功率即產生^, 故壁溫控制單元268,例如,包括可控制的…電源。例 如’氣體分配加熱元件267包含雙區段的矽橡膠加熱器 (約1· Omm厚),能夠有1 400W的功率(或功率密度'為° 5W/in2 )。可使用溫度感測裝置,例如熱電偶(型熱 電偶、P t感測器等等)監視氣體分配系統2 6 〇的溫度。 又’控制器可將溫度量測值回授給氣體分配系統溫度控制 單元269,俾能控制氣體分配系統2 60的溫度。圖8至圖^〇B 之氣體分配系統可進一步結合溫度控制系統。又,或此 外,冷卻元件可用於任一實施例中。 再參見圖2及圖3,抽真空系統25 0係包含真空栗252與 用以節流處理室壓力的閘閥2 5 4。真空泵2 5 2,例如,包括 渦輪式分子真空泵(TMP),其抽真空速度能夠到達每^秒 500 0公升(及更大)。例如’ TMP為精工STp_A8〇3型的真
200426940 五、發明說明(15) 空泵,或艾巴拉ET1 301W型的真空泵。tmp適用於低壓處 理,通常低於50mTorr的情況。在高壓(即大於 lOOmTorr )或少通量處理(即無氣體流量)的情況時,則 使用機械增壓泵及乾式概略泵。 再參見圖3 ’化學處理系統21 〇更包含控制器2 3 5,其 具有微處理器、記憶體、及數位I / 〇埠,其不僅能夠產生 足以傳達並引起輸入到化學處理系統2丨〇的控制電壓、更 能夠監視化學處理系統2 1 0,例如溫度及壓力感測裝置的 輸出。又,控制器2 3 5係可結合於基板夾具組件2 4 4、氣體 分配系統260、抽真空系統250、閘閥組件296、壁溫控制 單元268、及氣體分配系統溫度控制單元269,並與其交換 資訊。例如,儲存於記憶體之中的程式係根據處理參數表 而引起到化學處理系統2 1 0之前述元件的輸入。控制器2 3 5 的一實例為美國德州奥斯汀市之戴爾公司的戴爾精密工 站 610TM 〇 在一實例中’圖4係代表化學處理系統2丨〇,,其更包 含具有把手213的蓋部212、至少一扣環214、至少一鉸鏈 217、觀看窗215、及至少一壓力感測裝置gig。 如圖2及圖5所述,熱處理系統22〇更包含溫度受控制 的基板夾具270。基板夾具270係包含基座272,藉由埶阻 障274而與熱處理室221呈熱絕緣。例如,基板夾具27'〇係 由鋁、不鏽鋼、或鎳所製成,而熱阻障274則由熱絕緣體 所製成’例如鐵氟龍(Teflon)、礬土、或石英。基板爽 具2 70更包含内建於其中的加熱元件276與連接於其上的基
第21頁 200426940
五、發明說明(16) ---- 板夾具溫度控制單元278。加熱元件276,例如,包括電阻 加熱器兀件,例如鎢、鎳-鉻合金、鋁-鐵合金、氮化鋁等 等的細絲。市售用以製造電阻加熱元件的材料包括坎薩 耳、耐克婁薩耳、愛克婁薩耳,上述皆為美國康乃迪克州 貝薩爾市之坎薩耳公司的金屬合金之註冊商標名稱。坎薩 耳系列包括肥粒鐵合金(FeCrA1)及耐克婁薩耳系列含有 奥斯田鐵合金(NiCr、NiCrFe)。當電流流過細絲時,所 消散的功率即產生熱,故壁溫控制單元268,例如,包括 可控制=DC電源。又,溫度受控制的基板夾具270,例 士為每4於其中的瓦特隆加熱器(美國伊利諾州芭達維 爾市605。10之金斯蘭醫生路131〇號),其具有4〇〇至45(pc 的最大操作溫度、或為包含氮化鋁材料的薄膜加熱器,其 亦為瓦特隆的市售產品,且具有高達3〇〇 〇c的操作溫度及 高達23.25W/Cm2的功率密度。又,冷卻元件係可併入 夾具270之中。 可使用溫度感測裝置,例如熱電偶(例如κ型熱電 偶)監視基板夾具270的溫度。又,控制器可將溫度量測 值回授給基板夾具溫度控制單元27 8,俾能控制基 270的溫度。 # 此外,可使用溫度感測裝置監視基板溫度,例如市售 的尖端能量公司之光纖溫度計(美國科羅拉多州佛得科林 斯市80525的1 625尖點驅動),型號〇R2〇〇〇F,具有從5〇它 至20 00 °C的量測範圍,且其準確度為± i 5乞,或如西元 2002年7月2日申請之美國專利申請案第1〇/168544號中所
第22頁 200426940 五、發明說明(17) 述之波段邊緣溫度量測值系統,在此其所有内容列入本 之參考資料。 再參見圖5,熱處理系統22〇更包含溫度受控制的熱處 理室221,其可維持在選定的溫度。例如,熱壁部加熱元 件283可結合於熱壁溫控制單元281,及熱壁部加埶元件 283。可-連接至熱處理室221。加熱元件,例如,包括電阻加 熱器7C件,例如鎢、鎳-鉻合金、鋁—鐵合金、氮化鋁等等 的細絲。市售用以製造電阻加熱元件的材料包括坎薩耳、 耐克婁薩耳、愛克婁薩耳,上述皆為美國康乃迪克州貝薩 爾市之坎薩耳公司的金屬合金之註冊商標名稱。坎薩耳 列包括肥粒鐵合金(FeCrAl)及耐克婁薩耳系列含有奥斯 田鐵合金(NiCr、NiCrFe)。當電流流過細絲時,所消散 的功率即產生熱,故壁溫控制單元281,例如,包括可控 制的DC電源。例如,壁部加熱元件283係包含至少一市售 的瓦特隆之發爾洛德的卡匣式加熱器(美國伊利諾州芭達 維爾市605 1 0之金斯蘭醫生路131〇號)。又,或此外冷 !元2:用於熱處理室221之中。可使用溫度感測裝 ί二;严偶(例如K型熱電偶、Pt感測器等等)監視 二二;^ ΐ祕之中的溫度。又,控制器可將溫度量測值回 授給::控制單元281,俾能控制熱處理室221的溫度。 杜及圖5 ’熱處理系統220更包含上組件284。 體且卢理顏於例如、’生包氣體射出系統,俾用以將洗滌氣 处;、或清潔氣體導入熱處理室2 21之中。又, 熱處理室221係包含獨立於上組件之外的氣體射出系統。
第23頁 五、發明說明(18) 例如,可經由其側壁而將洗滌氣體、處理氣體、或清潔氣 體導入熱處理室221之中。其更包含遮罩或蓋部,具有至 少一鉸鏈、把手、及扣環,用以將蓋部閂鎖於密閉位置。 在另一實施例中,上組件2 8 4係包含輻射加熱器,例如一 陣列之鎢鹵素燈’用以加熱靜止在基板升降組件2 9 〇之最 上端葉片500 (如圖11)之上的基板242,,。於此情況下, 可將基板夾具270排除在熱處理室221之外。 再參見圖5,熱處理系統220更包含溫度受控制的上組 件2 8 4 ’其可維持在選定的溫度。例如,上組件2 8 5可結人 於上組件溫度控制單元286,及上組件加熱元件285可^ 至上組件284。加熱元件,例如,包括電阻加熱器元件, 例如鎢、鎳-鉻合金、鋁—鐵合金、氮化鋁等等的細絲。市 售用以製造電阻加熱元件的材料包括坎薩耳、耐克婁薩 耳、愛克婁薩耳,上述皆為美國康乃迪克州貝薩爾市之坎 薩耳公司的金屬合金之註冊商標名稱。坎薩耳系列包括肥 粒鐵合金(FeCrAl )及耐克婁薩耳系列含有奥斯田鐵合金 (NiCr、NiCrFe)。當電流流過細絲時,所消散的功^即 產生熱,故上組件溫度控制單元28 6,例如,包括可控制 的DC電源。例如,上組件加熱元件267包含雙區段的矽橡 膠加熱器(約1· Oinm厚),能夠有1 40 0W的功率(或功率密 度為5 W / i η )。可使用溫度感測裝置,例如熱電偶(例'如κ 型熱電偶、Pt感測器等等)監視上組件284的溫度。又, 控制器可將溫度量測值回授給上組件溫度控制單元2 8 6, 俾能控制上組件2 8 4的溫度。上組件2 8 4可更或又包含♦卻 200426940 五、發明說明(19) 元件。 再參見圖2及圖5,熱處理系統220更包含基板升降組 件2 90。基板升降組件29〇係不僅用以將基板242,降低到基 板夾具270的上表面、更可將基板242,,從基板夾具270的 上表面提局到載持面,或提高到輸送面之間。在輸送面 時’可使基板242’ ’與輸送系統進行交換,而輸送系統則 用以基板轉移到且轉移出化學及熱處理室2Π、221。在載 持面時,可在冷卻基板242,,時、同時使另一基板在在輸 送系統與化學及熱處理室211、2 2 1之間進行交換。如圖11 所示,基板升降組件290係包含葉片50〇,其具有三個或更 f 多之吊環510、凸緣5 20,用以將基板升降組件290連結到 熱處理室221、及驅動系統530,用以進行葉片5〇〇在熱處 理室221之中的垂直轉送。吊環5 1〇係用以在已升高的位置 上抓取基板242,,,且在降低的位置時,凹陷於形成在基 板炎具270之中(如圖5 )的收納凹穴54〇中。驅動系統 5 3 0,例如,為氣壓驅動系統,並將其設計成符合各種規 格’包括氣壓缸行程長度、氣壓缸行程速度、位置精度、 非旋轉精度等等,而上述設計係為熟悉氣壓驅動系統設計 技術者所熟知。 再參見圖2及圖5,熱處理系統22〇更包含抽真空系统 W 280。抽真空系統280,例如,包括真空泵及節流閥,例如 閘閥或蝶式閥。真空栗,例如,包括渦輪式分子真空系 (TMP),其抽真空速度能夠到達每秒5〇〇〇公升(及更 大)4MP適用於低壓處理,通常低於5〇mT〇rr的情況。在 第25頁 200426940 五、發明說明(20) 二Lr:L°0mTorr)或少通量處理(即無氣體流量) 的匱:夺’則使用機械增壓泵及乾式概略泵。 有糌圖5 ’熱處理系統220更包含控制器275 ’其具 二己憶體、及數位1/0埠,其不僅能夠產生足 龄、胃β &勒入到熱處理系統22〇的控制電壓、更能夠 二ί .,、、处ί ,、統220的輸出。又,控制器275係可結合於基 二β严溫也度控制單元278、上組件溫度控制單元286、上組 1/ 控制單元281、抽真以謂〇、及基板升 且4 2 9 0,並與其交換資訊。例如,儲存於記憶體之中 的程式係根據處理#數表而引㈣熱處理系統22〇之前述 几件的輸入。控制器275的一實例為美國德州奥斯汀市之 戴爾公司的戴爾精密工作站Η 〇ΤΜ。 在另實施例中’控制器2 3 5及2 7 5為相同之控制器。 ^在一實例中,圖6係代表熱處理系統220,,其更包含 蓋邛222,具有把手223及至少一鉸鏈224、觀看窗225、及 至少一壓力感測裝置226。此外,熱處理系統220,更包含 基板偵測系統227,俾能確認基板是否位於載持面之内。 基板偵測系統,例如,包括奇恩斯的數位雷射感測器。
圖1 2、圖1 3及圖1 4分別代表熱絕緣組件2 3 〇的側視圖、上 視圖、及侧橫剖面圖。亦可將類似的組件當作熱絕緣組件 50、150或650使用。熱絕緣組件23〇係包含界面板23ι,其 結合於,例如,化學處理室2 η,如圖丨2所示,並用以形 成為熱處理室2 21 (如圖1 4 )與化學處理室2 11之間的結構 性接觸、及包含絕緣板232,結合於界面板231及減小熱處 第26頁 五、發明說明(21) 理室221與化學處理室211之 界面板231係包含一個或更夕曰的熱接觸。又,在圖12中, 有用以連接於熱處理室3221二之結構性接觸構件233,其具 面板231係由金屬所製成,匹配表面的匹配表面234。界 成兩個處理室2Π、之間'如鋁、不鏽鋼等等,俾能構 低熱傳導性的材料所製而的剛性接觸。絕緣板2 3 2係由 土、石英等等。在同一天=如鐵氟龍(Teflon)、礬 10/XXXXXX號,「用以埶二之美國專利申請案第 的方法與設備」,已ϋ、%目鄰之溫度受控制的處理室 有内容列資說明熱絕緣組件,故在此將其所 閥297二皁能啟::門:閥組件2 97係用以垂直地轉送閘 含閘閥轉:板= 並具有與問闕m之間的Ϊ封J面板231之間的真空密封環 兩個處理室2 11、2 21係利用一個或更多之對位裝置 235且終止於一個或更多之對位受器235,,如圖6所示,及 一個或更多之鎖緊裝置236 (即螺栓)穿過第一室之凸緣 2 3 7 (例如化學處理室21 i )且終止於第二處理室(例如熱 處理室221)之中的一個或更多之容納裝置236,(即牙 孔)’如圖6所示,故能互相結合。如圖1 4所示,真空密 封環可形成在絕緣板232、界面板231、閘轉接板239之 間’且使用’例如,塑彈性〇形密封環238、與真空密封環 的化學處理室211可經由〇形密封環238而形成在界面板232 與熱處理室2 2 1之間。 第27頁 200426940 五、發明說明(22) :!含化學處理室211與熱處理室221等 之70件的表面係可塗佈有保護性阻障 或更 包含卡普頓、榻翁韶r τ η Λ 4呆4十生Ρ且障層# C 3卡曰頓鐵亂龍(Teflon)、表面抗氧化芦 土:㈣等等的陶究噴灑塗佈、f聚電解氧化^等的至少 之 0 圖15係代表具有化學處理系統21〇與熱處理系统22〇之 處理糸統200的操作方法。此方法以流程圖8〇〇加以 由步驟810開始,其中使用基板輸送系統將基板傳送到化 學處理系統210。藉由容納於基板夾具之中的升降銷接收 基板’並將基板降低到基板夾具。之後,使用夾鉗系統, 例如靜電夾钳系統,將基板固定於基板夾具,並將熱傳遞 氣體供應到基板的背面。
在步驟820中’設定用於基板之化學處理的一個或更 多之化學處理參數。例如,一個或更多之化學處理參數係 包含化學處理的處理壓力、化學處理壁溫、化學處理基板 夾具溫度、化學處理基板溫度、化學處理氣體分配系統温 度、及化學處理氣體流量的至少之一。例如,可產生下列 之一個或更多者:1 )結合於壁溫控制單元與第一溫度感 測裝置的控制器係用於設定化學處理室所需的化學處理室 溫度;2 )結合於氣體分配系統溫度控制單元與第二溫度 感測裝置的控制器係用於設定化學處理室所需的化學處理 氣體分配系統溫度;3 )結合於溫度控制元件與第三溫度 感測裝置的至少之一的控制器係用於設定化學處理基板夾 具溫度;4 )結合於溫度控制元件、背面供氣系統、夾鉗
第28頁 200426940 五、發明說明(23) - 系統、及基板夾具之中的第四溫度感測裝置的至少之一的 控制器係用於設定化學處理基板溫度;5 )結合於抽真空 系統、氣體分配系統、及壓力感測裝置的至少之一的控制 器係用於設定化學處理室之中的處理壓力;及/戍6) 一 個或更多之處理氣體的質流量係藉由結合於氣體;配系;统 之中的一個或更多之質流控制器的控制器加以設定。 在步驟830中,以步驟820所設定的條件對=板進行長 達第一期間的化學處理。第一期間的範圍係在1〇到48〇秒 之内。 在步驟840中,將基板從化學處理室傳送到熱處理 室。在此期間内,移去基板夾鉗,且終止供應到基板之背 面的熱傳遞氣體之流量。使用容納於基板夾具之中的升降 銷組件將基板垂直地從基板夾具升高到輸送面。輸送系統 從升降銷接收基板,並將基板定位在熱處理系統之中。此 時,基板升降組件係從輸送系統接收基板,並將基板降低 至基板夾具。 在步驟850中,設定基板熱處理所需之熱處理參數。 例如’ 一個或更多之熱處理參數包括熱處理壁溫、熱處理 上組件溫度、熱處理基板溫度、熱處理基板夾具溫度、熱 處理基板溫度、及熱處理的處理壓力的至少之一。例如, 可產生下列之一個或更多者:1)結合於熱處理室之中的 壁溫控制單元與第一溫度感測裝置的控制器係用於設定熱 處理時的壁溫;2 )結合於上組件之中的上組件溫度控制 單元與第二溫度感測裝置的控制器係用於設定熱處理上組
第29頁 200426940 五、發明說明(24) 件溫度;3 )結合於已加熱之基板夾具之中的基板夾具溫 度控制單元與第三溫度感測裝置的控制器係用於設定熱處 理基板夾具溫度;4)結合於已加熱之基板夾具之十的基 板夾具溫度控制單元與第四溫度感測裝置、士 的控制,係用於設定熱處理基板溫度;5合:ν/广 系統、氣體分配系統、及壓力感測裝置的控制器係用於設 定熱處理室之中的熱處理之處理壓力。 在步驟860中,以步驟850所設定的條件對基板進行長 達第二期間的熱處理。第二期間的範圍係在丨〇到48 〇秒之 内0 在一實例中,如圖2所示之處理系統2〇〇可為用以裁剪 氧化硬罩的化學氧化去除系統。處理系統2 〇 〇係包含用以 化學性處理基板之露出的表面層,例如氧化表面層,的化 學處理系統2 1 0,藉以吸收足以影響表面層之化學變化的 露出表面上的處理用化學物質。此外,處理系統2 〇 〇係包 含用以熱處理基板的熱處理系統2 2 0,藉以提高基板溫 度’俾能吸收(或去除)基板之露出表面層的化性變化。 在化學處理系統2 1 0中,將處理空間2 6 2 (如圖2 )抽 真空,並通入HF與NH3的處理氣體。又,處理氣體更包含載 子氣體。載子氣體,例如,包括惰性氣體,例如氬、氙、 氦等等。處理壓力的範圍從1到lOOmTorr。又,處理壓力 的範圍從2到2 5mTorr。對各物種之處理氣體的流量範圍從 1到200seem。又,流量的範圍從1〇到1〇〇SCCH1。雖然圖2及 圖3所示之抽真空系統250係從側面通入化學處理室211,
第30頁 200426940 五、發明說明(25) m ί:=的(三維的)壓力場。表格1顯示基板表 2 6。:美丄t相依性為處理壓力及氣體分配系統 =2之上表面之間的間隔之函數。 录格1 20 m 迦: 9 7 4 3 M.間距) --------- 50 rnm 62 75 ' 100 200 0.6 無 無 無 無 無 0.75 0.42 無 3.1 1.6 1.2 無 無 5.9 2.8 hi 热 無 無 無 3.5 3.1 1.7 0.33 (%) 壓力 ^此外,可將化學處理室2 11加熱到1 0 °C至2 0 0 °C的溫度 範圍。又’處理室的溫度範圍從3 5 〇c至5 5 I。此外,可將 氣體分配系統加熱到1 〇 °C至2 0 0 °c的溫度範圍。又,氣體 分配系統的溫度範圍從4 〇 °c至6 〇。〇。可將基板維持在1 〇 °c 至5 0 C的溫度範圍。又,基板的溫度範圍從2 5 至3 〇 。
在熱處理系統2 2 0中,可將熱處理室2 2 1加熱到2 0 °C至 2 0 0 °C的溫度範圍。又,處理室的溫度範圍從7 5 °C至 1 0 0 °C。此外,可將上組件加熱到2 0 °C至2 0 0 °C的溫度範 圍。又,上組件的溫度範圍從7 5 °C至1 0 0 °C。可將基板加 熱到超過1 0 0 °C的溫度,例如,從1 〇 〇 °c至2 0 0 °C。又,基 板的溫度範圍從1〇〇 °C到50 °C。 在此所述之化學處理及熱處理對露出的氧化表面層係 造成超過每六十秒1 〇nm之熱氧化的化學處理之蝕刻量、對 該露出的氧化表面層造成超過每一百八十秒25 nm之熱氧化
第31頁 200426940 發明說明(26) 的化學處理之#刻4、與對該露出的氧化表面層造 每一百八十秒1 〇nm之臭氧TE〇s的化學處理之蝕刻量 處理對整個基板之蝕刻量所產生的變異小於2. 5 % 雖然藉由上述各實施例說明本發明,但熟悉本 之人士應清楚瞭解:只要在不脫離本發明之^的 下,可藉由任一變化型式據以實施本發明。故本發 圍係包括上述各實施例及其變化型態。 $ 成超過 。兩種 項技藝 情況 明之範 200426940 圖式簡單說明 五、【圖式簡單說明】 圖1 A顯示本發明之一實施例的化學處理系統與熱處理 系統所需之輸送系統的示意圖。 圖1 B顯示本發明之另一實施例的化學處理系統與熱處 理系統所需之輸送系統的示意圖。 圖1 C顯示本發明之又一實施例的化學處理系統與熱處 理系統所需之輸送系統的示意圖。 圖2顯示本發明之一實施例的處理系統之橫剖面圖。 圖3顯示本發明之一實施例的化學處理系統之橫剖面 圖。 圖4顯示本發明之另一實施例的化學處理系統之立體 圖。 圖5顯示本發明之一實施例的熱處理系統之橫剖面 圖。 圖6顯示本發明之另一實施例的熱處理系統之立體 圖。 圖7顯示本發明之一實施例的基板爽具之橫剖面圖。 圖8顯示本發明之一實施例的氣體分配系統之橫剖面 圖。 圖9A顯示本發明之另一實施例的氣體分配系統之橫剖 面圖。 圖9B顯示圖9A所示之本發明的一實施例之氣體分配系 統的放大圖。 圖1 0A與圖1 0B顯示圖9A所示之本發明的一實施例之氣
第33頁 200426940 圖式簡單說明 體分配系統的立體圖。 圖11顯示本發明之一實施例的基板升降組件。 圖1 2顯示本發明之一實施例的熱絕緣組件之側視圖。 圖1 3顯示本發明之一實施例的熱絕緣組件之上視圖。 圖1 4顯示本發明之一實施例的熱絕緣組件之橫剖面側 視圖。 圖1 5顯示用於處理基板的流程圖。 元件符號說明: 1 ^ 100 200 、600 處理系統 10 > 110 610 第一 處理系統 120 ^ 20 620 第二 處理系統 130 〜30 630 輸送 系統 150 >50 650 隔離 組件 212 、210, 化 學處理系統 213 化 學 處理 室 214 蓋 部 215 把 手 216 扣 環 217 觀 看 窗 216 壓 力 感測 裝置 217 鉸 鍵 222 、22 0, 熱 處理系統 223 熱 處 理室
第34頁
200426940 圖式簡單說明 222 蓋部 223 把手 224 鉸鏈 225 觀看窗 226 壓力感測裝置 227 基板偵測系統 2 3 1、2 3 1 熱絕緣組件 231 界面板 232 絕緣板
233 結構性接觸構件 234 匹配表面 239 閘轉接板 2380 形密封環 235、275 控制器 2 3 5’ 對位受器 236 鎖緊裝置 236’ 容納裝置(牙孔) 237 凸緣
241、 270、30 0 基板夾具 242、 242’、242’ ’ 基板 245 基板夾具組件 2 5 1、2 8 0 抽真空系統 252 真空泵 255 閘閥
第35頁 200426940 圖式簡單說明 260、40 0、420 氣體分配系統 263 處理空間 267 壁部加熱元件 268 氣體分配加熱元件 269 控制單元 270 氣體分配系統溫度控制單元 272 基座 274 熱阻障 276 加熱元件 t 278 基板夾具溫度控制單元 281 熱壁溫控制單元 285 熱壁部加熱元件 2 8 6、2 8 5 上組件 286 上組件溫度控制單元 291 基板升降組件 294 共同開口 296 閘閥組件 299 轉移開口 310 處理室匹配元件 311 絕緣元件 312 絕緣元件 314 溫度控制元件 32 0 冷媒通道 322 冷媒入口
第36頁 200426940 圖式簡 單說明 324 冷 媒 出 D 328 靜 電 夾 鉗 ( ESC ) 1 330 陶 瓷 層 332 夾 鉗 電 極 334 高 壓 (HV ) DC 電 壓 源 336 電 性 接 點 340 背 面 供 氣 系 統 342 氣 體 供 應 管 線 344 溫 度 感 測裝 置 350 熱 絕 緣 空 隙 360 升 降 銷 組 件 362 升 降 銷 40 製造系統 402 氣 體 分 配 組 件 404 氣 體 分 配 板 406 氣 體 分 配 充 氣 室 408 氣 體 分 配 孔 410 、410, 氣 體 供 應 管 線 422 氣 體 分 配 組 件 424 、426 > .428 元 件 430 第 一 氣 體 分 配 板 432 第 二 氣 體 分 配 板 440 第 一 氣 體 分 配 充 氣 室 442 第 二 氣 體 分 配 充 氣 室
第37頁
200426940 圖式簡單說明 444 、 448 孔 446 通道 450 第一氣體供應通道 452 第二氣體供應通道 5 0 0 葉片 510 吊環 520 凸緣 530 驅動系統 540 凹穴
8 1 0至8 6 0 步驟
__國 第38頁

Claims (1)

  1. 200426940 六、申請專利範圍 1. 一種基板化學處理用之處理系統,包含: 一溫度受控制的化學處理室; 一溫度受控制的基板夾具,其安裝在該化學處理室之 中且形成為實質熱絕緣於該化學處理室;
    一抽真空系統,結合於該化學處理室;及 一氣體分配系統,結合於該化學處理室且用以將一個 或更多之處理氣體導入該化學處理室之中,俾能化學性改 變該基板之露出的表面層,其中該氣體分配系統具有一溫 度受控制的局部,其曝露於該化學處理室之中的該一個或 更多之處理氣體。
    2. 如申請專利範圍第1項之基板化學處理用之處理系統, 更包含一控制器,結合於溫度受控制的該化學處理室、溫 度受控制的該基板夾具、該抽真空系統、與該氣體分配系 統的至少之一,且用以對化學處理室溫度、化學處理基板 夾具溫度、化學處理氣體分配系統溫度、該抽真空系統所 需的化學處理的處理壓力、與該氣體分配系統所需之該處 理氣體的化學處理質流量的至少之一進行設定、監視、與 調整操作的至少之一。 3. 如申請專利範圍第1項之基板化學處理用之處理系統, 其中該化學處理系統係結合於另一處理系統。 4.如申請專利範圍第1項之基板化學處理用之處理系統,
    第39頁 200426940 六、申請專利範圍 其中該化學處理系統係結合於一熱處理系統與一基板沖洗 系統的至少之一。 5. 如申請專利範圍第1項之基板化學處理用之處理系統, 其中該化學處理系統係結合於一輸送系統。 6. 如申請專利範圍第1項之基板化學處理用之處理系統, 其中溫度受控制的該基板夾具具有靜電夾钳系統、背面供 氣系統與一個或更多之溫度控制元件的至少之一。
    7. 如申請專利範圍第6項之基板化學處理用之處理系統, 其中該一個或更多之溫度控制元件具有冷卻道、加熱道、 電阻加熱元件、輻射燈與電熱裝置的至少之一。 8. 如申請專利範圍第1項之基板化學處理用之處理系統, 其中溫度受控制的該化學處理室具有冷卻道、加熱道、電 阻加熱元件、輻射燈與電熱裝置的至少之一。
    9. 如申請專利範圍第1項之基板化學處理用之處理系統, 其中該氣體分配系統具有至少一氣體分配充氣室。 1 0.如申請專利範圍第1項之基板化學處理用之處理系統, 其中該氣體分配系統包含至少一氣體分配板,該氣體分配 板包含一個或更多之氣體射出孔。
    第40頁 200426940 六、申請專利範圍 11.如申請專利範圍第1項之基板化學處理用之處理系統, 其中該一個或更多之處理氣體包含HF與NH3的至少之一。 1 2.如申請專利範圍第1項之基板化學處理用之處理系統, 其中該一個或更多之處理氣體包含一第一氣體與一相異於 該第一氣體的第二氣體。
    1 3.如申請專利範圍第1 2項之基板化學處理用之處理系 統,其中該氣體分配系統包含一第一氣體分配充氣室與一 第一氣體分配板,該第一氣體分配板具有第一陣列之一個 或更多之孔與第二陣列之一個或更多之孔,俾用以使該第 一氣體通過該第一氣體分配板之中的該第一陣列之一個或 更多之孔而連結到該處理空間、及包含一第二氣體分配充 氣室與一第二氣體分配板,該第二氣體分配板之中具有使 該第二氣體通過該第二氣體分配板之中的該通道與該第一 氣體分配板之中的該第二陣列之一個或更多之孔而連結到 該處理空間的通道。
    1 4.如申請專利範圍第1 2項之基板化學處理用之處理系 統,其中該第一氣體為HF且該第二氣體為NH3。 1 5.如申請專利範圍第1項之基板化學處理用之處理系統, 其中該氣體分配系統在將該第一與第二氣體導入該處理空
    第41頁 200426940 六、申請專利範圍 間之前,先對該第一與第二氣體進行部份混合與完全混合 的至少之一。 1 6.如申請專利範圍第1項之基板化學處理用之處理系統, 其中使該第一氣體與該第二氣體,除了在該處理空間以 外,不會有任何相互作用的情況下分別地導入該處理空間 之中。
    1 7.如申請專利範圍第2項之基板化學處理用之處理系統, 其中該控制器係用以將該氣體分配系統的溫度設定成大於 該化學處理室的溫度。 1 8. —種基板化學處理用之處理系統的操作方法,包含以 下步驟:
    一輸送步驟,將該基板輸送到一化學處理系統之中, 該化學處理系統則包含一溫度受控制的化學處理室、一溫 度受控制的基板夾具,其安裝在該化學處理室之中且形成 為實質熱絕緣於該化學處理室、一抽真空系統,結合於該 化學處理室、一氣體分配系統,用以將一個或更多之處理 氣體導入該化學處理室之中且具有一溫度受控制的局部, 其曝露於該化學處理室之中的該一個或更多之處理氣體、 與一控制器,結合於該化學處理系統; 一設定化學處理參數步驟,使用該控制器設定該化學 處理系統所需之化學處理參數,其中該化學處理參數包含
    第42頁 200426940 六、申請專利範圍 一化學處理的處理壓力、一化學處理室溫度、一化學處理 基板溫度、一化學處理氣體分配系統溫度、一化學處理基 板夾具溫度、與一化學處理氣體流量;及 ^^處理步驟,使用該化學處理參數處理该化學處理糸 統之中的該基板,俾能化學性地改變該基板之露出的表面 層。 1 9 ·如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中該一個或更多之處理氣體具有含HF 的 第一氣體與含NH3的第二氣體。 2 0 ·如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法’其中溫度受控制的該基板夾具具有靜電夾鉗 系統、背面供氣系統與一個或更多之溫度控制元件的至少 之一 〇 21 · β如申睛專利範圍第2〇項之基板化學處理用之處理系統 的操作方法’其中該一個或更多之溫度控制元件具有冷卻 ^ 加熱道、電阻加熱元件、輻射燈與電熱裝置的至少之 沾掩^申+明專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,I r 、酋、加熱、曾 /、中>jnL度受控制的該化學處理室具有冷卻 、0…、道、電阻加熱元件、輻射燈與電熱裝置的至少之
    第43頁 200426940 六、申請專利範圍 2 3.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中該氣體分配系統具有至少一氣體分配充 氣室。 2 4.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中該氣體分配系統包含至少一氣體分配 板,該氣體分配板包含一個或更多之氣體射出孔。
    2 5.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中該氣體分配系統包含一第一氣體分配充 氣室與一第一氣體分配板,該第一氣體分配板具有第一陣 列之一個或更多之孔與第二陣列之一個或更多之孔,俾用 以使該第一氣體通過該第一氣體分配板之中的該第一陣列 之一個或更多之孔而連結到該處理空間、及包含一第二氣 體分配充氣室與一第二氣體分配板,該第二氣體分配板之 中具有使該第二氣體通過該第二氣體分配板之中的該通道 與該第一氣體分配板之中的該第二陣列之一個或更多之孔 而連結到該處理空間的通道。 2 6.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中該氣體分配系統在將該第一與第二氣體 導入該處理空間之前,先對該第一與第二氣體進行部份混
    第44頁 200426940 六、申請專利範圍 合與完全混合的至少之一。 2 7.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中使該第一氣體與該第二氣體,除了在該 處理空間以外,不會有任何相互作用的情況下分別地導入 該處理空間之中。
    2 8.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中設定該化學處理室溫度的步驟包含使用 一壁溫控制單元加熱該化學處理室及監視該化學處理室溫 度。 2 9.如申請專利範圍第28項之基板化學處理用之處理系統 的操作方法,其中該化學處理室溫度的範圍從1 0 °C到 2 0 0 〇C。
    3 0.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中設定該化學處理基板夾具溫度的步驟包 含調整該一個或更多之溫度控制元件的至少之一及監視該 化學處理基板夾具溫度。 3 1.如申請專利範圍第3 0項之基板化學處理用之處理系統 的操作方法,其中該化學處理基板夾具溫度的範圍從1 0 °C 到 5 0 〇C 0
    第45頁 200426940 六、申請專利範圍 3 2.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中該設定該化學處理基板溫度的步驟包含 調整該一個或更多之溫度控制元件、該背面氣體供應系 統、與該夾鉗系統的至少之一,並監視該化學處理基板溫 度。
    3 3.如申請專利範圍第3 2項之基板化學處理用之處理系統 的操作方法,其中該化學處理基板溫度的範圍從1 (TC到 5 0 〇C。 3 4.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中設定該化學處理的處理壓力之步驟包含 調整該真空處理系統與該氣體分配系統的至少之一,並監 視該化學處理的處理壓力。
    3 5.如申請專利範圍第34項之基板化學處理用之處理系統 的操作方法,其中該化學處理的處理壓力的範圍從1到 10 OmTorr 〇 3 6.如申請專利範圍第1 8項之基板化學處理用之處理系統 的操作方法,其中該一個或更多之化學處理參數更包含一 化學處理氣體分配系統溫度。
    第46頁 200426940 六、申請專利範圍 3 7.如申請專利範圍第36項之基板化學處理用之處理系統 的操作方法,其中設定該化學處理氣體分配系統溫度的步 驟包含使用一氣體分配系統溫度控制單元加熱該氣體分配 系統及監視該化學處理氣體分配系統溫度。 3 8.如申請專利範圍第37項之基板化學處理用之處理系統 的操作方法,其中該化學處理氣體分配系統溫度範圍從 1 0 °C 到 2 0 0 〇C。
    第47頁
TW093107048A 2003-03-17 2004-03-17 Processing system and method for chemically treating a substrate TWI253690B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US45464203P 2003-03-17 2003-03-17

Publications (2)

Publication Number Publication Date
TW200426940A true TW200426940A (en) 2004-12-01
TWI253690B TWI253690B (en) 2006-04-21

Family

ID=33029907

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093107048A TWI253690B (en) 2003-03-17 2004-03-17 Processing system and method for chemically treating a substrate

Country Status (7)

Country Link
US (3) US6951821B2 (zh)
EP (1) EP1604388B1 (zh)
JP (3) JP5107572B2 (zh)
AT (1) ATE496391T1 (zh)
DE (1) DE602004031089D1 (zh)
TW (1) TWI253690B (zh)
WO (1) WO2004082820A2 (zh)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
JP2005043052A (ja) * 2003-07-22 2005-02-17 Hitachi High-Technologies Corp 異物検出方法、処理装置および異物管理システム
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US20060021571A1 (en) * 2004-07-28 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum pump line with nickel-chromium heater layer
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
EP1728894B1 (en) * 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
EP1790758A1 (en) * 2005-11-25 2007-05-30 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP4805948B2 (ja) * 2005-12-22 2011-11-02 東京エレクトロン株式会社 基板処理装置
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP5084250B2 (ja) * 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8083862B2 (en) * 2007-03-09 2011-12-27 Tokyo Electron Limited Method and system for monitoring contamination on a substrate
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP4949091B2 (ja) 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
JP5069982B2 (ja) * 2007-09-06 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
US20090212014A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method and system for performing multiple treatments in a dual-chamber batch processing system
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8115140B2 (en) 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8344300B2 (en) * 2010-06-14 2013-01-01 Tokyo Electron Limited Device to reduce shadowing during radiative heating of a substrate
JP5101665B2 (ja) * 2010-06-30 2012-12-19 東京エレクトロン株式会社 基板載置台、基板処理装置および基板処理システム
US20120009796A1 (en) * 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8946058B2 (en) 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9105705B2 (en) 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
KR101582711B1 (ko) * 2012-11-13 2016-01-05 주식회사 엘지화학 유리기판의 평탄면 형성장치
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP5807160B2 (ja) * 2012-12-13 2015-11-10 パナソニックIpマネジメント株式会社 ノンプラズマドライエッチング装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
JP6173743B2 (ja) * 2013-03-29 2017-08-02 芝浦メカトロニクス株式会社 プラズマ処理装置、およびプラズマ処理方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
WO2017144076A1 (en) * 2016-02-22 2017-08-31 Applied Materials Italia S.R.L. Apparatus for processing of a solar cell substrate, system for processing of a solar cell substrate and method for processing of a solar cell substrate
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10497575B2 (en) 2017-05-03 2019-12-03 Tokyo Electron Limited Method for increasing trench CD in EUV patterning without increasing single line opens or roughness
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JP6427628B2 (ja) * 2017-06-05 2018-11-21 芝浦メカトロニクス株式会社 プラズマ処理装置、およびプラズマ処理方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10607851B2 (en) 2017-08-25 2020-03-31 Micron Technology, Inc. Vapor-etch cyclic process
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108360046B (zh) * 2018-02-08 2019-10-18 平湖乔智电子有限公司 一种led灯壳电镀用夹持装置
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11637022B2 (en) 2018-07-09 2023-04-25 Lam Research Corporation Electron excitation atomic layer etch
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110484952B (zh) * 2019-07-08 2020-09-25 西安理工大学 一种活塞火力岸至顶面范围微弧氧化工艺装备
WO2024072668A1 (en) * 2022-09-30 2024-04-04 Lam Research Corporation Dome shaped chamber for generating in-situ cleaning plasma

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4898798A (en) * 1986-09-26 1990-02-06 Canon Kabushiki Kaisha Photosensitive member having a light receiving layer comprising a carbonic film for use in electrophotography
JP2605465B2 (ja) * 1990-08-31 1997-04-30 日本電気株式会社 容量絶縁膜の形成方法
JPH0521578A (ja) * 1991-07-15 1993-01-29 Fujitsu Ltd 半導体製造装置
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH07254586A (ja) * 1994-03-15 1995-10-03 Kawasaki Steel Corp 半導体装置の製造方法
JPH07283205A (ja) * 1994-04-14 1995-10-27 Fujitsu Ltd エッチング方法
JPH08195381A (ja) * 1995-01-17 1996-07-30 Fujitsu Ltd 半導体装置の製造方法
US5679168A (en) * 1995-03-03 1997-10-21 Silicon Valley Group, Inc. Thermal processing apparatus and process
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
JPH1041277A (ja) * 1996-07-23 1998-02-13 Miyazaki Oki Electric Co Ltd ドライエッチング装置およびこれを用いたドライエッチング方法
US5976309A (en) * 1996-12-17 1999-11-02 Lsi Logic Corporation Electrode assembly for plasma reactor
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JP3583289B2 (ja) * 1998-05-28 2004-11-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP2001040480A (ja) * 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
WO2001050109A2 (en) 2000-01-05 2001-07-12 Tokyo Electron Limited Wafer band-edge measurement using spectroscopy and a process of uniform wafer temperature control
US6245619B1 (en) 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
US6271094B1 (en) 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
US6331212B1 (en) 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP3811602B2 (ja) * 2000-09-01 2006-08-23 大日本スクリーン製造株式会社 基板表面処理方法および基板表面処理装置
JP2002110571A (ja) * 2000-10-04 2002-04-12 Sony Corp 成膜装置および成膜方法
JP2002134478A (ja) * 2000-10-25 2002-05-10 Hitachi Ltd オゾン処理装置
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
JP2002217414A (ja) * 2001-01-22 2002-08-02 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2002280372A (ja) * 2001-03-19 2002-09-27 Tokyo Electron Ltd 処理装置
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Also Published As

Publication number Publication date
DE602004031089D1 (de) 2011-03-03
TWI253690B (en) 2006-04-21
JP2011009777A (ja) 2011-01-13
US6951821B2 (en) 2005-10-04
US20050211386A1 (en) 2005-09-29
ATE496391T1 (de) 2011-02-15
EP1604388B1 (en) 2011-01-19
EP1604388A2 (en) 2005-12-14
JP5555743B2 (ja) 2014-07-23
US7964058B2 (en) 2011-06-21
JP2012209574A (ja) 2012-10-25
WO2004082820A3 (en) 2004-11-04
US20110204029A1 (en) 2011-08-25
JP2006521017A (ja) 2006-09-14
US20040182417A1 (en) 2004-09-23
WO2004082820A2 (en) 2004-09-30
JP5290250B2 (ja) 2013-09-18
JP5107572B2 (ja) 2012-12-26

Similar Documents

Publication Publication Date Title
TW200426940A (en) Processing system and method for chemically treating a substrate
JP4795935B2 (ja) 基板を処理する処理システムおよび方法
EP1604389B1 (en) Processing system and method for thermally treating a substrate
US7651583B2 (en) Processing system and method for treating a substrate
EP1730770B1 (en) Method for treating a substrate
JP2010520649A (ja) 高スループットの非プラズマ処理を行う処理システム及び方法
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
WO2005104215A2 (en) Method and system for adjusting a chemical oxide removal process using partial pressure

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent