TW200301524A - Method for improving electromigration performance of metallization features through multiple depositions of binary alloys - Google Patents

Method for improving electromigration performance of metallization features through multiple depositions of binary alloys Download PDF

Info

Publication number
TW200301524A
TW200301524A TW091136064A TW91136064A TW200301524A TW 200301524 A TW200301524 A TW 200301524A TW 091136064 A TW091136064 A TW 091136064A TW 91136064 A TW91136064 A TW 91136064A TW 200301524 A TW200301524 A TW 200301524A
Authority
TW
Taiwan
Prior art keywords
alloy
layer
conductive filler
conductive
metal
Prior art date
Application number
TW091136064A
Other languages
English (en)
Inventor
Fei Wang
Brian J Macdonald
Amit P Marathe
John E Sanchez
Pin-Chin Connie Wang
F Bernard Joffre
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200301524A publication Critical patent/TW200301524A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/107Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by filling grooves in the support with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/07Electric details
    • H05K2201/0753Insulation
    • H05K2201/0769Anti metal-migration, e.g. avoiding tin whisker growth
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/0723Electroplating, e.g. finish plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/04Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed mechanically, e.g. by punching
    • H05K3/045Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed mechanically, e.g. by punching by making a conductive layer having a relief pattern, followed by abrading of the raised portions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/38Improvement of the adhesion between the insulating substrate and the metal
    • H05K3/388Improvement of the adhesion between the insulating substrate and the metal by the use of a metallic or inorganic thin film adhesion layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.
    • Y10T29/49144Assembling to base an electrical component, e.g., capacitor, etc. by metal fusion
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base

Description

200301524 ψ
[發明所屬之技術領域] 本發明係關於具有嵌入(i η丨a i d )(”金屬鑲嵌 (damascene)”形式)金屬化圖案,例如互連線線路, 元件,例如半導體積體電路元件,並且係關於用以’減<小電、 實質上避免對該金屬化圖案之金屬特徵有害的電遷移〔$ 法。尤其,本發明係關於包括銅(Cu )互連線圖案之半導體 元件,並且適用於具有次微米尺寸的設計特徵及高電性傳 導互連綠結構之製造。 [先前技術] 籲本發明係關於用於形成金屬膜以作為部分金屬化加工 之方法,該製程尤其適用於電性及電子元件之製造上,例 如電路板及半導體積體電路,並且尤其適合於使用”欲入, 或金屬鑲嵌形式技術的加工。 就^^供次微米尺寸(例如〇 _ 1 8 # in及以下)、低電阻電 容(resistance-capacitance,RC)時間常數金屬化圖案而 言,對於結合極大型積體(ultra-large scale integration,ULSI)半導體元件線路之高密度及性能之擴 增的需求將是難以滿足的,尤其其中該次微米尺寸金屬化 特微,諸如導孔、接觸面積、線路等等,均由於微米等級 ,微小化而需要凹槽、溝槽及具有非常高深寬比(意即深 度比寬度)之其它形狀的開口或凹處。 於此所考量之半導體元件的形式通常包括半導體基 板,通常為摻雜的單晶石夕(S i )或者在某些例子中為石申化鎵 (G a A s )基板,以及複數個依序形成的界面介電層及形成於
92250.ptd 第8頁 200301524 五、發明說明(2) 該介電層内及/或形成於該介電層之間之電路圖案。積體 電路由該基板形成,含有以内部導線間隔分離之複數個傳 導線路之圖案及複數個互連線線路,諸如匯流排線路、位 元線路、字元線路及邏輯互連線線路。通常,垂直間隔分 離的金屬化層膜或階層之傳導圖案為藉由填覆形成於分離 該層膜或階層之界面介電層上之導孔孔洞之垂直方向的傳 導插塞而做電性内連接,而填覆接觸面積孔洞之另一個傳 導插塞係與主動元件區域建立一電性接觸,該主動元件區 域係諸如形成於該半導體基板内或上之電晶體之源極/汲 極區域。形成於覆蓋在界面介電層上之凹槽或溝槽類開口 内之傳導線路實質上係延伸平行於該半導體基板。依據目 前技術所製作之此類形式之半導體元件可以包括五個或更 多此類金屬化之層膜或階層以滿足元件幾何及微米級微小 化需求。 對於使用所考量之導電薄膜或層膜的形式,例如用於 製造具有諸如先前所描述之多層金屬化圖案之元件之”後 段”半導體製造技術,通常包括諸如鈦(T i )、鈕(Ta )、鎢 (W)、紹(A1)、鉻(Cr)、鎳(Ni)、始(Co)、銀(Ag)、金 (A u )、銅(C u )或它們的合金之金屑。在使用上,每個所列 舉的金屬均同時呈現出優點以及問題。例如,鋁為相對廉 價且可顯現低電阻率,並且為相對容易蝕刻,然而,除了 較難以較低成本、較低溫度、諸如電解沉積之較快速的” 溼式”形式技術沉積外,當該金屬化特徵縮減至次微米尺 寸時,使用鋁之階梯覆蓋性較差,導致互連線之可靠度降
92250.ptd 第9頁 200301524 五、發明說明(3) 低、高電流密度集中於某些區域以及電遷移增加之現象。 此外,當使用某些低的介電常數材料,例如聚醯亞胺 (polyimides),作為界面介電層時,當與紹接觸時將產生 濕氣/偏壓的可靠度問題。 銅及銅基底的合金在需要用於該元件所依據之半導體 晶圓之π後段π加工之多階金屬化系統之大型積體(LS I )、 超大型積體(VLSI )及極大型積體(ULSI )半導體元件之使用 上特別具有吸引力。銅及銅合金基底的金屬化系統具有非 常低的電阻率,意即,明顯比鎢之電阻率為低並且甚至低 _使用鋁及鋁合金之先前較佳系統之電阻率,以及對於電 遷移之較高(但非完全)阻抗。再者,銅及其合金享有超過 上文列舉的金屬之數目之顯著成本優點,尤其是銀及金。 而且,有別於铭及該難熔形式的金屬(例如鈦、组及鎢), 銅及其合金可以在低溫下以好的品質與明亮層膜形式而迅 速地沉積,其係藉由眾所週知的諸如無電解及電鍍技術之 ’’濕式π鍍膜,並以完全相容於元件製作生產率之需求之沉 積速率完成。 如同上文所顯示,用於形成對於半導體晶圓之”後段’ 金屬化加工所需要的嵌入金屬化圖案之一般使用的方法使 脅金屬鑲嵌形式技術。通常,在此類的加工方法中,凹處 (r e c e s s )(意即用於形成例如導孔孔洞於介電層中而電性 垂直連接分離的金屬化層膜之開口 ,或者用於金屬化線路 之凹槽或溝槽)係藉由習知的光學微影及蝕刻技術產生於 介電層中,並以選擇的金屬填覆。任何過度填覆該凹處及
92250.ptd 第10頁 200301524 五、發明說明(4) /或延伸超過該介電層之表面之過量金屬接著均將藉由例 如化學機械拋光(chemical-mechanical polishing,CMP) 而移除,其中移動塾為偏壓靠向待抛光/平坦化之表面’ 中間位置則具有含有研磨物粒子(及其它成份)在兩者之間 的研磨劑。 上文所描述的技術之變化,稱為π雙金屬鑲嵌π加工, 包含形成一包括可與上方凹槽或溝槽區段連通下方接觸或 導孔區段之開口,該開口係以傳導材料填覆,通常為金 屬,以同時形成傳導導孔插塞以與傳導線路電性接觸。 今參考第1 Α至1 C圖,以簡化的橫截面圖式顯示使用相 對低的成本、高製造生產率電鍍及化學機械拋光技術之習 知的金屬鑲嵌形式加工順序,係用於在半導體基板1之上 或之内之半導體元件中形成凹入的π後段”金屬化圖案(以 銅基底合金做說明但不限於該材料)。如同於第1 Α圖中所 顯示,導體之所需配置定義為諸如導孔孔洞、凹槽、溝槽 等等之凹處2之圖案,該圖案係形成(如同藉由習知的光學 微影及蝕刻技術)於已沉積或其它方法形成在該半導體基 板1上方之介電層3 (例如二氧化矽及/或氮化物或有機聚合 材料)的表面4中。接著,如同於第1 B圖中所顯示,傳導金 屬5之層膜,例如銅或銅基底的合金,係藉由習知的鍵膜 技術而沉積,例如無電解或電鍍技術,以填覆該凹處2。 為了確保該凹處之完整的填覆,該傳導金屬5係經由沉積 成為多餘厚度之覆蓋(或π超載(overburden)’’)層以便過度 填覆該凹處2及覆蓋該介電層3之上方表面4。接著,如同
92250.ptd 第11頁 2003Ό1524 > _ 五、發明說明(5) 於第1 C圖中所顯示,在該介電層3之表面4上方之傳導金屬 5之超載層之整體的多餘厚度t,係藉由使用例如礬土 (A 1 2 0 3 )基底的研磨液之化學機械拋光製程來移除,而於 該凹處2内留下具有實質上與該介電層3之表面4共平面之 曝露上方表面6的金屬部分5。 上文所描述習知的金屬鑲嵌形式製程形成嵌入的導體 (金屬部分5 ’)於該介電層3中同時避免關於金屬化圖案加 工之其它形式之問題,例如覆蓋金屬層沉積,接著藉由光 學微影光罩/餘刻及介電物間隙填覆。此外,此類單一或 •金屬鑲嵌形式加工可以以其它各種類型的基板執行,例 如使用具有及/或不具有間隔介電層及具有複數個金屬階 層,意即五層或者更多層之印刷電路板。 關於銅基底”後段π金屬化之問題為銅擴散進入鄰接結 構之可能性,例如在下層的半導體基板(通常為矽)或介電 層,導致半導體或絕緣性質之降低,以及該沉積的銅或銅 合金層對於使用作為介電界面層等等之各種材料較差的黏 著性。就關於銅基底合金之這些現象之結果而言,通常需 要提供介於該半導體基板及該上層的銅基底金屬化層之間 的黏著及/或擴散屏障層(未顯示於第1 Α圖至第1 C圖中)。 於此類黏著/屏障層之適當的材料包含例如欽、鶴、 絡、组及氮化组(tantalum nitride, TaN)。 關於用於半導體元件之π後段”金屬化加工之銅或銅基 底合金之使用之另一個問題為銅互連線傾向形成比具有屏 障材料及保護層材料的鋁互連線更弱的界面。因此具有環
92250.ptd 第12頁 200301524 五、發明說明(6) 繞屏障及保護材料的銅互連線線路之界面將可以作用為對 於電遷移之快速擴散路徑。 電遷移發生於負載顯著電流之金屬傳導線路之延伸流 路或長度中。依據用於解釋電遷移之機制之習知理論,在 該傳導線路内之電流流動可以充分導致銅離子及/或原子 經由藉著具有能量的、流動的電子之銅離子及/或原子之 碰撞所產生之衝量轉移,而沿著該線路移動。該電流流動 亦產生沿者該傳導長度之熱梯度’該熱梯度將增加該金屬 離子及/或原子之移動性。由於該衝量轉移及該熱增強的 移動性之結果,金屬(銅)離子及/或原子在該梯度之方向 上擴散,並且在該導體之來源端之金屬(銅)損失最後將導 致該傳導線路之薄化。該電遷移效應可以持續進行直到該 傳導線路變得如此地薄以致於該傳導線路與該電流分離或 形成開路電路,導致電路(意即半導體晶片)故障。由於此 現象通常發生於長期之額外操作期間,該故障常常由終端 使用者所遇見。 由於金屬鑲嵌結構相較於蝕刻的金屬線路通常具有較 小的金屬晶格,此一額外的問題將造成更多的電遷移增 加。該小的金屬晶格源自於該金屬之沉積進入受限制的導 孔及/或溝槽,而相對於在蝕刻金屬特徵之例子中作為覆 蓋薄膜之沉積。具有大的晶格之互連線通常呈現較佳的電 遷移可靠度,因為在電遷移期間該晶格係提供較少的晶格 邊界擴散路徑。離子及/或原子沿著晶格邊界的擴散將快 於透過該晶格之實體。
92250.ptd 第13頁 200301524 y_ 五、發明說明(7) 如同所知道的,銅的電遷移可以藉由加入該銅的某種 合金元素而降低,例如錫(Sn )、硼(B )、鎂(Mg)、碳(C )、 鈀(Pd)、鈷(Co)、鎳(Ni )及鎘(Cd)。對於加入該合金元素 之典型的製程為以含有種子層之合金沉積於該凹處之底部 上,並接續著藉由該合金元素之擴散而進入填覆該凹處之 後續形成的實體銅中。然而,此製程失敗於提供適當的合 金組成均勻性,尤其在該實體銅之上方表面附近。因此, 電遷移可能無法於整個實體銅上可靠地及均勻地減少。 再者,不同的合金元素在降低沿著金屬晶格邊界上之 參遷移可能更為有效或較為無效,而其它的合金元素在減 少沿著在該金屬鑲嵌結構及其它表面(諸如屏障層或保護 層之表面)間之界面的電遷移可能更為有效或較為無效。 因此,金屬化製程方法即存在有一需求,該方法可均 勻地及可靠地分佈合金元素於整個實體銅中,以克服上文 所提及之沿著該金屬鑲嵌結構之小的金屬晶格邊界及沿著 在該金屬鐵欲結構及該屏障與保護材料間之界面的不利電 遷移問題。 再者,金屬化製程方法亦存在有一需求,該方法係能 夠使金屬鑲嵌結構成形,例如具有高可靠度、高產品良 筆、更可靠及均勻的電遷移阻值及高電遷移效能之互連線 及傳遞路線(尤其是銅或銅基底的合金)。 再者,改良之金屬化製程方法亦存在有一需求,該方 法係為完全符合於在積體電路半導體元件及其他需要嵌入 式金屬化圖案之元件的製造上之習知製程流程、方法及生
92250.ptd 第14頁 200301524 五、發明說明(8) 產量需求。 [發明内容] 本發明之實施例係關於製造具有高可靠度、電遷移阻 抗金屬化圖案之電性或電子元件之方法。 本發明之附加的實施例係關於製造具有高可靠度、電 遷移阻抗銅基底金屬化圖案之半導體積體電路元件之方 本發明之另一項其它的實施例係關於製造具有改良的 可靠度、高傳導性及改良的電遷移阻抗之嵌入、金屬鑲嵌 形式銅基底金屬化圖案之方法。 本發明之較佳的實施例係著重於該習知的技術之前述 缺點,藉由提供以擴散定量之兩種或更多合金元素於該傳 導填覆物内部,來降低電遷移於傳導填覆物中之方法。在 一項實施例中,包括第一族之一個或一個以上之合金元素 之金屬合金薄膜在該傳導填覆物沉積進入該凹處之前可以 形成於凹處之表面上。因為該金屬合金薄膜係在該傳導填 覆的之前沉積,於該金屬合金薄膜内之合金元素可以更為 均勻一致的方式沉積環繞該後續沉積的傳導填覆物。 該第一族之一個或一個以上之合金元素具有有益於保 護抵抗該後續沉積的傳導層進入該環繞介電層之擴散之特 性。因此,在沉積該傳導填覆物之前形成該金屬合金薄膜 於該凹處内之其中一項優點係在於例如氮化钽(T a N )之額 外的黏著/屏障層在該金屬合金薄膜形成之前可能不需形 成在該凹處之表面上,或者可以在減少厚度下形成。額外
92250.ptd 第15頁 200301524 s r _ 五、發明說明(9) 的優點在於該第一族之一個或一個以上之合金元素亦可具 有有益於減少沿著晶格邊界及/或沿著在該金屬化特徵之 表面及其它表面之間之界面的電遷移之特性。 該傳導填覆物接著可以沉積進入該凹處内。接續於該 傳導薄膜進入該凹處之沉積,平坦化步驟將可以執行。在 平坦化之後,包括第二族之一個或一個以上之合金元素之 合金層將可以形成於該傳導填覆物上方且擴散入該傳導填 覆物内。該第二族之一個或一個以上之合金元素可具有有 益於提供較佳黏著性於該傳導填覆物及後續沉積的保護層 間之特性。該第二族之一個或一個以上之合金元素亦可 具有有益於減少沿著晶格邊界及/或沿著在該金屬化特徵 之表面及其它表面之間之界面的電遷移之特性。j在平坦化 之後導入該第二族之一個或一個以上之合金元素之其中一 項優點在於該傳導填覆物之表面之最終平坦度允許該合金 元素在該寬的及窄的金屬線路内之更均勻密度。另一項優 點在於該合金元素可以擴散至接近該傳導填覆之表面之深 度。因此,該合金元素之黏著增強特性將可以更有效地被 使用。 依據較佳的實施例,將提供上方至少部分覆蓋有介電 Θ之基板。該介電層可具有擁有凹處形成於内部之上方、 曝露的表面。該凹處可以是嵌入且具有複合傳導層,該複 合傳導層係包括形成於該凹處之表面上之一個或一個以上 之金屬合金薄膜,以及電鍍或無電解電鍍於該金屬合金薄 膜上方之傳導填覆物(例如銅)。平坦化步驟將導致該具有
92250.ptd 第16頁 200301524 五、發明說明(ίο) 上方、曝露的表面之傳導填覆物實質上與該介電層之上 方、曝露的表面共平面。 該一個或一個以上之金屬合金薄膜可包括可具有不同 的物理及/或化學屬性之第一族之一個或一個以上之合金 元素,該屬性例如可以更有效地提供銅擴散進入環繞介電 物之屏障及/或減少或實質上避免沿著晶格邊界之電遷 移。 · 在平坦化之後,一個或一個以上之合金層可以沉積在 該傳導填覆物及該介電層之上方、曝露的表面上。該一個 或一以上之合金層可包括可具有不同於該第一族之物理及 /或化學屬性之第二族之一個或一個以上之合金元素。該 第二族例如可以更有效的提供較佳的黏著性於該傳導填覆 物及後續形成的保護層或與該傳導填覆物接觸之其它層之 間及/或減少或實質上避免沿著在該金屬化特徵之表面及 其它表面之間之界面的電遷移。 來自環繞於該傳導填覆物之第一及第二族的某些數量 之一個或一個以上之合金元素可以更為均勻地及可靠地擴 散進入該傳導填覆物以使得該傳導填覆物之電遷移可減少 或實質上避免發生。延伸於該介電層之表面上之一個或一 個以上之合金層之任何剩餘的合金及/或非合金的部分可 被接著移除以使得該傳導填覆物之上方、曝露的表面實質 上與該介電層之上方、曝露的表面共平面。 在本發明之較佳的實施例中,該電子裝置可包括半導 體積體電路元件及基板,且該基板可包括具有主要表面的
92250.ptd 第17頁 20Q301524 >_ 五、發明說明(11) 諸如單晶矽(Si)或坤化鎵(GaAs)之半導體材料,該基板係 具有形成於至少部分該主要表面之上方的介電層,以及嵌 入於複合傳導層之凹處,該凹處係包括複數個非合金的銅 特徵,可用以提供導孔、内階層金屬化及/或至少其中一 個主動元件區域或形成於該半導體晶圓之上或之内之組件 的互連線線路。該第一族之一個或一個以上之合金元素可 包含,但並非限定於,鎂(Mg)及鈣(Ca)。該第二族之一個 或一個以上之合金元素可包含,但並非限定於,#( Z r )、 錫(Sn)及鈀(Pd)。 • 本發明之實施例之這些及其它目的、特徵及優點對於 熟習此項技藝之人士由本發明之實施例的下列詳細說明, 且項取该圖式及附加的申請專利範圍後將是顯而易見的。 [實施方式] 在下列較佳實施例之說明中,可參考形成該實施例之 部分之附加的圖式,並且其中所顯示的為該發明可以實施 之特定實施例之說明。需要瞭解的是其它實施例亦可應用 且改變結構而不致脫離本發明之範疇。 本發明之實施例著重於包括嵌入金屬化圖案之製造電 子元件所產生之問題,例如半導體積體電路元件,其中如 0製造方法之部分形成於在基板上方之介電層之表面上之 複數個凹處,係包括至少其中一個以例如銅之金屬填覆物 之主動元件區域或組件,當該元件在使用時該金屬易形成 電遷移。本發明之實施例尤其使嵌入金屬化圖案能夠成 形,例如銅金屬化圖案,其中對於該主要的金屬元素或成
92250.ptd 第18頁 200301524 五、發明說明(12) 分之電遷移之傾向將減少或實質上避免其發生。 本發明將使包括有實質上均勻地在該金屬化特徵内分 佈之多重合金元素的嵌入金屬化特徵能夠成形,該多重合 金元素提供不同的物理及/或化學屬性。某些合金元素在 提供用於避免該傳導填覆物之擴散進入圍繞的介電物之屏 障,及/或減少或實質上避免沿著使用於該金屬化特徵之 金屬之晶格邊界的電遷移將可能是更有效的。其它的合金 元素在提供較佳的黏著性於該傳導填覆物及保護層或與該 傳導填覆物接觸之其它層之間,及/或減少或實質上避免 使用於該金屬化特徵之金屬於該金屬化特徵之表面及其它 表面之間之界面處的電遷移將可能是更有效的。這些其它 表面可包含,但並非限定於,保護層或屏障層之表面。因 此,依據本發明之實施例,本發明可改善所製造之金屬化 特徵之電遷移效能。 簡單來說,依據本發明之較佳實施例,將提供包括至 少其中一個主動元件區域或組件之適當的基板,例如半導 體晶圓,係具有以習知的金屬鑲嵌形式方法形成於至少部 分該基板上方之介電層内之至少其中一個凹處。該凹處係 嵌入複合傳導層,該複合傳導層可包括至少其中一個形成 於該凹處之表面上(例如,在該凹處之底部及側壁上)之金 屬合金薄膜及鍍在該金屬合金薄膜上方之傳導填覆物。該 傳導填覆物可包括電鍍或無電解鍍膜的金屬,並且在平坦 化步驟之後可具有實質上與該介面層之上方、曝露的表面 共平面之上方曝露的表面。
92250.ptd 第19頁 20Q3t)1524 五、發明說明(13) 依據本發明之較佳的實施例,包括至少一個合金元素 之至少一個合金層可以沉積在該傳導填覆物及介電層之曝 露的、上方的表面上,如同藉由適當的物理氣相沉積 (PVD)技術,包含,但並非限定於,濺鍍、離子植入及真 空蒸鍍。該製作的結構係進行熱處理,例如於惰性氣體中 退火,以實質上均勻地擴散進入且與填覆於該凹處之至少 部分傳導填覆物(例如銅)形成合金。 在擴散/合金化之後,包括有至少一個合金元素殘留 之至少一個合鑛的任何過量合金及/或非合金、上層部分 _可以CMP方法而被接著移除,藉以使該嵌入傳導填覆之 曝露的、上方的表面與該介電層之曝露的、上方的表面實 質上成共平面。 在本發明之較佳的實施例中,包括多重的合金元素之 多重合金薄膜以及多重層膜將可有益地使用於本發明之製 程中,該具有不同的物理及/或化學屬性之多重的合金元 素,在例如減少或實質上避免沿著晶格邊界及/或沿著在 該金屬化特徵及其它表面之間之介面上的電遷移將是較有 效的。 此外,本發明之較佳實施例使一個或一個以上之合金 —素能夠分佈在該傳導填覆物之上表面下方,以使得多重 合金元素之更多可靠的及均勻的分佈可被達成。因此,本 發明可以改善依據本發明之較佳實施例所製造之金屬化特 徵之電遷移效能。 對於熟此項技藝之人士而言將顯而易見的是,本發明
92250.ptd 第20頁 200301524 五、發明說明(14) 之實施例極為適合於使用在各種使用嵌入金屬化圖案之電 性及電子元件之製造上,例如印刷電路板及積體電路元 件。應該要瞭解的是下文所描述之製程及結構對於製造此 類的元件並不需要形成完整的製程流程。然而,本發明可 以使用於結合目前使用於該技藝内之習知的技術,例如積 體電路製造方法,並且因此,僅有一些常用的製程於此包 含在内而作為本發明必須瞭解的内容。如同於全文揭露及 申請專利範圍中所使用的,該術語π基板”及/或”半導體晶 圓基板π包含例如半導體基板本身或形成於適當的半導體 基板上之蠢晶層。最後,表示部分半導體元件之橫截面之 描繪圖式在製造處理期間並未描繪出尺度,但是相反地為 描繪成本發明之特徵之最佳說明。 本發明之實施例今將參考第2Α至2 I圖而做描述,該圖 式以橫截面、示意的方式呈現說明,但是並非限定於本發 明之實施例。 如同於第2 Α圖中所顯示,依據本發明之實施例,提供 類似於第1 A圖中所顯示之半導體基板類型的工件並且包括 在基板1上方之半導體基板1及介電層3並且具有形成於該 介電層之曝露的、上方的表面4之凹處。該形成於該介電 層3之上方的、曝露的表面4之凹處2係可使用於用以形成 至少一個主動元件區域或組件(形成於半導體基板1之上或 之内)之導孔、内層間金屬化及/或互連線線路上。 如同於第2 B圖中所顯示,在某些實施例中,屏障層7 首先可以沉積在該凹處之表面上,以保護抵抗後續沉積的
92250.ptd 第21頁 2003Ό1524 > 〆 _ 五、發明說明(15) 傳導層擴散進入該周圍的介電層3内。如同上文所討論 的,在某些實施例中金屬合金薄膜可以提供該必須的屏障 而抵抗該後續沉積的傳導層擴散進入該周圍的介電層。在 這些實施例中,屏障層7將不需或可能被形成於一減少的 厚度。在該說明的結構中5半導體基板1通常包括諸如早 晶石夕或珅化鎵之材料,介電層3包括通常使用作為内層介 電物(i n t e r - 1 a y e r d i e 1 e c t r i c,I L D )之絕緣材料,意即 諸如二氧化矽、氮化物或氮氧化物之非有機材料,或有機 類型或衍生的材料,諸如二曱苯塑膠(P a r y 1 e n e )、苯環丁 修(匕6112〇〇7(:1〇1311士6116,]606)等等。用於屏障層7之適當的 材料例如包含欽、鎢、絡、组及氮化组。 如同於第2 C圖中所顯示,金屬合金薄膜8係以例如習 知的物理氣相沉積(PVD)或化學氣相沉積(CVD)製程而沉積 在凹處2内之屏障層7上。若使用PVD製程時,所使用之靶 材可包括合金的塊體(bu 1 k )金屬。另外,可以使用兩個靶 材,第一 I巴材包括塊體金屬並且第二乾材包括合金元素。 在另一項可選擇的實施例中,其中一個靶材可包括塊體金 屬並且另一個靶材可包括兩個或兩個以上之合金元素。再 者,塊體金屬層首先可以由電鍍或無電解電鍍製程而形 。該合金元素接著可以藉由植入製程導入該塊體金屬 層。 在本發明之較佳的實施例中,該金屬合金薄膜8 (例 如,合金銅)可包括兩個或兩個以上之合金元素。該兩個 或兩個以上之合金元素可包含,但並非限定於,鎂、鈣、
92250.ptd 第22頁 200301524 五、發明說明(16) 錫、硼、碳、鈀、鈷、鎳、錯及鉻。該金屬合金薄膜8可 以藉由例如以退火製程而擴散該合金元素進入該傳導填覆 物以用來改善後續電鍍或無電解電鍍(塗覆π )的傳導填 覆物(例如銅膜鍍層)之電遷移效能。 在某些較佳的實施例中,該金屬合金薄膜8可包括具 有不同的物理及/或化學屬性之多重的合金元素而有效避 免該傳導填覆物擴散進入周圍的介電物及/或減少或實質 上避免例如沿著晶格邊界之電遷移。因此,本發明有益於 某些合金元素,例如可減少或實質上避免沿著晶格邊界之 電遷移,並且對其它合金元素可例如避免該傳導填覆物之 擴散進入周圍的介電物及/或減少或實質上避免沿著在該 傳導填覆物之表面(諸如顯示於第2 Ε圖中之傳導填覆物9 ’) 及其它表面之間之界面的電遷移,例如保護層之表面(諸 如於第21圖中所顯示之包覆層13)或屏障層(諸如於第2Β圖 中所顯示之屏障層7 )。 在某些較佳的實施例中,多重金屬合金薄膜之堆疊, 每個均包括一個或一個以上之合金元素,可以沉積於該屏 障層7之上。因此,多重合金元素可以由該多重金屬合金 薄膜擴散至後續沉積的傳導填覆物内,且該多重合金元素 係具有例如可有效減少或實質上避免沿著晶格邊界及/或 沿著在該傳導填覆物表面及其它表面間之介面的電遷移等 不同的物理及/或化學屬性。 如同本發明之實施例,第一金屬合金薄膜,例如金屬 合金薄膜8,可以沉積於先前沉積在該凹處表面(如同於第
92250.ptd 第23頁 20Q3t)1524 五、發明說明(17) 2 C圖中所顯示的)上之屏障層7上方。此金屬合金薄膜8可 包括鎂及/或鈣。這些合金元素具有有益於避免該傳導填 覆物擴散進入周圍介電物之屬性,意即,該合金元素可作 為如同擴散屏障。因此,該第一金屬合金薄膜8係有利地 鄰接於該屏障層(或在實施例中為不需要該屏障層之周圍 介電物),其中本身的物理及/或化學屬性可以最有效地使 用以減少該傳導填覆物擴散進入該周圍介電物。 繼續這個例子,包括具有可有效的減少或實質上避免 沿著晶格邊界之電遷移的物理及/或化學屬性之一個或一 鲁以上之合金元素的第二金屬合金薄膜(未顯示),係可被 沉積在該第一金屬合金薄膜上方。因此,該第二金屬合金 薄膜處於有益之位置以便該薄膜可圍繞後續沉積的傳導填 覆物,其中本身的物理及/或化學屬性可藉由更容易地擴 散該合金元素進入(π填塞(s t u f f i n g )M )在該傳導填覆物之 晶格邊界,而最為有效地減少或實質上消除電遷移現象。 在本發明之另一個可選擇的實施例中,單一金屬合金 薄膜,例如於第2 C圖中之金屬合金薄膜8,包括一個或一 以上之合金元素,可以沉積在屏障層7之上方。一個或一 個以上之該合金元素係具有例如可有效地在避免該傳導填 β物擴散進入環繞介電物及/或減少或實質上避免沿著晶 格邊界之電遷移等物理及/或化學屬性。此外,或在另一 個選擇中,一個或一個以上之合金元素係具有例如可有效 地減少或實質上避免沿著在該傳導填覆物之表面及另一個 表面間之界面之電遷移(例如屏障層7 )等物理及/或化學屬
92250.ptd 第24頁 200301524 五、發明說明(18) 性。 因此,在該實施例中,其中僅一個金屬合金薄膜沉 積,該金屬合金薄膜可以位在鄰接例如屏障層7之屏障層 及後續沉積的傳導填覆物兩者。此金屬合金薄膜可有益於 包括兩者或兩個以上之合金元素,該元素可具有可有效減 少或實質上避免該傳導填覆物進入周圍介電物之擴散及/ 或避免沿著該傳導填覆物之晶格邊界及/或沿著在該傳導 填覆物及另一個表面間之界面的電遷移等物理及/或化學 屬性。 今參考第2 D圖,接續於一個或一個以上之金屬合金薄 膜之沉積,一層傳導金屬9,例如銅或銅基底的合金,係 藉由習知的鍍膜技術而沉積,例如電鍍或無電解電鍍技 術,以填覆該凹處2。為了確保該凹處之完整填覆,該傳 導金屬9係沉積作為多餘厚度之覆蓋物(或"過載以便能 過度填覆該凹處2及覆蓋該介電層3之上方表面4。 接著,如同於第2 E圖中所顯示,至少部分及最好整個 該介電層3之表面上方之傳導金屬9之過載層的多餘厚度 t,以及在該介電物3(或屏障層7 )之上方表面之金屬合金 薄膜8可以藉由例如使用礬土( A 1 2 0 3 )為基底的研磨液之化 學機械研磨(CM P)製程來移除,而於該凹處之内留下具有 實質上與該介電層3之表面4共平面且曝露的上方表面10之 傳導填覆物9 ’。 如同於第2F圖所顯示,依據本發明之較佳的實施例, 包括一個或一個以上之合金元素之至少一個合金層1 1係如
92250.ptd 第25頁 200301524 五、發明說明(19) 同藉由適當的物理氣相沉積(PV D )技術而分別沉積在該傳 導填覆物9 ’及該介電層3之上方、曝露的表面1 0、4上,該 物理導相沉積技術係包含,但並非限定於,濺鍍、離子植 入、電鍍及真空蒸鍍。在其中一個較佳的實施例中,該合 金元素為锆。锆可以具有有益於在促進在該傳導填覆物及 後續形成的保護層或與該傳導填覆物接觸之其它層間之黏 著性。在較佳的實施例中,在該塊體材料内之锆濃度為介 於0 . 0 5及5百分比之間。合金層11之厚度可以在50 0至3 0 0 0 埃(A )之範圍内。合金層之適當的厚度將可選擇以提供特 •應用内之使用。 尤其視該特定的傳導金屬9及合金元素之選擇而定, 合金層1 1可包括包含一個或一個以上之合金元素(例如兩 個合金元素)之單一層膜,或另外可以包括兩個或兩個以 上之合金層堆疊,其中一個係沉積在另一個上方,每個均 含有一個或一個以上之合金元素。當以單一層形式之多重 合金元素之共沉積無法 之控制困難,並且因此 份控制及/或均勻性時 今參考第2G圖,依 P 1 1係受到例如熱處理 上之合金元素的擴散進 傳導金屬進行合金。尤 氣體環境之高溫下之退 氬(A r)之稀有氣體。該 實行或導致該合金元素之相對數量 造成該所需的合金之較差的組成成 ,該後者的選擇可能是較佳的。 據較佳的實施例,該至少一個合金 之處理而可作用於該一個或一個以 入且可與該傳導填覆物9 ’之下方的 其,擴散/合金化可以藉由於惰性 火而有效達成,例如氮(N 2 )或諸如 最終的結構係含有該熱處理合金層
II
92250.ptd 第26頁 200301524 五、發明說明(20) 部分1 1 ’及合金的部分1 2於該未合金化之傳導填覆物9 ’上 方。在其中一項實施例中,對於包括錯之合金層及包括銅 之傳導填覆物,其退火溫度可以在攝氏3 0至5 0 0度之範圍 内,最好在攝氏2 0 0至4 0 0度之間。對於使用以其它合金元 素及金屬特徵之適當的退火條件可以選擇於特殊應用之使 用上。 該擴散/合金化處理提供具有合金部分1 2至該合金部 分1 2之上方表面1 0下方之深度d的平坦化、嵌入的金屬化 圖案。在使用鍅作為合金元素及銅作為該傳導填覆物之一 項實施例中,合金部分1 2之深度可以在介於5 0 0及3 0 0 0A 間之範圍内,最好為1 0 0 0 A。對於以其它合金元素及金屬 特徵之使用之適當的深度可以選擇於特殊應用之使用上。 如同於第2 G圖中之說明,在完成該擴散/合金化處理 之後,合金層部分1 Γ可以分別殘留於該合金部分1 2及該 介電層3之上方表面10、4或其上方。今參考第2 Η圖,依據 較佳的實施例,任何此類的剩餘合金層部分1 1 ’可藉由例 如蝕刻或化學機械研磨(CMP)來移除。 今參考第2 I圖,在完成任何剩餘合金層部分1 1 ’之移 除之後,覆蓋層1 3可以沉積在該上方表面1 0、4之上方。 覆蓋層1 3可以作為保護層以覆蓋及保護該金屬化特徵或作 為在更多層之處理期間用於保護之蝕刻終止層。而該覆蓋 層1 3可包括例如氮化石夕。 在本發明之更多的實施例中,每個包括一個或一個以 上之合金元素之一個或一個以上之額外的合金層,係可在
92250.ptd 第27頁 20Q301524 , _ 五、發明說明(21) 顯示於第2 Η圖之平坦化步驟後而沉積在該平坦化之上表面 1 0、4之上。另一個擴散/合金處理接著可以執行而形成該 一個或一個以上之合金元素之擴散進入以及與該傳導填覆 物9 ’下方的傳導金屬進行合金化。後續的平坦化及保護層 沉積步驟接著可以如同關於第2 Η及2 I圖之上文描述而分別 地執行。 第3圖顯示說明本發明之實施例之製程流程圖。該製 程流程圖包含本發明之較佳的實施例以及其它另外的實施 例。起初,在步驟3 0 2,基板提供包括具有凹處之介電 #。接著,在步驟3 0 4,至少一個金屬合金薄膜形成於該 凹處之表面上方。接著,在步驟3 0 6,傳導填覆物沉積進 入該金屬合金薄膜上方之凹處内。接著,在步驟308,平 坦化步驟將執行使得該傳導填覆物之表面實質上與該介電 層之表面共平面。接著,在步驟3 1 0,至少一個合金層沉 積在該傳導填覆物上方。接著,在步驟3 1 2,某定量之至 少一個合金元素由該合金層及金屬合金薄膜兩者擴散進入 該傳導填覆物。該擴散可以同時執行或對於每個合金元素 個別地最佳化,如同藉由循序控制退火製程之溫度及時 間。在另一個實施例中,第一退火製程可以在步驟3 0 6之 •執行,以擴散在該金屬合金薄膜内之合金元素進入該傳 導填覆物内。後續的退火製程接著可以在步驟31 2執行以 擴散在該合金層内之合金元素進入該傳導填覆物内。 在用於形成金屬鑲嵌型之圖案之習知的製程期間,如 同於第1 Α圖至第1 C圖所顯示之嵌入銅金屬化特徵,在例如
92250.ptd 第28頁 200301524 五、發明說明(22) 具有未合金的銅嵌入金屬特徵之例子中,該銅金屬化特徵 傾向形成具有相較於類似銘的特徵之該屏障及保護材料之 更弱的界面。因此,具有該圍繞屏障層及介電材料之銅互 連線線路之界面可以成為用於電遷移之快速擴散路徑。此 外,該銅金屬化特徵與蝕刻金屬線路在比較上通常具有小 的金屬晶格,且銅離子及/或原子可沿著晶格邊界而比透 過該晶格之塊體進行較快的擴散。 本發明之實施例可有益於由形成在該元件製作程序之 不同階段的一個或一個以上之合金層及金屬合金薄膜而進 入金屬化特徵内的擴散多重合金元素步驟。藉由在該製造 程序之不同的段形成該合金層及金屬合金薄膜,該多重合 金元素可有益於定位在具有可對其有效率地使用之特殊有 益屬性的位置。 該多重合金元素可以具有不同的物理及/或化學屬 性,該屬性在例如減少或實質上避免沿著晶格邊界及/或 沿著在該金屬化特徵及其它表面之間之界面之電遷移上可 以更有效率。此外,該多重合金元素可具有不同的物理及 /或化學屬性,該屬性可以減少或實質上避免該傳導填覆 物之擴散進入周圍的介電物及/或改善在該傳導填覆物及 後續形成的保護層或與該傳導填覆物接觸之其它層間之黏 著性。 本發明之又一個實施例可沉積與一個或一個以上之合 金層結合之一個或一個以上之金屬合金薄膜,該金屬合金 薄膜及合金層每個均包括可以具有可提供某些或所有該上
92250.ptd 第29頁 200301524 , _ 五、發明說明(23) 文描述的優點之不同的物理及/或化學屬性之一個或一個 以上之合金元素。 本發明之實施例因此提供簡單的、便利的及可靠的方 法而用於減少或實質上避免金屬由嵌入的金屬化特徵沿著 晶格邊界及/或沿著在該金屬化特徵之表面及其它表面(例 如屏障層或保護層之表面)之間之界面的有害電遷移。再 者,本發明之實施例提供用於減少或實質上避免該傳導填 覆物擴散進入周圍介電物之簡單、便利及可靠的方法。此 外,本發明之實施例提供用於改善在該傳導填覆物及後續 成的保護層或與該傳導填覆物接觸之其它層間之黏著性 之簡單、便利及可靠的方法。 本發明之實施例能夠藉由提供用於可靠地減少或實質 上避免有害的電遷移之方法而形成極為可靠的互連線構件 及圖案,且並非僅限於所述之銅。本發明之實施例在使用 於半導體”後段”製程之金屬鑲嵌型金屬化圖案之可靠度上 亦提供實質上的增加並且同樣地可應用於π雙金屬鑲嵌”型 製程。 本發明之實施例尤其可以應用於具有次微米尺寸金屬 化特徵及高深寬比開口之半導體元件之製造上。再者,本 #明之實施例可以依據用於經濟競爭之需求而實行,並且 為完全與用於高密度積體化半導體元件之自動化製造之習 知的製程流程相容。此外,本發明之實施例為特別適合於 電路板及其它類型的電性及電子元件及/或組件之製造。 在上一個描述中,已經提出諸如特定的材料、結構、
92250.ptd 第30頁 200301524 五、發明說明(24) 反應物、製程等等之各種特定的細節以提供本發明之較佳 的瞭解。然而,本發明可以實行而不需要訴諸該特定提出 之細節。在其它例子中,習知的製程材料及技術並未詳細 描述以避免不必要地隱藏本發明。 只有本發明之較佳的實施例及本發明之用途之一些例 子於本揭露中顯示及描述。需要瞭解的是本發明能夠使用 於各種其它的實施例及環境並且在於此所表示之本發明的 概念之範疇内易於改變或修正。
92250.ptd 第31頁 20〇3ϋ1524 圖式簡單說明 [圖式簡單說明] 今參考該圖式其中類似的圖式標號於全文中代表對應 的組件: 第1 A、1 Β及1 C圖以橫截面示意形式說明依據用於半導 體積體電路元件之製造之習知的方法而用於形成金屬鑲嵌 形式、嵌入銅金屬化特徵之圖案製程;以及 第2A、2B、2C、2D、2E、2F、2G、2H及2I圖以橫截面 示意形式說明依據本發明之實施例的用於沉積且更均勻與 可靠地擴散一個或一個以上之合金元素進入該金屬化特徵 ♦之製程。 第3圖 顯 示 說 明 本發1 明之實施例 之 製 程 流 程 圖。 1 基 板 2 凹 處 3 介 電 層 4 上 方 的 曝 露 的表面 5 傳 導 金 屬 5, 金 屬 部 分 6 曝 露 的 上 方 表 面 7 屏 障 層 8 金 屬 合 金 薄 膜 9 傳 導 金 屬 9, 傳 導 填 覆 10 曝 露 的 上 方 表 面 I1 合 金 層 1 Γ 合 金 層 部 分 ^2 合 金 部 分 13 覆 蓋 層
92250.ptd 第32頁

Claims (1)

  1. 200301524 六、申請專利範圍 1. 一種製造電元件之方法,該方法包括: 提供包括具有凹處(2 )之介電層(3 )之基板(1 ); 形成至少其中一層金屬合金薄膜(8 )於該凹處(2 ) 之表面上; 形成傳導填覆物(9 ’)於該至少一層金屬合金薄膜 (8 )之上方; 平坦化該傳導填覆物(9 ’)及該介電層(3 ); 形成包括至少一層合金元素於該傳導填覆物(9 ’) 之上的至少一層合金層(11);以及 由該至少一層合金層(11 )擴散定量之至少一個合 金元素進入該傳導填覆物(9’)中。 2. 如申請專利範圍第1項之方法,其中該至少一層金屬合 金薄膜(8)係包括兩個或兩個以上之合金元素並且其中 該方法更包括由該至少一層金屬合金薄膜(8)擴散定量 之該兩個或兩個以上之合金元素進入該傳導填覆物 (9,)内。 3. 如申請專利範圍第2項之方法,其中該至少其中一層金 屬合金薄膜(8 )之兩個或兩個以上之合金元素係包括可 減少沿著該傳導填覆物(9 ’)之晶格邊界之傳導填覆物 (9 ’)的電遷移的至少一個合金元素。 4. 如申請專利範圍第2項之方法,其中該至少一層金屬合 金薄膜(8 )之兩個或兩個以上之合金元素係包括可減少 在該傳導填覆物(9 ’)及另一個表面之界面處的傳導填 覆物(9 ’)電遷移的至少一個合金元素。
    92250.ptd 第33頁 20Q301524 ^_ 六、申請專利範圍 5. 如申請專利範圍第2項之方法,其中該至少一層金屬合 金薄膜(8)之兩個或兩個以上之合金元素係包括可減少 該傳導填覆物(9 ’)擴散進入圍繞之介電物的至少一個 合金元素。 6. 如申請專利範圍第2項之方法,其中該至少一層合金層 (1 1 )之兩個或兩個以上之合金元素係包括增加在該傳 導填覆物(9 ’)及另一個表面之界面處之黏著性的至少 一個合金元素。 7. 如申請專利範圍第1項之方法,其中該至少一層合金層 1 1 )係包括兩個或兩個以上之合金元素。 8. 如申請專利範圍第7項之方法,其中該至少一層合金層 (1 1 )之兩個或兩個以上之合金元素係包括可減少該傳 導填覆物(9 ’)沿著該傳導填覆物(9 ’)之晶格邊界之電 遷移的至少一個合金元素,以及可減少該傳導填覆物 (9 )在該傳導填覆物(9 )及另'^個表面之界面處之電 遷移的至少一個不同的合金元素。 9. 如申請專利範圍第1項之方法,其中該至少一層金屬合 金薄膜(8 )係包括兩層或兩層以上之金屬合金薄膜之堆 f 疊,且每層均包括至少一個合金元素。 ¢().如申請專利範圍第1項之方法,其中該至少一層合金層 (11)之至少一個合金元素是選自由锆(Zr)、錫(Sn)、 硼(B)、鎂(Mg)、碳(C)、鈀(Pd)、鈷(Co)、鎳(Ni)及 録(C d )所組成之組群之一者。
    92250.ptd 第34頁
TW091136064A 2001-12-13 2002-12-13 Method for improving electromigration performance of metallization features through multiple depositions of binary alloys TW200301524A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/021,994 US20030217462A1 (en) 2001-12-13 2001-12-13 Method for improving electromigration performance of metallization features through multiple depositions of binary alloys

Publications (1)

Publication Number Publication Date
TW200301524A true TW200301524A (en) 2003-07-01

Family

ID=21807261

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091136064A TW200301524A (en) 2001-12-13 2002-12-13 Method for improving electromigration performance of metallization features through multiple depositions of binary alloys

Country Status (4)

Country Link
US (1) US20030217462A1 (zh)
AU (1) AU2002357170A1 (zh)
TW (1) TW200301524A (zh)
WO (1) WO2003052798A2 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821879B2 (en) * 2002-10-30 2004-11-23 Xerox Corporation Copper interconnect by immersion/electroless plating in dual damascene process
US7545040B2 (en) * 2002-12-09 2009-06-09 Nec Corporation Copper alloy for wiring, semiconductor device, method for forming wiring and method for manufacturing semiconductor device
DE102004021239B4 (de) * 2004-04-30 2017-04-06 Infineon Technologies Ag Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren
US20070065964A1 (en) * 2005-09-22 2007-03-22 Yinon Degani Integrated passive devices
US7375021B2 (en) * 2006-04-04 2008-05-20 International Business Machines Corporation Method and structure for eliminating aluminum terminal pad material in semiconductor devices
JP5493096B2 (ja) * 2009-08-06 2014-05-14 富士通セミコンダクター株式会社 半導体装置の製造方法
KR102494132B1 (ko) * 2017-09-11 2023-01-31 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 유전체 필름 형성 조성물
US11342229B2 (en) * 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US11270963B2 (en) * 2020-01-14 2022-03-08 Sandisk Technologies Llc Bonding pads including interfacial electromigration barrier layers and methods of making the same
US11646282B2 (en) 2021-02-04 2023-05-09 Sandisk Technologies Llc Bonded semiconductor die assembly with metal alloy bonding pads and methods of forming the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6117770A (en) * 1998-10-08 2000-09-12 Advanced Micro Devices, Inc. Method for implanting semiconductor conductive layers
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method

Also Published As

Publication number Publication date
WO2003052798A3 (en) 2003-10-16
AU2002357170A1 (en) 2003-06-30
US20030217462A1 (en) 2003-11-27
WO2003052798A2 (en) 2003-06-26

Similar Documents

Publication Publication Date Title
US6207222B1 (en) Dual damascene metallization
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US6455425B1 (en) Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
US6444567B1 (en) Process for alloying damascene-type Cu interconnect lines
KR19990083124A (ko) 상호 접속 구조 및 그 형성 방법
JP2000150647A (ja) 配線構造およびその製造方法
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
KR20100130551A (ko) 무정형 탄탈륨 이리듐 확산 장벽을 갖는 구리 인터커넥트 구조
JPH11204524A (ja) 半導体装置及びその製造方法
TW200301524A (en) Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
US6689689B1 (en) Selective deposition process for allowing damascene-type Cu interconnect lines
US6656834B1 (en) Method of selectively alloying interconnect regions by deposition process
JP2000150522A (ja) 半導体装置の製造方法
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
KR100866138B1 (ko) 반도체 소자의 배선 및 그의 형성방법
US6717266B1 (en) Use of an alloying element to form a stable oxide layer on the surface of metal features
US20040061237A1 (en) Method of reducing voiding in copper interconnects with copper alloys in the seed layer
KR100462762B1 (ko) 반도체 소자의 구리 배선 형성 방법
US6756306B2 (en) Low temperature dielectric deposition to improve copper electromigration performance
US6661097B1 (en) Ti liner for copper interconnect with low-k dielectric
KR100744669B1 (ko) 구리를 사용한 대머신 금속배선 형성 방법
KR20030001069A (ko) 반도체소자의 구리 배선 형성 방법
US20050085073A1 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition