TW200300952A - Uniformity control for plasma doping systems - Google Patents

Uniformity control for plasma doping systems Download PDF

Info

Publication number
TW200300952A
TW200300952A TW091132051A TW91132051A TW200300952A TW 200300952 A TW200300952 A TW 200300952A TW 091132051 A TW091132051 A TW 091132051A TW 91132051 A TW91132051 A TW 91132051A TW 200300952 A TW200300952 A TW 200300952A
Authority
TW
Taiwan
Prior art keywords
plasma
anode
plasma doping
workpiece
platen
Prior art date
Application number
TW091132051A
Other languages
English (en)
Inventor
Steven R Walter
Original Assignee
Varian Semiconductor Equipment
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment filed Critical Varian Semiconductor Equipment
Publication of TW200300952A publication Critical patent/TW200300952A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

200300952 A7 ____Β7____ 五、發明說明(丨) [發明領域] 本發明係關於其運用於工件(workpiece)之離子植入的 電漿摻雜系統,且尤指用以控制於電漿摻雜系統所植入至 工件之離子的劑量(dose)均勻性之方法與裝置。 [發明背景] 離子植入係一種供引入改變導電率的雜質至半導體晶 圓之標準技術。於一種習用的束線式(beamline)離子植入系 統,期望的雜質材料係於離子化於一離子源,離子係加速 以形成指定能量之一離子束,且該離子束係指向於晶圓之 表面。於該束中的激能(energetic)離子係穿透至半導體材料 之容積(bulk),且係嵌入至半導體材料之晶體晶格,以形成 期望導電率的一^區域。 於半導體產業中之一種眾所週知的趨勢係朝向更小、 更高速的元件。尤其是,於半導體元件中之特徵(feature) 的側向尺寸與深度係均爲減小。於目前技藝的半導體元件 係要求接面深度爲小於1,000埃(A,angstrom),且可最終要 求接面深度之規模爲於200人或更小。摻雜材料之植入深 度係至少部分爲由植入至半導體晶圓的離子能量所決定。 束線式離子植入器係典型爲設計以供於相當高的植入能量 之有效率作業,且可能無法有效率作用於淺(shallow)接面 植入所需的低能量時。 電漿摻雜系統係已經硏究針對半導體晶圓之淺的接面 。於一電漿摻雜系統中,一半導體晶圓係置放於一導電性 的壓盤(platen),其作用爲一陰極且係位於一電漿摻雜室。 、_____3________ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂·- •線· 200300952 A7 ___B7____ 五、發明說明(> ) (請先閱讀背面之注意事項再填寫本頁) 含有期望的摻雜材料之一種可離子化的處理氣體係引入於 該室,且一電壓脈衝係施加於該壓盤與一陽極或該室壁部 之間,致使具有一電漿被覆(sheath)之一電漿爲形成於晶圓 之附近。所施加的脈衝係致使於電漿中的離子爲跨過該電 漿被覆,且爲植入至晶圓。植入之深度係關於所施加介於 晶圓與陽極之間的電壓。極低的植入能量係可爲達成。舉 例而言,電漿摻雜系統係描述於:西元1994年10月11日 所頒佈給Sheng之美國專利第5,354,381號;西元2000年 2月1日所頒佈給Liebert等人之美國專利第6,020,592號 :以及,西元2001年2月6日所頒佈給Goeckner等人之 美國專利第6,182,604號。 ·_線- 於上述之電漿摻雜系統中,所施加的電壓脈衝係產生 一電漿,且加速來自電漿之正離子而朝向晶圓。於其他型 式之電槳系統(習稱爲電漿浸入式(immersion)系統),一連 續的射頻(RF)電壓係施加於壓盤與陽極之間,因此產生一 連續的電漿。電壓脈衝係時時施加於壓盤與陽極之間,致 使於電漿中的正離子爲加速朝向晶圓。 嚴格的要求係加諸於其涉及離子植入之半導體製程, 關於植入至晶圓之累積的離子劑量以及跨於晶圓表面之空 間的劑量均勻性。植入的劑量係決定所植入區域之電氣活 動,而劑量均勻性係要求以確保於半導體晶圓上的所有元 件均具有於指定極限內的作業特性。 於一電漿摻雜系統中,其產生離子之電漿係位在晶圓 之表面。空間的劑量均勻性係取決於電漿之均勻性以及於 4 ^張尺度適用中國@標準(CNS)A4規格(210 x 297公釐) 一 200300952 A7 ___B7____ 五、發明說明(5 ) (請先閱讀背面之注意事項再填寫本頁) 晶圓附近之電場。然而,電漿係可能具有空間的不均勻性 ,且可能隨時間而變化。該電漿不均勻性係可能產生於所 處理的晶圓中之劑量不均勻性。一種電漿摻雜系統係揭示 於西元1998年1月27日所頒佈給Chapek等人之美國專利 第5,711,812號中,其利用環繞該壓盤之一種各別偏壓的 同心結構以改善劑量均勻性。不論由此理論所產生之改進 ,劑量均勻性係仍爲於電漿摻雜系統中的一個議題。 是以,改良的電漿摻雜系統以及用於電漿摻雜系統之 均勻控制的技術係爲所需。 [發明槪論] 根據本發明之一第一層面,一種電漿摻雜裝置包含: 一電漿摻雜室;一壓盤,位於該電漿摻雜室之中,以供支 撐一工件;一陽極,於電漿摻雜室之中而與該壓盤爲隔開 ;一處理氣體源,耦接至電漿摻雜室;一脈衝源,供施加 脈衝介於該壓盤與陽極之間;及,一機構,以供旋轉該工 件。含有處理氣體的離子之一電漿係產生於該陽極與壓盤 之間的一電漿放電區域。所施加於該壓盤與陽極之間的脈 衝係加速來自電漿之離子至該工件。工件之旋轉係改善方 位角(azimuthal)的劑量均勻性。 於一個實施例中,該工件包含一半導體晶圓,且該機 構係旋轉該壓盤而使得晶圓爲旋轉繞於其中心。較佳而言 ,該脈衝源係具有一脈衝速率,其係遠大於該工件之旋轉 速度。 根據本發明之另一層面,一種電漿摻雜裝置包含:一 ______5____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 200300952 A7 _ B7_ 五、發明說明(ψ ) 電漿摻雜室,含有一壓盤,以供支撐一工件;一電漿源, 供產生一電漿於該電漿摻雜室,且供加速來自電漿之離子 至該工件;及,一驅動機構,以供旋轉該工件。 根據本發明之又一層面,一種用於電漿摻雜之方法包 含步驟:支撐一工件於一壓盤上,該壓盤爲於一電漿摻雜 室中;產生一電漿,且加速來自電漿之離子至該工件;及 ,旋轉該工件。 根據本發明之再一層面,一種電漿摻雜裝置包含:一 電漿摻雜室;一壓盤,於該電漿摻雜室中,以供支撐一工 件;一陽極,於該電漿摻雜室中而與壓盤爲隔開;一處理 氣體源,耦接至該電漿摻雜室;及,一脈衝源,供施加脈 衝介於該壓盤與陽極之間。含有該處理氣體的離子之一電 漿係產生於該陽極與壓盤之間的一電漿放電區域。所施加 介於該壓盤與陽極之間的脈衝係加速來自電漿之離子至該 工件。該陽極具有與壓盤之一間隔,其爲變化於該陽極之 面積。 於一個實施例中,該陽極包含二或多個陽極元件,諸 如環狀(annular)的陽極元件,其係可個別調整與壓盤的間 隔。該陽極可包含二或多個陽極元件與致動器,供個別調 整介於各個陽極元件與壓盤之間的間隔,以產生一期望的 劑量均勻性於該工件。 根據本發明之另一層面,一種用於電漿摻雜之方法包 含步驟:支撐一工件於一壓盤上,該壓盤爲於一電漿摻雜 室中;定位一陽極於該電漿摻雜室中而與壓盤爲於間隔關 ____6 ____ 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ;裝 丨線· 200300952 A7 _JB7_____ 五、發明說明(< ) 係,該陽極具有二或多個陽極元件;調整介於該等陽極元 件的一或多者與壓盤之間的間隔;及,產生一電漿於該陽 極與壓盤之間,且加速來自電漿之離子至該工件。 根據本發明之又一層面,一種電漿摻雜裝置包含:一 電漿摻雜室;一壓盤,位於該電漿摻雜室中,以供支撐一 工件;一陽極,於該電漿摻雜室中而與該壓盤爲隔開;一 處理氣體源,耦接至該電漿摻雜室;一脈衝源,供施加脈 衝介於該壓盤與陽極之間;及,複數個磁性元件,其配置 繞於一電漿放電區域。含有該處理氣體的離子之一電漿係 產生於該電漿放電區域。施加於該壓盤與陽極之間的脈衝 係加速來自電漿之離子至該工件。該等磁性元件係構成以 供控制於該電漿放電區域的電漿之徑向密度分佈,藉以控 制植入至工件的離子之劑量均勻性。 於一個實施例中,該等磁性元件係配置於或接近該陽 極。於另一個實施例中,該等磁性元件具有繞於該電漿放 電區域之一圓柱狀配置。於又一個實施例中,該裝置包括 一中空的電極,其環繞該電漿放電區域,且該等磁性元件 係配置於或接近該中空的電極。較佳而言,該等磁性元件 具有其面對該電漿放電區域之交替的極性。 根據本發明之再一層面,一種用於電漿摻雜之方法包 含步驟:支撐一工件於一壓盤上,該壓盤爲於一電漿摻雜 室中;產生一電漿於該電漿摻雜室,且加速來自電漿之離 子至該工件;及,磁性控制該電漿之徑向密度分佈’藉以 控制植入至工件的離子之劑量均勻性。 _7_____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) •裝 . ;線· 200300952 A7 _B7_ 五、發明說明(b ) [圖式簡單說明] 針對本發明之較佳瞭解,隨附圖式係作參考,其係以 參照方式而納入本文,其中: 第1圖係一種電漿摻雜系統之簡化的示意方塊圖; 第2圖係該電漿摻雜系統之部分示意橫截面圖,說明 本發明之實施例; 第3圖係該電漿摻雜系統之俯視橫截面圖,取自沿著 第2圖之線3-3 ; 第4圖係該電漿摻雜系統之俯視橫截面圖,取自沿著 第2圖之線4-4 ; 第5A圖係該電漿摻雜系統之部分示意橫截面圖,說 明一第一實施例,其中之磁性元件係配置於或接近陽極; 第5B圖係第5A圖所顯示之實施例的部分俯視圖; 第6圖係該電漿摻雜系統之部分示意橫截面圖,說明 一第二實施例,其中之磁性元件係配置於或接近陽極;及 第7圖係作爲電漿放電區域半徑之一函數的磁場圖, 說明一徑向磁場形廓之一個實例。 [主要符號說明] 10 電漿摻雜室 12 容積(volume) 14 壓盤(platen) 20 晶圓 24 陽極 26 陽極24之移動方向 __8_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) .·裝 :線· 200300952 A7 _B7_ 五、發明說明(1 ) (請先閱讀背面之注意事項再填寫本頁) 30 高電壓脈衝源 32 可控制閥 34 真空泵(pump) 36 處理氣體源 38 質量流控制器 40 電漿 42 電漿被覆(sheath) 44 (第1圖)壓力感測器 44 (第2圖)電漿放電區域 46 控制器 50、52 法拉第杯(Faraday cup) 54 中空的陰極 56 (第1圖)中空的陰極脈衝源 56 (第2圖)環狀的法拉第杯 60 入口 66 防護環(guard ring) 70 劑量處理器 1〇〇 驅動機構 110 轉軸 112 驅動馬達 120、122、124、126、128 磁性元件 130 尖端(cusp)的磁場 150 陽極 152 電漿放電區域 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 200300952 A7 _ B7__ 五、發明說明(g ) 160、162、164 磁性元件 170、172、174 同心環狀環 180、182、184 磁性元件(環狀環) 190 (第6圖)陽極 190、192、194 (第 2 圖)致動器(actuator) [較佳實施例詳細說明] 適用於本發明實施之一種電漿摻雜系統的一個實例係 顯示於第1圖。一電漿摻雜室10係界定一個包圍的容積 12。定位於室10之內的一壓盤14係提供一表面以供固定 一工件,諸如一個半導體晶圓20。舉例而言,晶圓20係 可鉗固其周邊至壓盤14之一平坦表面。於一個實施例,該 壓盤具有一導電表面以供支撐晶圓20。於另一個實施例’ 該壓盤包括導電接腳(未顯示)以供連接至晶圓20。 一陽極24係定位於室10且爲間隔關於壓盤14。陽極 24係可移動於由箭號26所指出的一方向,其爲垂直於壓 盤14。該陽極係典型爲連接至室10之導電的壁部,其二 者係均可爲連接至接地。於另一個實施例中,壓盤14係連 接至接地’且陽極24係供以脈衝,如下文所述。 晶圓20 (經由壓盤14)與陽極24係連接至一個高電壓 脈衝源30 ’使得晶圓20係作用爲一陰極。典型而言,脈 衝源30係提供脈衝於範圍約爲100至5000伏特之振幅、 約爲1至5〇微秒之持續期間、以及約爲100赫茲至2千赫 茲之一脈衝反覆率。將瞭解的是,此等脈衝參數値係僅爲 舉例性質而提供,其他値係可爲利用於本發明之範疇內。 _____10_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) :裝 ,線- 200300952 A7 ___B7^___ 五、發明說明(1 ) 室10之包圍的容積12係透過一個可控制閥32而稱接 一真空泵34。一處理氣體源36係透過一質量流控制器38 而耦接至室10。位於室10之內的一壓力感測器44係提供 其爲表示至一控制器46之室壓力的一個訊號。該控制器 46係比較所感測的室壓力與一期望的壓力輸入,且提供一 控制訊號至閥32。該控制訊號係控制閥32以使得介於室 壓力與期望壓力之間的差異。真空泵34、閥32、壓力感測 器44與控制器46係建立一閉迴路的壓力控制系統。壓力 係典型爲控制於範圍大約1至500毫托(millitoir),但是係 未限制於此範圍。氣體源36係供應一可離子化的氣體,其 含有一期望的摻雜質以供植入至工件。可離子化的氣體之 實例包括BF3、N2、Ar、PH3、AsH3與B2H6。質量流控制 器38係調整該氣體所供應至室l〇之速率。第1圖所顯示 之架構係以固定的氣體流率與固定的壓力而提供一連續流 量的處理氣體。壓力與氣體流率係較佳調整以提供反覆性 的結果。 該種電漿摻雜系統可包括一中空的陰極54,其爲連接 至一中空的陰極脈衝源56。於一個實施例中,中空的陰極 54包含一導電的中空圓柱,其環繞介於陽極24與壓盤ι4 之間的空間。中空的陰極係可運用於其需要極低的離子能 量之應用中。尤其,中空的陰極脈衝源56係提供一脈衝電 壓,其足以形成一電漿於室10之內,而脈衝源3〇係建立 一期望的植入電壓。關於一中空陰極之運用的另外細節係 提供於前述的美國專利第6,182,604號,其係以參照方式 —___ 11 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公t ) " 一— - --------------裝—— (請先閱讀背面之注意事項再填寫本頁) ιδτ· •-線· 200300952 A7 ___B7___ 五、發明說明(1° ) 而納入本文。 (請先閱讀背面之注意事項再填寫本頁) 一或多個法拉第杯係可定位相鄰於壓盤14,以供測量 所植入至晶圓20之離子劑量。於第1圖之實施例中,法拉 第杯50、52、等等係相等間隔而繞於晶圓20之周邊。各 個法拉第杯包含一導電的封殼(enclosure),其具有面對電 漿40之一入口 60。各個法拉第杯係較佳定位爲接近以實 用於晶圓20,且截取爲由電漿40所加速朝向壓盤14之正 離子的一取樣。於另一個實施例,一環狀的法拉第杯56 ( 參閱第2圖)係定位繞於晶圓20與壓盤14。 法拉第杯係電氣連接至一劑量處理器70或其他的劑量 監測電路。透過入口 60而進入各個法拉第杯的正離子係產 生一電流於連接至該法拉第杯之電路,該電流係代表離子 電流。劑量處理器70係可處理該電流以決定離子劑量。 如同敘述於先前所述的美國專利第5,711,812號,該 種電漿摻雜系統可包括一防護環66,其環繞該壓盤14。防 護環66係可偏壓,以改善其接近晶圓20的邊緣之植入離 子分佈的均勻性。法拉第杯50、52係可定位於其接近晶圓 20與壓盤14之周邊的防護環66之內。 運作時,晶圓20係定位於壓盤14之上。壓力控制系 統、質量流控制器38與氣體源36係產生於室1〇之內的期 望壓力與氣體流率。作爲舉例,室10係可藉著以壓力爲 10毫托之BF3氣體而運作。脈衝源30係施加一組的高電 壓脈衝至晶圓20,致使形成一電漿40於晶圓20與陽極24 之間的一電漿放電區域44。如同此技藝所習知,電漿40 一 _____12____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 200300952 A7 ___B7__ 五、發明說明(d ) 含有來自氣體源36之可離子化氣體的正離子。電漿40包 括於該晶圓20之附近(典型爲於表面)的一電漿被覆42。於 高電壓脈衝期間之存在於陽極24與壓盤丨4之間的電場係 加速電漿40的正離子以跨過電漿被覆42而朝向壓盤14。 所加速的離子係植入至晶圓20,以形成雜質材料之區域。 脈衝電壓係選擇以植入正離子至晶圓20的一期望深度。脈 衝數目與脈衝持續期間係選擇以提供於晶圓20之雜質材料 的一期望劑量。每個脈衝之電流係脈衝電壓、氣體壓力與 種類、以及電極的任何可變化位置之一函數。舉例而言, 陰極至陽極之間隔係可針對不同的電壓而調整。 於晶圓20之表面上的離子劑量均勻性係取決於電^食 40之均勻性與於晶圓20之附近的電場。然而,電_ 4〇係 可能具有空間的不均勻性且可能隨著時間而改變。, 對於電漿摻雜系統中之劑量均勻性控制的技術係仍胃胃胃 〇 本發明之實施例係參照第2-4、5A、5B、6與7圖而 描述,其中類似的元件具有相同的參考數字。一種電#貴手參 雜系統之一個實施例的部分橫截面圖係顯示於第2圖。於 第2-6圖所不之特徵係可利用於第1圖所顯示型式及欽述 於上文的一種電漿摻雜系統、或於任何其他的電漿摻雜系 統。該等特徵係可爲單獨或者以任何組合方式而運用以改 善離子劑量均勻性。 如於第2圖所示,該電漿摻雜系統可包括一驅動機構 100,以供於電漿摻雜期間而旋轉晶圓2〇。驅動機構100 13 ^氏張尺度適用中闕家標準(CNS)A4規格(21〇 X 297公f ) " ------- (請先閱讀背面之注意事項再填寫本頁) 裝 訂: --線· 200300952 A7 ____B7___ 五、發明說明(A) in —-------· I I (請先閱讀背面之注意事項再填寫本頁) 可包括一驅動馬達112與一轉軸110,該轉軸110係連接 於壓盤14與驅動馬達112之間。較佳而言,驅動馬達112 係位在室1〇之外部。於電漿摻雜期間內’驅動馬達112係 激能,致使壓盤14與晶圓20爲旋轉於晶圓20之平面。較 佳而言,旋轉之中心係於或接近晶圓20之中心。晶圓20 係較佳爲旋轉於範圍大約10至600 rPm之一轉速。於一個 實施例,晶圓20係旋轉於每秒鐘爲數個旋轉之一速度。晶 圓20之轉速較佳爲選擇使得脈衝源30之脈衝速率係遠大 於旋轉速度。另外,晶圓20之旋轉係不應爲同步於脈衝源 30之作業。藉著於電漿摻雜期間旋轉晶圓20,方位角的均 勻性變化係平均於晶圓表面,藉以提高劑量均勻性。 線· 根據本發明之另一個特徵,該電漿摻雜系統係可設有 磁性元件,其係配置爲繞於電漿放電區域,以控制於電漿 放電區域44的電漿之徑向密度分佈,且藉以改善所植入至 晶圓20的離子之劑量均勻性。一陽極150之橫截面圖係顯 示於第5A圖,且陽極150之俯視圖係顯示於第5B圖。陽 極150係可對應於第1圖所顯示且描述於上文之陽極24。 磁性元件160、162、164、等等係安裝於陽極150之一表 面,其爲對立於一電漿放電區域152。磁性元件160、162 、164、等等係可爲永久磁鐵,其安裝使得交替的磁極爲面 對該放電區域152。於第5A與5B圖之實施例,磁性元件 160、162、164、等等係配置爲一組的同心環狀環170、 172與174。此種架構係產生徑向變化的磁場於接近陽極 150之一區域,其改變電漿之徑向密度形廓,且改善於一 _____14___ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 200300952 A7 _ B7_ 一 五、發明說明(A ) 相當廣範圍的製程參數之劑量均勻性。該等製程參數係可 包括氣體壓力、氣體種類、晶圓偏壓、與陽極至陰極之間 隔。 具有磁性元件以供控制於電漿放電區域中之電漿的徑 向密度分佈之一陽極的一第二實施例係顯不於第6圖。石欽 性元件180、182、184、等等係安裝於一陽極190。於第6 圖之實施例,磁性元件180、182、184、等等係細長型’ 且爲徑向對齊以形成一種輻狀架構。磁性元件180、182、 184、等等係產生徑向變化的磁場,其改變電漿之徑向密度 形廓,且改善所植入至晶圓20的離子之劑量均勻性。 將可瞭解的是,種種的磁性元件架構係可運用’且第 5A、5B與6圖之實施例係僅作爲舉例。磁性元件係運用 以控制於電漿放電區域中之電漿的徑向密度分佈。控制電 漿的徑向密度分佈之一個目標係欲改善所植入至晶圓20之 離子的劑量均勻性。一磁場係提供爲鄰近於該電漿放電區 域之部分者,於其之電漿密度的增大係爲期望。參考第7 圖,作爲於電漿放電區域半徑的一函數之磁場的一個圖形 實例係顯示。於所示實例中,磁場係於該電漿放電區域之 一外部爲較大而於接近中心處爲較小,因而產生於該電漿 放電區域之外部的電漿密度之增大。如第7圖所顯示之一 磁場分佈係槪括對應於第5A、5B與6圖所顯示的架構, 於其之磁性兀件係設置鄰近於該電漿放電區域之一外部。 所將瞭解的是,種種的磁場分佈係可運用於本發明之範疇 內。舉例而言,磁場係可於接近該電漿放電區域之中心處 _______15____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) .·裝 -線· 200300952 A7 _ B7___ 五、發明說明(A) 爲較大而於一外部爲較小,於其之接近中心的電漿密度之 增大係爲期望。 種種不同的磁性元件架構係可運用以提供於電漿放電 區域中之電漿的一期望徑向密度分佈。如同關於第5A與 5B圖所上述,環狀環的磁性元件係可爲利用。如同關於第 6圖所上述,徑向方位的磁性元件係可爲利用。該等磁性 元件之強度係可爲相同或不同,取決於期望的徑向磁場形 廓。再者,該等磁性元件之位置係可選擇以提供一期望的 徑向磁場形廓。此外,該等磁性元件之徑向與方位角的尺 度以及介於該等磁性元件之間的徑向與方位角間隔係可選 擇以提供一期望的徑向磁場形廓。該等磁性元件係較佳爲 產生於範圍大約20-5000高斯(gauss)之磁場。於一個實施 例中,該等磁性元件係產生約爲500高斯之磁場。 於第5A、5B與6圖之實施例中,該等磁性元件係定 位於相對於電漿放電區域之陽極的一表面上。然而,該等 磁性元件係可具有繞於電漿放電區域之任何期望位置,以 控制電漿之徑向密度分佈。 於第2-4圖所示之另一實施例中,磁性元件120、122 、124、126、128、等等係間隔而繞於放電區域44。因爲 第2-4圖之電漿摻雜系統具有一圓柱狀的幾何結構,磁性 元件120、122、124、126、128、等等係可具有一種圓形 的配置。於第2-4圖之實施例中,磁性元件120、122、 124、126、128、等等係包含細長型的永久磁鐵,其爲固定 至中空的陰極54,且具有面對該放電區域44之交替的磁 — —_16_____ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) :裝 線· 200300952 A7 _B7 ______ 五、發明說明(、<,) 極。磁性元件120、122、124、126、128、等等係產生尖 (請先閱讀背面之注意事項再填寫本頁) 端的磁場130於晶圓20之半徑外側的一環形區域。該等磁 性元件係可具有其跨於電漿放電區域44之長度。磁性元件 之數目與磁鐵之強度係選擇,以產生尖端的磁場130 ’其 控制於電漿放電區域44之中的電漿之徑向密度分佈。 較佳而言,尖端的磁場130係位在繞於電漿放電區域 44之一環形區域,且實質爲並未延伸至放電區域44。尖端 的磁場130係控制介於陽極100與晶圓20之間的電漿之徑 向密度分佈,且藉著電漿之充分重疊於晶圓之邊緣以確 保邊緣均勻性。結果,該電漿之空間分佈係控制’且於一 廣範圍的電漿製程參數之徑向劑量均勻性係改善。 根據本發明之又一個特徵,陽極可具有其爲變化於該 陽極的面積之與陰極的一間隔。陽極可具有一固定結構, 但是較佳爲具有二或多個可調整的陽極元件,以順應不同 的作業條件與不同的應用。介於陽極元件與陰極之間的間 隔係可爲調整,以達成期望的電漿特性與一期望的劑量均 勻性。 於第2-4圖之實施例中,一陽極100係構成具有形式 爲可垂直調整的環狀環180、182、184、等等之陽極元件 。環狀環180、182、184、等等係可爲調整以提供一可變 的陽極-陰極間隔,其作爲由該晶圓中心的半徑之一函數。 此具有徑向改變電漿密度之效應。環狀環180、182、184 、等等係可基於所測量的晶圓均勻性而以經驗作調整,或 者係可運用一種在原地(in situ)的植入均勻性測量而作調整 _______\1__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 200300952 A7 ___B7_ ___ 五、發明說明(Λ ) (請先閱讀背面之注意事項再填寫本頁) ,以降低徑向的植入劑量變化。環狀環180、182、184、 等等係可爲個別調整。調整係可爲人工式,或者環狀環 180、182、184、等等係可分別連接至可個別控制的致動器 190、192、194 〇 於其他實施例中,陽極係可構成爲其可個別控制的陽 極元件之一柵(grid)、或者具有複數個任意形狀的陽極元件 ,其各者係可個別控制。於各情形,介於陽極與晶圓之間 的間隔係可變化於陽極之面積,以達成一期望的劑量均勻 性。於又一個實施例,陽極具有一固定結構,其提供介於 陽極與晶圓之間的一間隔,其係變化於陽極之面積。此結 構係較爲不佳,因爲電漿空間分佈係可能針對諸如離子種 類、處理氣體壓力與類似者之不同的電漿摻雜參數而改變 〇 用於改善電漿摻雜均勻性之上述的特徵(包括:晶圓之 旋轉、磁性元件之運用以控制電漿空間分佈、以及具有變 化於陽極面積之與晶圓的間隔之一陽極之運用)係可單獨或 者以任何組合方式運用,以改善電漿摻雜均勻性。 其他的電漿摻雜架構係可運用於本發明之範疇內。舉 例而言,電漿係可爲脈衝或連續式。電漿係可爲由一直流 電壓、一 RF電壓、或一微波電壓所產生,其各者係可爲 脈衝或連續式。不同的處理氣體壓力係均可運用。 所應瞭解的是,於說明書所敘述之圖式所顯示的實施 例之種種的變化與修改係可於本發明之精神與範疇內而作 成。是以,所意欲的是,包含於以上敘述且顯示於隨附圖 ____18__ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 200300952 A7 _B7_ 五、發明說明(vf1 ) 式之所有內容係以說明性質而非爲限制意味所解讀。本發 明係僅爲界定於隨後的申請專利範圍以及其等效者所限定 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 200300952 A8 B8 C8 D8 六、申請專利範圍 1. 一種電漿摻雜裝置,包含: (請先閲讀背面之注意事項再塡寫本頁) 一電漿摻雜室; 一壓盤,位於該電漿摻雜室之中,以供支撐一工件; 一陽極,於該電漿摻雜室之中而與該壓盤爲隔開; 一處理氣體源,耦接至該電漿摻雜室,其中一含有該 處理氣體的離子之電漿係產生於該陽極與壓盤之間的一電 獎放電區域; 一脈衝源,供施加脈衝介於該壓盤與陽極之間,以供 加速來自電漿之離子至該工件;及 一機構,以供旋轉該工件。 2. 如申請專利範圍第1項之電漿摻雜裝置,其中該壓 盤係構成以供支撐一半導體晶圓,且其中該機構係構成以 供旋轉該壓盤而使得半導體晶圓爲旋轉繞於其中心。 3. 如申請專利範圍第1項之電漿摻雜裝置,其中該脈 衝源係具有一脈衝速率,其係遠大於該工件之一旋轉速度 〇 4. 如申請專利範圍第1項之電漿摻雑裝置,其中該機 構係構成以供旋轉該工件於範圍約爲10至600 rpm之一速 度。 5. —種電漿摻雜裝置,包含: 一電漿摻雜室,含有一壓盤,以供支撐一工件; 一電漿源,供產生一電漿於該電漿摻雜室,且供加速 來自電漿之離子至該工件;及 一驅動機構,以供旋轉該工件。 _1_ 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 058895 ABCD 200300952 六、申請專利範圍 6. —種用於電漿摻雜之方法,包含步驟: (請先閱讀背面之注意事項再塡寫本頁) 支撐一工件於一壓盤上,該壓盤爲於一電漿摻雜室之 中; 產生一電漿,且加速來自電漿之離子至該工件;及 旋轉該工件。 7. 如申請專利範圍第6項之方法,其中該工件包含一 半導體晶圓,且該旋轉工件之步驟包含旋轉該壓盤而使得 半導體晶圓爲旋轉繞於其中心。 8. 如申請專利範圍第6項之方法,更包含施加脈衝之 步驟,該脈衝具有一脈衝速率,且施加於該電漿摻雜室之 中的壓盤與陽極之間,其中該脈衝速率係遠大於該工件之 一旋轉速率。 9. 如申請專利範圍第6項之方法,其中該工件係旋轉 於範圍約爲10至600 rpm之一速度。 10. —種電漿摻雜裝置,包含: 一電漿摻雜室; 一壓盤,於該電漿摻雜室之中,以供支撐一工件; 一陽極,於該電漿摻雜室之中而與壓盤爲隔開,該陽 極具有與該壓盤之一間隔,其變化於該陽極之面積; 一處理氣體源,稱接至該電漿摻雜室,其中一含有該 處理氣體的離子之電漿係產生於該陽極與壓盤之間的一電 漿放電區域;及 一脈衝源,供施加脈衝介於該壓盤與陽極之間,以供 加速來自電漿之離子至該工件。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 200300952 A8 B8 C8 D8 六、申請專利範圍 (請先閲讀背面之注意事項再塡寫本頁) 11. 如申請專利範圍第10項之電漿摻雜裝置,其中該 陽極包含二或多個陽極元件與致動器,以供個別調整介於 各個陽極元件與壓盤之間的間隔,以產生一期望的劑量均 勻性於該工件。 12. 如申請專利範圍第11項之電漿摻雜裝置,其中該 二或多個陽極元件包含環狀環者。 13. 如申請專利範圍第10項之電漿摻雜裝置,其中該 工件包含一半導體晶圓,且其中介於該陽極與壓盤之間的 間隔係可調整而作爲相對於半導體晶圓中心之半徑的一函 數。 14. 一種電漿摻雜裝置,包含: 一電漿摻雜室,含有一壓盤,以供支撐一工件; 一陽極/於該電漿摻雜室之中而與壓盤爲隔開,該陽 極包含二或多個陽極元件與致動器,以供個別調整介於該 二或多個陽極元件與壓盤之間的間隔; 一處理氣體源,耦接至該電漿摻雜室,其中一含有該 處理氣體的離子之電漿係產生於該陽極與壓盤之間的一電 漿放電區域;及 一脈衝源,供施加脈衝介於該壓盤與陽極之間,以供 加速來自電漿之離子至該工件。 15. —種用於電漿摻雜之方法,包含步驟: 支撐一工件於一壓盤上,該壓盤爲於一電漿摻雑室之 中; 定位一陽極於該電漿摻雜室之中而與壓盤爲於間隔關 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 200300952 A8 B8 C8 ____ D8 六、申請專利範圍 係’該陽極具有二或多個陽極元件; 調整介於該等陽極元件的一或多者與壓盤之間的間隔 ;及 產生〜電漿於該陽極與壓盤之間,且加速來自電漿之 離子至該工件。 16·如申請專利範圍第15項之方法,其中該工件包含 一半導體晶圓,且該調整間隔之步驟包含調整該等陽極元 件的間隔’而作爲相對於該半導體晶圓中心之半徑的一函 數。 17.如申請專利範圍第15項之方法,其中該等陽極元 件包含環狀環者,且其中該調整間隔之步驟包含調整介於 該等環狀環者的一或多者與壓盤之間的間隔。 18·—種電漿摻雜裝置,包含: 一電漿摻雜室,具有一圓柱狀的幾何結構; 一壓盤,位於該電漿摻雜室之中,以供支撐一工件; 一陽極,於該電漿摻雜室之中而與該壓盤爲隔開; 一處理氣體源,耦接至該電漿摻雜室,其中一含有該 處理氣體的離子之電漿係產生於該陽極與壓盤之間的一電 漿放電區域; 一脈衝源,供施加脈衝介於該壓盤與陽極之間,以供 加速來自電漿之離子至該工件;及 複數個磁性元件,配置繞於該電漿放電區域,以供控 制於該電漿放電區域的電漿之徑向密度分佈,藉以控制植 入至工件的離子之劑量均勻性。 _______ 中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再塡寫本頁) 、Ι'Ί 線 200300952 A8 B8 C8 D8 六、申請專利範圍 19. 如申請專利範圍第18項之電漿摻雜裝置,其中該 等磁性元件係配置於或接近該陽極。 20. 如申請專利範圍第19項之電漿摻雜裝置,其中該 等磁性元件係配置於一或多個環狀環者。 21. 如申請專利範圍第19項之電漿摻雜裝置,其中該 等磁性元件係徑向對齊以形成一輻狀架構。 22. 如申請專利範圍第18項之電漿摻雜裝置,其中該 等磁性元件係具有面對該電漿放電區域之交替的極性。 23. 如申請專利範圍第18項之電漿摻雜裝置,其中該 等磁性元件係構成以提高於該電漿放電區域之一外部的電 漿密度。 24. 如申請專利範圍第18項之電漿摻雜裝置,其中該 等磁性元件係配置於一圓柱狀的陣列,其繞於該電漿放電 區域。 25. 如申請專利範圍第24項之電漿摻雜裝置,其中該 等磁性元件係包含軸向的磁性元件,其具有面對該電漿放 電區域之交替的極性。 26. 如申請專利範圍第18項之電漿摻雜裝置,更包含 一中空的電極,其環繞該電漿放電區域,其中該等磁性元 件係配置於或接近該中空的電極。 27. 如申請專利範圍第18項之電漿摻雜裝置,其中該 等磁性元件係產生尖端的磁場於鄰近該電漿放電區域之一 區域。 28. —種用於電漿摻雜之方法,包含步驟: 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂: 線 200300952 A8 B8 C8 D8 六、申請專利範圍 支撐一工件於一壓盤上,該壓盤爲於一電漿摻雜室之 中; 產生一電漿於該電漿摻雜室,且加速來自電漿之離子 至該工件;及 磁性控制該電漿之徑向密度分佈,藉以控制植入至工 件的離子之劑量均勻性。 29.如申請專利範圍第28項之方法,其中該磁性控制 電漿徑向密度分佈之步驟包含以磁性元件而控制該徑向密 度分佈,該等磁性元件產生一指定的徑向磁場形廓。 30·如申請專利範圍第28項之方法,其中該磁性控制 電漿徑向密度分佈之步驟包含以一或多個環狀的磁性元件 而控制該徑向密度分佈,該等磁性元件係配置爲鄰近於電 漿。 31·如申請專利範圍第28項之方法,其中該磁性控制 電漿徑向密度分佈之步驟包含以徑向對齊的磁性元件而控 制該徑向密度分佈,該等磁性元件係形成一輻狀架構。 32.如申請專利範圍第28項之方法,其中該磁性控制 電漿徑向密度分佈之步驟包含提高於該電漿摻雜室之一外 部的電漿密度。 33·如申請專利範圍第28項之方法,其中該磁性控制 電漿徑向密度分佈之步驟包含提高於該電漿摻雜室之一指 定部位的電漿密度,藉著提供磁場爲鄰近於該電漿摻雜室 之指定部位。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ..........................裝—........-、1τ----------------t (請先閲讀背面之注意事項再塡寫本頁)
TW091132051A 2001-12-04 2002-10-29 Uniformity control for plasma doping systems TW200300952A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/006,462 US20030101935A1 (en) 2001-12-04 2001-12-04 Dose uniformity control for plasma doping systems

Publications (1)

Publication Number Publication Date
TW200300952A true TW200300952A (en) 2003-06-16

Family

ID=21721017

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091132051A TW200300952A (en) 2001-12-04 2002-10-29 Uniformity control for plasma doping systems

Country Status (7)

Country Link
US (1) US20030101935A1 (zh)
EP (1) EP1464067A1 (zh)
JP (1) JP2005512318A (zh)
KR (1) KR20040058362A (zh)
CN (1) CN1613130A (zh)
TW (1) TW200300952A (zh)
WO (1) WO2003049142A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030116089A1 (en) * 2001-12-04 2003-06-26 Walther Steven R. Plasma implantation system and method with target movement
US7132672B2 (en) * 2004-04-02 2006-11-07 Varian Semiconductor Equipment Associates, Inc. Faraday dose and uniformity monitor for plasma based ion implantation
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
US7326937B2 (en) 2005-03-09 2008-02-05 Verian Semiconductor Equipment Associates, Inc. Plasma ion implantation systems and methods using solid source of dopant material
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
KR100659148B1 (ko) * 2005-10-05 2006-12-19 삼성전자주식회사 플라스마 도핑 방법 및 이를 수행하기 위한 플라스마 도핑장치
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
JP4143684B2 (ja) * 2006-10-03 2008-09-03 松下電器産業株式会社 プラズマドーピング方法及び装置
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20090008577A1 (en) * 2007-07-07 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Conformal Doping Using High Neutral Density Plasma Implant
JP2009074118A (ja) * 2007-09-19 2009-04-09 Fuji Electric Device Technology Co Ltd 保護層形成装置
US8142607B2 (en) * 2008-08-28 2012-03-27 Varian Semiconductor Equipment Associates, Inc. High density helicon plasma source for wide ribbon ion beam generation
US8664561B2 (en) 2009-07-01 2014-03-04 Varian Semiconductor Equipment Associates, Inc. System and method for selectively controlling ion composition of ion sources
US20120021136A1 (en) 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
CN108551716A (zh) * 2018-07-06 2018-09-18 中国科学技术大学 一种等离子体生成设备
CN110828272B (zh) * 2018-08-09 2022-09-16 北京北方华创微电子装备有限公司 腔室内衬、下电极装置和半导体处理设备
JP7050139B2 (ja) * 2020-12-14 2022-04-07 東京エレクトロン株式会社 基板処理装置及び測定用基板
CN114551194B (zh) * 2022-02-18 2024-02-06 四川大学 一种等离子体刻蚀装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3908183A (en) * 1973-03-14 1975-09-23 California Linear Circuits Inc Combined ion implantation and kinetic transport deposition process
US4276477A (en) * 1979-09-17 1981-06-30 Varian Associates, Inc. Focusing apparatus for uniform application of charged particle beam
US4283631A (en) * 1980-02-22 1981-08-11 Varian Associates, Inc. Bean scanning and method of use for ion implantation
US4443488A (en) * 1981-10-19 1984-04-17 Spire Corporation Plasma ion deposition process
US4516050A (en) * 1982-07-14 1985-05-07 Varian Associates, Inc. Ion chamber for electron-bombardment ion sources
US4922106A (en) * 1986-04-09 1990-05-01 Varian Associates, Inc. Ion beam scanning method and apparatus
JPS6422027A (en) * 1987-07-17 1989-01-25 Matsushita Electric Ind Co Ltd Plasma doping system
KR930003857B1 (ko) * 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4899059A (en) * 1988-05-18 1990-02-06 Varian Associates, Inc. Disk scanning apparatus for batch ion implanters
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5452177A (en) * 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5350926A (en) * 1993-03-11 1994-09-27 Diamond Semiconductor Group, Inc. Compact high current broad beam ion implanter
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5350427A (en) * 1993-06-14 1994-09-27 Varian Associates, Inc. Wafer retaining platen having peripheral clamp and wafer lifting means
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5763020A (en) * 1994-10-17 1998-06-09 United Microelectronics Corporation Process for evenly depositing ions using a tilting and rotating platform
JP3060876B2 (ja) * 1995-02-15 2000-07-10 日新電機株式会社 金属イオン注入装置
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US6048435A (en) * 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6209481B1 (en) * 1996-08-30 2001-04-03 University Of Maryland Baltimore County Sequential ion implantation and deposition (SIID) system
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US6111260A (en) * 1997-06-10 2000-08-29 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
US6207005B1 (en) * 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
JPH11297673A (ja) * 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
JP3160263B2 (ja) * 1999-05-14 2001-04-25 キヤノン販売株式会社 プラズマドーピング装置及びプラズマドーピング方法
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly

Also Published As

Publication number Publication date
CN1613130A (zh) 2005-05-04
KR20040058362A (ko) 2004-07-03
EP1464067A1 (en) 2004-10-06
WO2003049142A1 (en) 2003-06-12
JP2005512318A (ja) 2005-04-28
US20030101935A1 (en) 2003-06-05

Similar Documents

Publication Publication Date Title
TW200300952A (en) Uniformity control for plasma doping systems
JP4666448B2 (ja) 中空カソードを含むプラズマドーピングシステム。
KR101479143B1 (ko) 플라즈마 처리 장치
KR101126376B1 (ko) 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법
TWI364787B (en) Faraday dose and uniformity monitor for plasma based ion implantation
JP4378632B2 (ja) イオン源及びイオンビームの密度プロファイル制御装置並びにイオンビームの密度プロファイルを制御する方法
US11521840B2 (en) Method and apparatus for controlling stress variation in a material layer formed via pulsed DC physical vapor deposition
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
JP2008523625A (ja) 軸方向静電気的閉じ込めによるプラズマイオン注入装置
KR20190102092A (ko) 증착, 주입, 및 처리를 위한, 다수의 반응 가스들, 높은 바이어스 전력, 및 높은 전력 임펄스 공급원을 갖는 pvd 챔버의 확장
US6777695B2 (en) Rotating beam ion implanter
KR20110134493A (ko) 플라즈마 처리 장치
KR19990082593A (ko) 이온주입시스템에서 선량측정 제어를 위한 제어매카니즘
JP2024075585A (ja) 磁気ハウジングシステム
JP4911898B2 (ja) ターゲットの移動をともなうプラズマ注入システムおよび方法
KR20020019596A (ko) 기판의 표면을 가로질러 주입량의 균일성을 제공하기 위한장치 및 방법
WO2021034698A1 (en) Tuneable uniformity control utilizing rotational magnetic housing
JPH0745231A (ja) イオン注入方法および装置