JP2008523625A - 軸方向静電気的閉じ込めによるプラズマイオン注入装置 - Google Patents

軸方向静電気的閉じ込めによるプラズマイオン注入装置 Download PDF

Info

Publication number
JP2008523625A
JP2008523625A JP2007545580A JP2007545580A JP2008523625A JP 2008523625 A JP2008523625 A JP 2008523625A JP 2007545580 A JP2007545580 A JP 2007545580A JP 2007545580 A JP2007545580 A JP 2007545580A JP 2008523625 A JP2008523625 A JP 2008523625A
Authority
JP
Japan
Prior art keywords
plasma
platen
auxiliary electrode
pulse
ion implantation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007545580A
Other languages
English (en)
Inventor
アール. ワルサー、スティーヴン
ファング、ジウェイ
Original Assignee
バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド filed Critical バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Publication of JP2008523625A publication Critical patent/JP2008523625A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

プラズマイオン注入装置は、プロセスチャンバと、プロセスチャンバ内でプラズマを生成するソースと、プロセスチャンバ内で基板を保持するプラテンと、プラズマから基板へのイオンを加速させる注入パルスを生成するよう構成された注入パルス源と、プラテンの表面と概ね直交する方向に電子を閉じ込めるよう構成された軸方向静電気的閉じ込め構造と、を備える。
閉じ込め構造は、プラテンから距離を置いた補助電極と、該補助電極をプラズマに対し負電位で付勢するバイアス源とを含んでよい。
【選択図】図2

Description

本発明は、半導体ウエハなどのワークピースのイオン注入に用いられるプラズマドーピング装置に関し、より詳しくは、軸方向の電子閉じ込めを利用してプラズマ密度を増加させるプラズマイオン注入のための方法および装置に関する。
半導体ウエハにおいて浅い接合を形成するために、また、高電流で比較的低エネルギーイオンが要求される他の用途にプラズマドーピング装置が研究されてきた。プラズマドーピング装置では、半導体ウエハは陰極として機能する導電プラテン上に載置されたり、プラズマドーピングチャンバに配置されたりする。チャンバ内にイオン化ドーパントガスが導入され、プラテンと陽極またはチャンバ壁との間に電圧パルスが印加されることにより、ドーパントガスのイオンを含むプラズマが形成される。プラズマは、ウエハの近傍にプラズマシースを有する。印加されたパルスにより、プラズマ中のイオンがプラズマシースの向こう側に加速され、ウエハに注入される。注入の深さは、ウエハと陽極との間に印加される電圧に関連する。注入エネルギーは非常に低いものになる。プラズマドーピング装置は、以下の特許文献に開示されている。
米国特許第5,354,381号(発行日1994年10月11日 シェン) 米国特許第6,020,592号(発行日2000年2月1日 リーベルト他) 米国特許第6,182,604号(発行日2001年2月6日 ゲクナー他)
上記のプラズマドーピング装置では、印加された電圧パルスがプラズマを生成し、プラズマからウエハへの正イオンを加速させる。他のタイプのプラズマ装置では、例えば、プラズマドーピング装置の内部または外部に位置するアンテナからの誘導結合高周波電力により、連続するプラズマが生成される。アンテナは、RF電源に結合されている。プラテンと陽極との間に、間隔をおいて電圧パルスが印加されることにより、プラズマ中のイオンがウエハに向けて加速化される。
通常、プラズマドーピング装置は、ビームラインイオン注入装置に比べ、低エネルギー高電流を供給する。それでいて、注入時間を短縮することによりスループットを向上させるべく、イオン電流の増加が望まれる場合もある。プラズマドーピング装置におけるイオン電流は、プラズマ密度の関数であることが知られている。また、プラズマドーピングチャンバ内のドーパントガス圧力を上昇させることにより、プラズマ密度が増すことも知られている。しかしながら、ガス圧力が上昇すると、プラズマドーピングチャンバ内でのアーク発生のリスクが高まる。アメリカ特許第5,354,381号(発行日 1994年10月11日 シェン)およびアメリカ特許第5,572,038号(発行日 1996年11月5日 シェン他)では、ウエハに電子を流す電極を含むプラズマ浸入イオン注入装置が開示されている。アメリカ特許第5,911,832号(発行日 1999年6月15日 デンホルム他)では、パルス陽極によるプラズマ浸入注入が開示されている。アメリカ特許第6,335,536号(発行日 2002年1月1日 ゲクナー他)では、始動電圧パルスがイオン化可能ガスに供給され、注入電圧パルスがターゲットに印加されるプラズマドーピング装置が開示されている。前述のアメリカ特許第6,182,604号では、中空陰極を利用したプラズマドーピング装置が開示されている。中空陰極は、イオン電流を増加させるために用いることができ、優れた結果をもたらす。しかしながら、中空陰極の構成によるものよりさらに高いプラズマ密度、および/または、より低いガス圧力を要求する用途もある。
したがって、改良されたプラズマイオン注入装置および方法が必要とされる。
本発明の第1の態様によれば、プラズマイオン注入装置であって、プロセスチャンバと、プロセスチャンバ内でプラズマを生成するソースと、プロセスチャンバ内で基板を保持するプラテンと、プラズマから基板へのイオンを加速させる注入パルスを生成するよう構成された注入パルス源と、プラテンの表面と概ね直交する方向に電子を閉じ込めるよう構成されたバイアスソースとを有するプラズマイオン注入装置を提供する。
本発明の第2の態様によれば、プラズマイオン注入装置は、プロセスチャンバと、プロセスチャンバ内でプラズマを生成するソースと、プロセスチャンバ内で基板を保持するプラテンと、プラズマから基板へのイオンを加速させる注入パルスを生成するよう構成された注入パルス源と、プラテンから距離を置いた補助電極と、プラテンの表面と概ね直交する方向に電子を閉じ込める電位で補助電極を付勢するよう構成された軸方向静電気的閉じ込め構造とを有するプラズマイオン注入装置を提供する。
本発明の第3の態様によれば、プロセスチャンバ内におけるプラズマイオン注入の方法が提供される。方法は、プロセスチャンバ内でプラズマを生成する工程と、プロセスチャンバ内で基板を保持する工程と、プラズマから基板へとイオンを加速させる工程と、プラテンの表面と概ね直交する方向に電子を閉じ込める工程とを含む。
本発明をより良く理解するため、以下の添付の図面を参照されたい。
従来技術のプラズマドーピング装置の簡略化された概略ブロック図である。
本発明の第1の実施例に従うプラズマドーピング装置の簡略化された概略ブロック図である。
本発明の第2の実施例に従うプラズマドーピング装置の簡略化された概略ブロック図である。
本発明の第3の実施例に従うプラズマドーピング装置の簡略化された概略ブロック図である。
本発明の第4の実施例に従うプラズマドーピング装置の簡略化された概略ブロック図である。
従来技術のプラズマイオン注入装置の一例を図1に概略的に示す。プロセスチャンバ10は、封入空間12を定義する。チャンバ10内に配置されるプラテン14は、半導体ウエハ20のような基板を保持する表面を提供する。ウエハ20は、例えば、その周囲がプラテン14の平面に固定されてもよいし、または、静電的に固定されてもよい。1つの構成においては、プラテンは、ウエハ20を支持する導電表面を有する。他の構成においては、プラテンは、ウエハ20を接続するための導電ピン(図示せず)を含む。さらにプラテン14は、ウエハ/基板の温度を制御する加熱/冷却システムを備えてよい。
陽極24は、チャンバ10内にプラテン14とは距離をおいて配置される。陽極24は、矢印26に示す、プラテン14に対し垂直な方向に移動可能である。陽極は、通常チャンバ10の導電壁に接続され、導電壁はどちらも接地してよい。さらに、陽極24およびプラテン14はどちらもグラウンドに対し付勢されてよい。
ウエハ20(プラテン14を介した)および陽極24は、高電圧パルス源30に接続され、その結果、ウエハ20は、陰極として機能する。パルス源30は、通常約20から20,000ボルトの振幅範囲、約1から200マイクロ秒の持続時間、および、約100Hzから20kHzのパルス繰返し率を有するパルスを提供する。これらのパルスパラメータ値は、例に過ぎず、他の値を用いてもかまわないことは言うまでもない。
チャンバ10の封入空間12は、制御可能なバルブ32を介し、真空ポンプ34に結合される。プロセスガス源36は、マスフローコントローラ38を介しチャンバ10に結合される。チャンバ10内に配置された圧力センサ44は、チャンバ圧を示す信号をコントローラ46に提供する。コントローラ46は、検知されたチャンバ圧と入力された所望の圧力とを比較し、バルブ32またはマスフローコントローラ38に制御信号を提供する。制御信号は、チャンバ圧と所望の圧力との差を最小限にするよう、バルブ32またはマスフローコントローラ38を制御する。真空ポンプ34、バルブ32、マスフローコントローラ38、圧力センサ44およびコントローラ46は、閉ループ圧力制御システムを構成する。圧力は、通常約1ミリパスカルから約500ミリパスカルの範囲内に制御されるが、この範囲に限定されない。ガス供給源36は、ワークピースに注入する所望のドーパントを含むイオン化可能ガスを供給する。イオン化可能ガスは、一例として、BF、N、Ar、PH、AsH、AsF、PF、XeおよびBを含む。マスフローコントローラ38は、ガスがチャンバ10に供給される速度を制御する。図1に示す構成は、所望の流量および定圧でのプロセスガスの連続流通系を提供する。圧力およびガス流量は、好ましくは、再現可能な結果をもたらすよう制御される。他の構成においては、ガスの流れは、バルブ32を固定位置に維持しつつ、コントローラ46により制御されるバルブを用いて調整されてよい。このような処置は、アップストリーム圧力制御と呼ばれる。ガス圧力を調整するために他の構成を用いてもよい。
プラズマイオン注入装置は、中空陰極パルス源56に接続された中空陰極54を含んでよい。中空陰極54は、陽極24とプラテン14との間の空間を囲む導電中空円柱を備えてよい。中空陰極は、非常に低いイオンエネルギーを要する用途に利用されることができる。具体的には、中空陰極パルス源56は、チャンバ12内でプラズマを形成するのに十分なプラズマ電圧を提供し、パルス源30は、所望の注入電圧を確立する。中空陰極の利用に関するさらなる詳細は、前述のアメリカ特許第6、182,604号に記載されており、本願明細書に引用したものとする。
ウエハ20に注入されるイオン線量を測定するための1つまたはそれ以上のファラデーカップがプラテン14に隣接して配置される。図1の装置では、ファラデーカップ50、52等は、ウエハ20の周辺に一定の間隔を空けて配置される。各ファラデーカップは、プラズマ40に面した入口60を有する導電エンクロージャを備える。各ファラデーカップは、好ましくは、ウエハ20に対し同じように近い位置に配置され、プラズマ40からプラテン14へと加速される正イオンのサンプルを妨害する。他の構成では、ウエハ20およびプラテン14のまわりに環状ファラデーカップが配置される。
ファラデーカップは、線量プロセッサ70または他の線量監視回路に電気的に接続される。入口60を介し各ファラデーカップに入る正イオンは、ファラデーカップに接続された電子回路においてイオン電流を表す電流を生成する。線量プロセッサ70は、電流を処理することによりイオン線量を決定してよい。
プラズマイオン注入装置は、プラテン14を囲む保護リング66を含んでもよい。保護リング66は、ウエハ20の端部近くの注入イオン分布の均一性をより高めるべく付勢されてよい。ファラデーカップ50および52は、ウエハ20およびプラテン14の周辺部近くの保護リング内に配置されてよい。
プラズマイオン注入装置は、装置の構成によってさらなる構成要素を含んでもよい。装置は、通常、所望の注入プロセスを実施するべくプラズマイオン注入装置の構成要素を制御しかつ監視するプロセス制御システム(図示せず)を含む。連続的または間断的な無線周波エネルギーを利用する装置は、アンテナまたは誘導コイルに結合されるRF源を含む。装置は、電子を閉じ込め、かつ、プラズマ密度および空間分布を制御する磁界を提供する磁性素子を含むこともできる。プラズマイオン注入装置における磁性素子の利用は、例えば、国際公開番号WO 03/049142(公開日2003年6月12日)に記載されており、本願明細書に引用したものとする。
動作中、ウエハ20は、プラテン14上に配置される。圧力制御システム、マスフローコントローラ38、および、ガス供給源36は、チャンバ10内で所望の圧力及びガス流量を生成する。例えば、チャンバ10は、三フッ化ホウ素(BF)ガスにより圧力10ミリパスカルで動作できる。パルス源30は、一連の高電圧パルスをウエハ20に印加することにより、ウエハ20と陽極24との間のプラズマ放電領域48にプラズマ40を形成する。周知のように、プラズマ40は、ガス供給源36からのイオン化可能ガスの正イオンを含む。プラズマ40は、通常、ウエハ20の近くの表面にプラズマシース42を有する。高電圧パルスの間に、陽極24とプラテン14との間に存在する電界は、プラズマ40からプラズマシースを超えてプラテン14へと向かう正イオンを加速させる。加速化したイオンがウエハ20に注入されることにより、不純物材料の領域を形成する。パルス電圧が選択されることにより、正イオンがウエハ20の所望の深さまで注入される。パルス数およびパルス持続時間が選択されることにより、ウエハ20における不純物材料の所望の線量が提供される。毎パルスの電流は、パルス電圧、パルス幅、パルス周波数、ガス圧力および種類、および、電極のあらゆる可変位置の関数である。例えば、陰極と陽極との間隔は、様々な電圧に応じて調整されてよい。
図1のプラズマドーピング装置において、陽極24は、接地され、プラテン14は、負パルスを印加されることによりイオンがウエハ20に注入される。この構成において、プラズマ40は、グランド電位であり、プラズマ40の電子は、陽極24に入射してよい。このような電子は陽極24により制御され、ドーパントガスのさらなるイオン化には寄与しない。
図2から5は、本発明の実施例に従うプラズマイオン注入装置の簡略化された概略ブロック図である。図2から5の実施例は、図1を参照して上述した従来技術の装置の変形例として説明される。
図2から5において、図1と同様な構成要素には、同じ参照符号が付される。ガス供給源36、マスフローコントローラ38、バルブ32、真空ポンプ34、コントローラ46、圧力センサ48、ファラデーカップ50、52、および、線量プロセッサ70のようなシステム構成要素は、説明を簡単にするため、図2から5において省略している。図2および3の実施例は、中空電極および中空電極パルス源を含まない。以下に述べる他の実施例は、中空電極を含む。
図2は、本発明の第1の実施例に従うプラズマイオン注入装置の簡略化された概略ブロック図である。図2に示すように、プロセスチャンバ100は、封入空間112を定義する。チャンバ100内に配置されたプラテン114は、半導体ウエハ120のような基板を保持するための表面を提供する。
プラテン114は、パルス源130に接続され、プロセスチャンバ110は、接地される。プラテン114は、陰極として機能し、プロセスチャンバ110は、陽極として機能する。パルス源130は、パルス源30に関して上述したように、プラテン114に負の注入パルスを印加する。
補助電極122は、チャンバ110内にプラテン114とは距離を置いて配置される。補助電極122は、プラテン114に対し垂直の方向に移動することができる。概して、補助電極122は、プラテン114と並行かつ距離を置いてよく、図1を参照して上述したように、陽極24と同じ物理的形状を有してよい。補助電極122は、電気バイアスに関し、陽極24とは異なる。図2に示すように、補助電極122は、負電圧を補助電極122に印加するバイアス源128に接続される。バイアス電圧は、直流電圧またはパルス電圧であってよい。いずれにせよ、注入パルスの少なくとも一部がパルス源130によりプラテン114に供給される間は、補助電極122にはバイアス電圧が存在する。
動作中、図1を参照して前述したガス制御システムは、プロセスチャンバ110内で所望の圧力およびガス流量を確立する。パルス源130がプラテン114に一連のパルスを印加することにより、ウエハ120と補助電極122との間のプラズマ放電領域148にプラズマ140が形成される。プラズマ140は、ドーパントガスの正イオンを含み、通常、ウエハ120近くの表面にプラズマシース142を有する。パルス源130からのパルスにより生成されるプラズマ140とプラテン114との間の電界は、プラズマ140からプラズマシース142を超えてプラテン114へと向かう正イオンを加速させる。加速されたイオンがウエハ120に注入されることにより、不純物材料の領域が形成される。パルス電圧が選択されることにより、ウエハ120の所望の深さまで正イオンが注入される。
プラズマ140は、また、イオン化衝突を生じさせる電子も含む。各電子は、プラズマ放電領域148に存在する間に何度もイオンと衝突する可能性がある。プラズマ放電領域の外に出た電子は、もはやイオン衝突を生じることはない。したがって、電子をプラズマ放電領域148に閉じ込め、それによってイオン衝突数を増やすことにより、プラズマ140の密度を増すことが望ましい。補助電極122は、プラズマ140とは反対の方向に付勢され、電子がプラズマ140の方にはね返されるようにする。ここで電子はさらにイオンと衝突する。したがって、プラズマ140の密度は、プラズマ放電領域148に電子をはね返すよう付勢される補助電極122の存在によって増大する。プラテン114もまた、イオン注入の間に反対方向に付勢され、それによって電子をプラズマ放電領域148にはね返す。従来技術の構成と比べて、電子供与性電極122および114の構成は、このようにして電子をプラズマ放電領域148に閉じ込め、プラズマ140の密度が増加する。電極114および122の構成は、これら電極に垂直な電界の結果として、プラテン114のウエハ支持表面と概ね直交する軸132に沿う電子閉じ込めを生じる。
このように、電極122と114との間に電子を閉じ込めることにより、プラズマ放電領域148におけるプラズマ密度を増大させる。この結果は、ウエハ120に供給されるイオン電流を増加させるのに利用でき、その結果、所望のイオン電流、または、増加したイオン電流と低下したガス圧力との組み合わせを維持する一方で、プロセスチャンバ110内のドーパントガス圧力を低下させることができる。特定の注入パラメータは、補助電極122に供給されるバイアス電圧、および、プロセスチャンバ110内のドーパントガス圧力に依存する。補助電極122およびバイアス源128を利用することにより、プロセスチャンバ112内のドーパントガス圧力が低下するため、アーク発生のリスクが減り、その一方で、所望のイオン電流が維持される。
図3は、本発明の第2の実施例に従うプラズマイオン注入装置の簡略化された概略ブロック図である。図3の実施例は、パルス源130がプラテン114および補助電極122の両方に接続されている点において、図2の実施例とは異なる。したがって、プラテン114に供給される注入パルスは、補助電極122にも供給される。電極114および122には負電圧が印加されるので、電子は、プラテン114のウエハ支持表面と直交する軸132に沿い軸方向に閉じ込められる。図3の実施例は、プラテン114および補助電極122にエネルギーを与えるために単一のパルス源を利用できるという利点を有する。しかしながら、この構成は、補助電極122の独立制御を欠くという欠点ももつ。
図4は、本発明の第3の実施例に従うプラズマイオン注入装置の簡略化された概略ブロック図である。図4の実施例では、パルス源130がプラテン114に、接続され、補助パルス源150が補助電極122に接続される。パルス源130は、ウエハ120にドーパントイオンを所望に注入するよう選択されたパルス振幅、パルス幅、および、パルス繰返し率を有する負の注入パルスをプラテン114に供給する。補助パルス源150は、所望の密度のプラズマ140を提供するよう選択された振幅を有する負の補助パルスを補助電極122に供給する。パルス幅およびパルス繰返し率は、パルス源130により供給されるパルスの幅および繰返し率と一致してよい。以下に述べる他の実施例において、パルス幅は異なってもよい。パルス源130および150は、プラテン114および補助電極122に供給されるパルスを適時に同期させる同期デバイス160により制御されてよい。他の実施例では、パルス源130は、パルス源150にトリガパルスを供給してもよいし、または、パルス源150がトリガパルスをパルス源130に供給してもよい。
パルス源130により供給される注入パルスおよびパルス源150により供給される補助パルスの例が図4Aに示される。図に示すように注入パルスは、ウエハ120に注入されるイオンエネルギーを決定する負の振幅―Vを有する。補助パルスは、所望のプラズマ密度を決定するよう選択された負の振幅−Vを有する。図4Aの例では、注入パルスおよび補助パルスは、同じパルス幅および同じパルス繰返し率を有する。他の実施例では、注入パルスおよび補助パルスは、異なるパルス幅を有するが、プラズマ密度を所望に増加すべく、適時少なくとも部分的に重複していなければならない。
図4の実施例は、中空電極154を含む。中空電極154は、補助電極122とプラテン114との間の空間を囲む導電中空円柱であってよい。図4の実施例では、中空電極154は、電気的に接地されてプラズマ放電のための陽極として機能する。
図5は、本発明の第4の実施例に従うプラズマイオン注入装置の簡略化された概略ブロック図である。図5の実施例は、中空電極154が補助パルス源150に電気的に接続しているという点で図4の実施例とは異なる。したがって、補助電極122、プラテン114および中空電極154すべての働きによって、電子がプラズマ放電領域148に閉じ込められる。図5の実施例では、プロセスチャンバ110は、プラズマ放電のための陽極として機能する。
図2−5を参照して上述した特徴は、いかように組み合わせても使用できる。例えば、中空電極は、図2および3の実施例で利用してもよい。さらに、図4および5のデュアルパルス源構成は、中空電極なしで利用することができる。いずれかの実施例で中空電極が用いられる場合は、中空電極は、接地されるかまたは補助パルス源150に接続されてよい。本発明は、プラズマイオン注入装置のあらゆる構成に利用できる。
以上、本発明の一側面を実施の形態を用いて説明したが、本発明の技術的範囲は上記実施の形態に記載の範囲には限定されない。上記実施の形態に、多様な変更または改良を加えることが可能であることが当業者に明らかである。その様な変更または改良を加えた形態も本発明の技術的範囲に含まれ得ることが、以下の特許請求の範囲の記載から明らかである。

Claims (22)

  1. プラズマイオン注入装置であって、
    プロセスチャンバと、
    前記プロセスチャンバ内でプラズマを生成するソースと、
    前記プロセスチャンバ内で基板を保持するプラテンと、
    前記プラズマから前記基板へのイオンを加速させる注入パルスを生成するよう構成された注入パルス源と、
    前記プラテンの表面と概ね直交する方向に電子を閉じ込めるよう構成された軸方向静電気的閉じ込め構造と、
    を備えるプラズマイオン注入装置。
  2. 前記軸方向静電気的閉じ込め構造は、前記プラテンと距離を置いた補助電極と、該補助電極にパルスを印加して前記プラズマに対し負電位にするよう構成された補助パルス源とを備え、前記補助パルス源は、前記注入パルス源と同期する、請求項1に記載のプラズマイオン注入装置。
  3. 軸方向静電気的閉じ込め構造は、前記プラテンから間隔を置いた補助電極と、前記プラズマに対し負電位で前記補助電極を付勢するよう構成されたバイアス源とを含む、請求項1に記載のプラズマイオン注入装置。
  4. 前記軸方向静電気的閉じ込め構造は、前記プラテンから距離を置き、かつ、前記注入パルス源に結合された補助電極を含む、請求項1に記載のプラズマイオン注入装置。
  5. 前記軸方向静電気的閉じ込め構造は、前記プラテンから距離を置いた補助電極を含み、前記装置は、前記プラテンと前記補助電極との間のプラズマ放電領域のあたりに配置された中空電極をさらに備える、請求項1に記載のプラズマイオン注入装置。
  6. 前記中空電極は接地される、請求項5に記載のプラズマイオン注入装置。
  7. 前記中空電極と前記補助電極とは電気的に接続される、請求項5に記載のプラズマイオン注入装置。
  8. 前記プロセスチャンバは接地される、請求項1に記載のプラズマイオン注入装置。
  9. 前記注入パルスは、負パルスを含む、請求項8に記載のプラズマイオン注入装置。
  10. プラズマイオン注入装置であって、
    プロセスチャンバと、
    前記プロセスチャンバ内でプラズマを生成するソースと、
    前記プロセスチャンバ内で基板を保持するプラテンと、
    前記プラズマから前記基板へのイオンを加速させる注入パルスを生成するよう構成された注入パルス源と、
    前記プラテンから間隔を置いた補助電極と、
    前記プラテンの表面と概ね直交する方向に電子を閉じ込める電位で補助電極を付勢するよう構成されたバイアス源と、
    を備えるプラズマイオン注入装置。
  11. 前記バイアス源は、前記プラズマに対し負電位で前記補助電極を付勢するよう構成される、請求項10に記載のプラズマイオン注入装置。
  12. 前記バイアス源は、前記プラズマに対し負のパルスを前記補助電極に供給するよう構成され、該バイアス源から供給されるパルスは、前記注入パルスと同期する、請求項10に記載のプラズマイオン注入装置。
  13. 前記注入パルスは、前記プラズマに対し負である、請求項12に記載のプラズマイオン注入装置。
  14. 前記プロセスチャンバは接地される、請求項13に記載のプラズマイオン注入装置。
  15. 前記プラテンと前記補助電極との間のプラズマ放電領域あたりに配置された中空電極をさらに備える、請求項10に記載のプラズマイオン注入装置。
  16. 前記中空電極は接地される、請求項15に記載のプラズマイオン注入装置。
  17. 前記中空電極と前記補助電極とは、電気的に接続される、請求項15に記載のプラズマイオン注入装置。
  18. プロセスチャンバ内におけるプラズマイオン注入の方法であって、
    前記プロセスチャンバ内でプラズマを生成する工程と、
    前記プロセスチャンバ内のプラテン上で基板を保持する工程と、
    前記プラズマから前記基板へのイオンを加速させる工程と、
    前記プラテンの表面と概ね直交する方向に電子を閉じ込める工程と、
    を含む方法。
  19. 電子を閉じ込める工程は、前記プラテンから距離を置いた補助電極を提供し、前記補助電極にパルスを印加して前記プラズマに対し負電位することを含む、請求項18に記載の方法。
  20. 電子を閉じ込める工程は、前記プラテンから距離を置いた補助電極を提供し、前記プラズマに対し負電位で前記補助電極を付勢することを含む、請求項18に記載の方法。
  21. 電子を閉じ込める工程は、前記プラテンから距離を置いた補助電極を提供することを含み、前記方法は、前記プラテンと前記補助電極との間のプラズマ放電領域あたりに配置される中空電極を提供する工程と、該中空電極を接地する工程と、をさらに含む、請求項18に記載の方法。
  22. 電子を閉じ込める工程は、前記プラテンから距離を置いた補助電極を提供することを含み、前記方法は、前記プラテンと前記補助電極との間のプラズマ放電領域あたりに配置される中空電極を提供する工程と、該中空電極と前記補助電極とを電気的に接続する工程と、をさらに含む、請求項18に記載の方法。
JP2007545580A 2004-12-07 2005-12-06 軸方向静電気的閉じ込めによるプラズマイオン注入装置 Pending JP2008523625A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/005,972 US20060121704A1 (en) 2004-12-07 2004-12-07 Plasma ion implantation system with axial electrostatic confinement
PCT/US2005/044233 WO2006063035A2 (en) 2004-12-07 2005-12-06 Plasma ion implantation system with axial electrostatic confinement

Publications (1)

Publication Number Publication Date
JP2008523625A true JP2008523625A (ja) 2008-07-03

Family

ID=36574873

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007545580A Pending JP2008523625A (ja) 2004-12-07 2005-12-06 軸方向静電気的閉じ込めによるプラズマイオン注入装置

Country Status (6)

Country Link
US (1) US20060121704A1 (ja)
JP (1) JP2008523625A (ja)
KR (1) KR20070088752A (ja)
CN (1) CN101111922A (ja)
TW (1) TW200633013A (ja)
WO (1) WO2006063035A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216922B2 (en) 2010-03-19 2012-07-10 Panasonic Corporation Plasma doping method
JP2015207543A (ja) * 2014-04-18 2015-11-19 エバーディスプレイ オプトロニクス(シャンハイ) リミテッド 逆構造oledの製造方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7608151B2 (en) * 2005-03-07 2009-10-27 Sub-One Technology, Inc. Method and system for coating sections of internal surfaces
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US8222156B2 (en) 2006-12-29 2012-07-17 Lam Research Corporation Method and apparatus for processing a substrate using plasma
US8029875B2 (en) * 2007-05-23 2011-10-04 Southwest Research Institute Plasma immersion ion processing for coating of hollow substrates
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
DE602008002138D1 (de) * 2008-01-11 2010-09-23 Excico Group N V Vorrichtung und Verfahren zur Stromversorgung einer Elektronenquelle und Elektronenquelle mit Sekundäremission unter Ionenbombardierung
US9175381B2 (en) * 2008-07-09 2015-11-03 Southwest Research Institute Processing tubular surfaces using double glow discharge
KR20110098276A (ko) * 2010-02-26 2011-09-01 성균관대학교산학협력단 고주파 전압과 직류 바이어스 전압의 동기화 장치
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
US8753725B2 (en) 2011-03-11 2014-06-17 Southwest Research Institute Method for plasma immersion ion processing and depositing coatings in hollow substrates using a heated center electrode
US9121540B2 (en) 2012-11-21 2015-09-01 Southwest Research Institute Superhydrophobic compositions and coating process for the internal surface of tubular structures
FR3004465B1 (fr) * 2013-04-11 2015-05-08 Ion Beam Services Machine d'implantation ionique presentant une productivite accrue
CN103237403A (zh) * 2013-05-14 2013-08-07 哈尔滨工业大学 电晕放电模式的大气等离子体发生装置
EP3265806B1 (en) * 2015-03-06 2020-04-29 Mécanique Analytique Inc. Discharge-based photo ionisation detector for use with a gas chromatography system
WO2016141463A1 (en) * 2015-03-06 2016-09-15 Mécanique Analytique Inc. Multi-mode plasma-based optical emission gas detector
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US20190256973A1 (en) * 2018-02-21 2019-08-22 Southwest Research Institute Method and Apparatus for Depositing Diamond-Like Carbon Coatings
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
CN113035677B (zh) * 2019-12-09 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体处理设备以及等离子体处理方法
CN110936596A (zh) * 2019-12-27 2020-03-31 河南先途智能科技有限公司 低温等离子技术处理鞋材表面的工艺方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US633536A (en) * 1895-11-19 1899-09-19 S Price Stevenson Lock and latch.
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5498290A (en) * 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6550493B2 (en) * 2001-06-13 2003-04-22 Baxter International Inc. Vacuum demand valve

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216922B2 (en) 2010-03-19 2012-07-10 Panasonic Corporation Plasma doping method
JP2015207543A (ja) * 2014-04-18 2015-11-19 エバーディスプレイ オプトロニクス(シャンハイ) リミテッド 逆構造oledの製造方法

Also Published As

Publication number Publication date
US20060121704A1 (en) 2006-06-08
TW200633013A (en) 2006-09-16
CN101111922A (zh) 2008-01-23
WO2006063035A3 (en) 2006-08-24
KR20070088752A (ko) 2007-08-29
WO2006063035A2 (en) 2006-06-15

Similar Documents

Publication Publication Date Title
JP2008523625A (ja) 軸方向静電気的閉じ込めによるプラズマイオン注入装置
US7396746B2 (en) Methods for stable and repeatable ion implantation
US7326937B2 (en) Plasma ion implantation systems and methods using solid source of dopant material
KR960014437B1 (ko) 고 임피던스 플라즈마 이온 주입 방법 및 장치
KR101465542B1 (ko) 강화된 전하 중성화를 구비한 플라즈마 공정 및 공정 제어
US6664547B2 (en) Ion source providing ribbon beam with controllable density profile
US8188445B2 (en) Ion source
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
US20080132046A1 (en) Plasma Doping With Electronically Controllable Implant Angle
JP2006505128A (ja) 高電力パルスによって磁気的に強化されたプラズマ処理
EP1721329A2 (en) Modulating ion beam current
US20030101935A1 (en) Dose uniformity control for plasma doping systems
US20170178866A1 (en) Apparatus and techniques for time modulated extraction of an ion beam
US20130287963A1 (en) Plasma Potential Modulated ION Implantation Apparatus
KR101726560B1 (ko) 이온 주입에서 강화된 저 에너지 이온 빔 이송
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
US6664548B2 (en) Ion source and coaxial inductive coupler for ion implantation system
JP2000054125A (ja) 表面処理方法および装置
US20120000606A1 (en) Plasma uniformity system and method
KR20100121990A (ko) 플라즈마 도핑장치