SG11201507487TA - Spin-on compositions of soluble metal oxide carboxylates and methods of their use - Google Patents

Spin-on compositions of soluble metal oxide carboxylates and methods of their use

Info

Publication number
SG11201507487TA
SG11201507487TA SG11201507487TA SG11201507487TA SG11201507487TA SG 11201507487T A SG11201507487T A SG 11201507487TA SG 11201507487T A SG11201507487T A SG 11201507487TA SG 11201507487T A SG11201507487T A SG 11201507487TA SG 11201507487T A SG11201507487T A SG 11201507487TA
Authority
SG
Singapore
Prior art keywords
spin
compositions
methods
metal oxide
soluble metal
Prior art date
Application number
SG11201507487TA
Inventor
M Dalil Rahman
Venkata Gopal Reddy Chada
Huirong Yao
Clement Anyadiegwu
Douglas Mckenzie
Original Assignee
Az Electronic Materials Luxembourg S À R L
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Az Electronic Materials Luxembourg S À R L filed Critical Az Electronic Materials Luxembourg S À R L
Publication of SG11201507487TA publication Critical patent/SG11201507487TA/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/06Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1216Metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/143Radiation by light, e.g. photolysis or pyrolysis
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Thermal Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Materials For Photolithography (AREA)
  • Paints Or Removers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
SG11201507487TA 2013-06-28 2014-06-26 Spin-on compositions of soluble metal oxide carboxylates and methods of their use SG11201507487TA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/930,711 US9201305B2 (en) 2013-06-28 2013-06-28 Spin-on compositions of soluble metal oxide carboxylates and methods of their use
PCT/EP2014/063593 WO2014207142A1 (en) 2013-06-28 2014-06-26 Spin-on compositions of soluble metal oxide carboxylates and methods of their use

Publications (1)

Publication Number Publication Date
SG11201507487TA true SG11201507487TA (en) 2015-10-29

Family

ID=51063419

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11201507487TA SG11201507487TA (en) 2013-06-28 2014-06-26 Spin-on compositions of soluble metal oxide carboxylates and methods of their use

Country Status (8)

Country Link
US (1) US9201305B2 (en)
EP (1) EP3014358B1 (en)
JP (1) JP6342998B2 (en)
KR (1) KR102128141B1 (en)
CN (1) CN105209973B (en)
SG (1) SG11201507487TA (en)
TW (1) TWI606098B (en)
WO (1) WO2014207142A1 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5889568B2 (en) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH Composition for forming tungsten oxide film and method for producing tungsten oxide film using the same
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9418836B2 (en) 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use
US9499698B2 (en) * 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
TW201741766A (en) * 2015-12-17 2017-12-01 陶氏全球科技責任有限公司 Photo-imageable thin films with high dielectric constants
US10241409B2 (en) * 2015-12-22 2019-03-26 AZ Electronic Materials (Luxembourg) S.à.r.l. Materials containing metal oxides, processes for making same, and processes for using same
TWI723164B (en) * 2016-05-19 2021-04-01 日商三井化學股份有限公司 Composition for formation of metal-containing film, method of manufacturing composition for formation of metal-containing film, semiconductor device, and method of manufacturing semiconductor device
WO2018114920A2 (en) * 2016-12-21 2018-06-28 AZ Electronic Materials (Luxembourg) S.à.r.l. Composition of spin-on materials containing metal oxide nanoparticles and an organic polymer
CN110418811B (en) 2017-03-16 2022-05-13 默克专利股份有限公司 PhotolithogrAN _ SNhic composition and method of use thereof
KR102399362B1 (en) * 2017-09-06 2022-05-18 메르크 파텐트 게엠베하 Spin-on inorganic oxide containing composition useful as hard mask and filling material with improved thermal stability
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
US10354922B1 (en) 2017-12-27 2019-07-16 International Business Machines Corporation Simplified block patterning with wet strippable hardmask for high-energy implantation
JP2022540086A (en) 2019-07-08 2022-09-14 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング Cleaning agents and their use to remove edge protection layers and residual metal hardmask components
US20210389670A1 (en) * 2020-06-12 2021-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of manufacturing a semiconductor device
JPWO2022138010A1 (en) * 2020-12-24 2022-06-30
WO2022233919A2 (en) 2021-05-06 2022-11-10 Merck Patent Gmbh Spin on metal-organic formulations
JPWO2023008149A1 (en) * 2021-07-28 2023-02-02
WO2023136260A1 (en) * 2022-01-14 2023-07-20 Jsr株式会社 Method for producing semiconductor substrate, method for forming resist underlayer film, and cleaning fluid
JP2023129266A (en) 2022-03-03 2023-09-14 信越化学工業株式会社 Composition for forming metal oxide film, patterning method, and method for forming metal oxide film
JP2023166976A (en) 2022-05-10 2023-11-22 信越化学工業株式会社 Composition for forming metal oxide film, method for forming pattern, and method for forming metal oxide film

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3035071A (en) 1957-06-24 1962-05-15 Du Pont Titanium acylate silicone copolymers
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US3625934A (en) 1968-07-02 1971-12-07 Jacobus Rinse Oligomers of mixed tetravalent element oxides
US3758269A (en) 1971-10-12 1973-09-11 Sybron Corp Anionically modified nylon in a jute backed carpet cation dyes and long chain alkyl quaternary ammonium salt applied to
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4347347A (en) 1979-06-28 1982-08-31 Ube Industries, Ltd. Crosslinked organometallic block copolymers and process for production thereof
JPS5694651A (en) * 1979-12-28 1981-07-31 Tokyo Denshi Kagaku Kabushiki Manufacture of material for electronic parts
JPS56119774A (en) * 1980-02-22 1981-09-19 Tokyo Denshi Kagaku Kabushiki Liquid composition for forming metal oxide coat
JPS56159223A (en) 1980-05-13 1981-12-08 Seishi Yajima Production of heat-resistant compound
US4416789A (en) 1982-02-01 1983-11-22 Rca Corporation High density information disc lubricants
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4526766A (en) 1983-12-13 1985-07-02 Erco Industries Limited Production of red phosphorus
US4529766A (en) 1984-06-08 1985-07-16 The B. F. Goodrich Company Carboxylated rubber composition containing scorch inhibitor
JPS61136683A (en) * 1984-12-07 1986-06-24 Fuji Photo Film Co Ltd Production of thin hexagonal ferrite film
JPS6229016A (en) * 1985-07-31 1987-02-07 双葉電子工業株式会社 Transparent conductive film forming liquid
JPH0610353B2 (en) * 1986-02-07 1994-02-09 富士写真フイルム株式会社 Manufacturing method of hexagonal ferrite thin film
JPS6356529A (en) 1986-08-27 1988-03-11 Nissan Chem Ind Ltd Novel titanosiloxane polymer and production thereof
JP2565501B2 (en) * 1987-02-06 1996-12-18 白水化学工業株式会社 Method for producing metal-containing composition for high temperature oxidation prevention of metal material
JPS63293178A (en) * 1987-05-25 1988-11-30 Hakusui Kagaku Kogyo Kk Metal-containing composition for inhibiting oxidation of metallic material at high temperature and production thereof
JPS6452074A (en) * 1987-08-24 1989-02-28 Mitsubishi Electric Corp Coating agent for forming oxide-based superconducting film
JPH0250973A (en) * 1988-08-10 1990-02-20 Osaka City Golden product and production thereof
US5178989A (en) 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
JPH03138922A (en) 1989-10-24 1991-06-13 Matsushita Electric Ind Co Ltd Minute-pattern forming method
EP0440374B1 (en) 1990-01-30 1997-04-16 Wako Pure Chemical Industries Ltd Chemical amplified resist material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JPH0570717A (en) * 1991-09-10 1993-03-23 Japan Synthetic Rubber Co Ltd Coating fluid for forming transparent conductive film
JPH0632756A (en) 1992-07-14 1994-02-08 Ube Ind Ltd Production of bis@(3754/24)hydroxyphenyl)methanes
US5563228A (en) 1994-02-25 1996-10-08 Dow Corning Asia, Ltd. Method for the preparation of polyheterosiloxanes
JP3542156B2 (en) 1994-02-25 2004-07-14 ダウ コーニング アジア株式会社 Method for producing polytitanosiloxane soluble in organic solvent
EP0696813B1 (en) * 1994-08-11 2002-10-02 Canon Kabushiki Kaisha Use of a solution for fabrication of electron-emitting devices and manufacture method of electron-emitting devices
JP3230395B2 (en) * 1994-11-17 2001-11-19 三菱マテリアル株式会社 Composition for forming conductive film and method for forming conductive film
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5772978A (en) 1996-04-24 1998-06-30 Minnesota Mining And Manufacturing Company Process for producing tungsten oxide
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
JPH11258813A (en) * 1998-03-13 1999-09-24 Jsr Corp Composition for forming antireflection film and antireflection film
JP2000010293A (en) 1998-06-17 2000-01-14 Jsr Corp Composition for forming antireflection film and antireflection film
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
EP1302813A4 (en) 2000-06-21 2005-02-23 Asahi Glass Co Ltd Resist composition
US6242156B1 (en) 2000-06-28 2001-06-05 Gary Ganghui Teng Lithographic plate having a conformal radiation-sensitive layer on a rough substrate
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
WO2002065212A1 (en) 2001-02-09 2002-08-22 Asahi Glass Company, Limited Resist composition
JP2002363490A (en) * 2001-06-11 2002-12-18 Jsr Corp Insulating film-forming composition, method for producing the film, and silica-based insulating film
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
JP3580547B2 (en) * 2002-09-30 2004-10-27 三井金属鉱業株式会社 Alcohol concentration detection device, alcohol concentration detection method using the same, and method of manufacturing alcohol concentration detection sensor
JP2004179254A (en) 2002-11-25 2004-06-24 Renesas Technology Corp Method for manufacturing semiconductor device
JP2004179391A (en) * 2002-11-27 2004-06-24 Tokyo Ohka Kogyo Co Ltd Method for forming semiconductor multilayer wiring
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
EP1462473B1 (en) 2003-03-14 2011-07-06 Nippon Shokubai Co., Ltd. Surface crosslinking method of water-absorbing resin powder
US20040224616A1 (en) 2003-04-25 2004-11-11 Jsr Corporation Polishing pad and chemical mechanical polishing method
DE10352139A1 (en) 2003-11-04 2005-06-09 Basell Polyolefine Gmbh Organometallic transition metal compound used for catalyst system for polymerization of olefin, is new
JP4131864B2 (en) 2003-11-25 2008-08-13 東京応化工業株式会社 Chemical amplification type positive photosensitive thermosetting resin composition, method for forming cured product, and method for producing functional device
DE602004026635D1 (en) 2003-12-26 2010-05-27 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING A NITRIDE COATING FILM FOR A HARD MASK
JP4602971B2 (en) * 2004-02-20 2010-12-22 日本曹達株式会社 Photosensitive substrate and patterning method
JP4620967B2 (en) 2004-04-26 2011-01-26 太陽ホールディングス株式会社 Thermosetting resin composition for permanent hole filling
ITPG20040013A1 (en) * 2004-04-30 2004-07-30 Fuma Tech Gmbh ORGANIC SOLUTIONS OF TETRAVALENT METAL PHOSPHATES AND PYROPHOSPHATES PRECURSORS AND THEIR USE FOR THE MODIFICATION OF ELECTRODES AND FOR THE PREPARATION OF COMPOSITE MEMBRANES FOR FUEL CELLS OPERATING AT TEMPERATURES> 900 CENTIGRADS AND / OR LOW
JP4461901B2 (en) 2004-05-11 2010-05-12 Tdk株式会社 Hologram recording material and hologram recording medium
KR101001441B1 (en) 2004-08-17 2010-12-14 삼성전자주식회사 Organic-Inorganic Metal Hybrid Material and Organic Insulator Composition Comprising the Same
JP4811757B2 (en) 2004-09-30 2011-11-09 独立行政法人産業技術総合研究所 Mesoporous metal oxide composite optical waveguide sensor, method for producing the same, and gas sensor using the same
US7563549B2 (en) 2005-05-20 2009-07-21 Xerox Corporation Imaging member
CA2610461A1 (en) 2005-06-03 2006-12-07 Kuraray Co., Ltd. Gas barrier laminate, method for producing same and package body using same
KR100666477B1 (en) 2005-06-16 2007-01-11 한국과학기술연구원 Titanium dioxide nanorod and its fabrication method
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
JP4437226B2 (en) 2005-08-30 2010-03-24 国立大学法人 新潟大学 Method for producing photocatalytic film
KR101038377B1 (en) 2005-09-13 2011-06-01 신닛테츠가가쿠 가부시키가이샤 Thermosetting resin composition
KR101322045B1 (en) * 2005-10-28 2013-10-25 다우 글로벌 테크놀로지스 엘엘씨 Silsesquioxane-Titania Hybrid Polymers
JP4553835B2 (en) 2005-12-14 2010-09-29 信越化学工業株式会社 Antireflection film material, pattern forming method using the same, and substrate
JP5117002B2 (en) * 2006-07-10 2013-01-09 富士フイルム株式会社 Photocurable composition and pattern forming method using the same
WO2008026401A1 (en) 2006-08-29 2008-03-06 Jsr Corporation Photosensitive insulation resin composition and cured product thereof
US8168372B2 (en) 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
JP4204611B2 (en) 2006-09-25 2009-01-07 信越化学工業株式会社 Photomask blank manufacturing method
US7416834B2 (en) 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
FR2916199B1 (en) 2007-05-14 2012-10-19 Inst Francais Du Petrole OLEFIN OLIGOMERIZATION METHOD USING A CATALYTIC COMPOSITION COMPRISING AN ORGANOMETALLIC COMPLEX CONTAINING A HETERO-ATOMIC FUNCTIONALIZED PHENOXY LIGAND
US8039201B2 (en) 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5625210B2 (en) 2007-12-27 2014-11-19 ナガセケムテックス株式会社 Curable composition
JP5512934B2 (en) * 2008-05-09 2014-06-04 住友化学株式会社 Sol comprising amorphous Zr-O-based particles as a dispersoid, method for producing the same, photocatalyst coating liquid using the sol as a binder, and method for producing a photocatalytic functional product coated with the photocatalyst coating liquid
JP5101541B2 (en) 2008-05-15 2012-12-19 信越化学工業株式会社 Pattern formation method
JP5503916B2 (en) 2008-08-04 2014-05-28 富士フイルム株式会社 Resist composition and pattern forming method using the same
WO2010021030A1 (en) 2008-08-20 2010-02-25 富士通株式会社 Material for resist sensitization film formation, process for producing semiconductor device, semiconductor device, and magnetic head
JP5336306B2 (en) 2008-10-20 2013-11-06 信越化学工業株式会社 Resist underlayer film forming method, pattern forming method using the same, and resist underlayer film material
JP5555956B2 (en) 2008-10-23 2014-07-23 国立大学法人三重大学 Polyorganosiloxane composition and cured product thereof
KR101752517B1 (en) 2009-09-15 2017-06-29 바스프 에스이 Photo-latent titanium-chelate catalysts
KR101749601B1 (en) 2009-09-16 2017-06-21 닛산 가가쿠 고교 가부시키 가이샤 Silicon-containing composition having sulfonamide group for forming resist underlayer film
JP5721992B2 (en) 2009-10-14 2015-05-20 富士フイルム株式会社 Colored curable composition, resist solution, ink-jet ink, color filter, method for producing color filter, solid-state imaging device, liquid crystal display, organic EL display, image display device, and dye compound
EP2536871A4 (en) 2010-02-15 2013-12-18 Univ Cornell Electrospinning apparatus and nanofibers produced therefrom
EP2400304A1 (en) 2010-06-22 2011-12-28 Centro de Investigación Cooperativa En Biomateriales ( CIC biomaGUNE) Method for the characterization of intermolecular interactions
JP5266294B2 (en) 2010-11-01 2013-08-21 信越化学工業株式会社 Resist underlayer film material and pattern forming method using the same
KR102138449B1 (en) 2011-01-18 2020-07-27 히타치가세이가부시끼가이샤 Resin composition, and printed wiring board, laminated sheet, and prepreg using same
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP5825177B2 (en) * 2011-03-30 2015-12-02 Jsr株式会社 Inorganic film forming composition for multilayer resist process and pattern forming method
JP2012237823A (en) 2011-05-10 2012-12-06 Konica Minolta Business Technologies Inc Electrophotographic photoreceptor, process cartridge and image forming apparatus including the same
JP2014518944A (en) 2011-05-13 2014-08-07 グリーンセンター カナダ Group 11 monometallic precursor compounds and their use in metal deposition
US8568958B2 (en) 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
JP5889568B2 (en) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH Composition for forming tungsten oxide film and method for producing tungsten oxide film using the same
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
JP5830048B2 (en) 2013-03-15 2015-12-09 信越化学工業株式会社 Titanium-containing resist underlayer film forming composition and pattern forming method
US20150024522A1 (en) 2013-07-22 2015-01-22 Rohm And Haas Electronic Materials Llc Organometal materials and process
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9418836B2 (en) 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use

Also Published As

Publication number Publication date
CN105209973B (en) 2019-08-13
TW201512325A (en) 2015-04-01
KR20160025508A (en) 2016-03-08
EP3014358A1 (en) 2016-05-04
EP3014358B1 (en) 2017-08-16
US20150004801A1 (en) 2015-01-01
WO2014207142A1 (en) 2014-12-31
JP2016532739A (en) 2016-10-20
JP6342998B2 (en) 2018-06-13
KR102128141B1 (en) 2020-06-30
TWI606098B (en) 2017-11-21
CN105209973A (en) 2015-12-30
US9201305B2 (en) 2015-12-01

Similar Documents

Publication Publication Date Title
SG11201507487TA (en) Spin-on compositions of soluble metal oxide carboxylates and methods of their use
ZA201508189B (en) Doped metal oxide nanoparticles of and uses thereof
IL245776A (en) Dentifrice compositions comprising zinc oxide and zinc citrate
EP2964235A4 (en) Antimicrobial-antibiofilm compositions and methods of use thereof
HK1213476A1 (en) Substituted triazolopyridines and methods of use thereof
IL265876A (en) Compositions comprising 15-ohepa and methods of using the same
EP2953474A4 (en) Compositions and methods
GB201320723D0 (en) Composition and methods of treatment
SG11201507867RA (en) Antimicrobial compositions and methods of use
GB201308072D0 (en) Compositions and methods
SG10201405722WA (en) Metal oxide etching solution composition and etching method
EP2961419A4 (en) Phf20 and jmjd3 compositions and methods of use in cancer immunotherapy
HK1221641A1 (en) Vaccine composition and method of use
IL239840A0 (en) Polymyxins, compositions, methods of making and methods of use
IL244066A0 (en) Triazolopyridine compounds, compositions and methods of use thereof
HK1215657A1 (en) Antimicrobial compositions and related methods of use
GB201305813D0 (en) Compositions and methods
SG11201505891VA (en) Catalyst compositions and methods of making and using same
PT3038596T (en) Compositions and methods for the removal of tattoos
ZA201505825B (en) Coating compositions and methods of use
ZA201505570B (en) Method and composition for preventing oxidation
EP2956463A4 (en) Sulfonic esters of metal oxides and methods of their use
GB201310349D0 (en) Composition and method of use thereof
GB201301928D0 (en) Composition and method of use thereof
EP2951240A4 (en) Thermoset polyol compositions and methods of use thereof