JP2004179391A - Method for forming semiconductor multilayer wiring - Google Patents

Method for forming semiconductor multilayer wiring Download PDF

Info

Publication number
JP2004179391A
JP2004179391A JP2002343865A JP2002343865A JP2004179391A JP 2004179391 A JP2004179391 A JP 2004179391A JP 2002343865 A JP2002343865 A JP 2002343865A JP 2002343865 A JP2002343865 A JP 2002343865A JP 2004179391 A JP2004179391 A JP 2004179391A
Authority
JP
Japan
Prior art keywords
forming
layer
etching
interlayer insulating
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002343865A
Other languages
Japanese (ja)
Inventor
Yoshio Hagiwara
嘉男 萩原
Takeshi Tanaka
健 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Ohka Kogyo Co Ltd
Original Assignee
Tokyo Ohka Kogyo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Ohka Kogyo Co Ltd filed Critical Tokyo Ohka Kogyo Co Ltd
Priority to JP2002343865A priority Critical patent/JP2004179391A/en
Priority to CNB2003801043697A priority patent/CN100342521C/en
Priority to AU2003284465A priority patent/AU2003284465A1/en
Priority to TW092133416A priority patent/TWI272655B/en
Priority to PCT/JP2003/015162 priority patent/WO2004051740A1/en
Priority to EP03775920A priority patent/EP1566836A1/en
Priority to US10/535,900 priority patent/US20060141693A1/en
Publication of JP2004179391A publication Critical patent/JP2004179391A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To realize a reduction in man-hours and to improve the degree of freedoms of process control without deteriorating a low dielectric layer constituting an interlayer insulating layer, in a method for forming a wiring using a dual damascene process for forming a multilayer wiring structure by embedding a first etching space formed in the interlayer insulating layer and a second etching space communicating with the first etching space with a conductor material. <P>SOLUTION: An embedding material 34b containing a spin on glass material which can be easily removed with a release liquid without imparting a damage to the interlayer insulating layer is used as a material to be filled in the first etching space for protecting a lower layer wiring layer 26 against an exposure light to form a photoresist pattern for forming the second etching space. An absorbance material for absorbing the exposure light is not added to this embedding material, and instead of it, an antireflection film 35 which can be worked by dry etching is formed on an embedding material layer. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、半導体基板上に形成された下層配線層と、その上に層間絶縁層を介して形成された上層配線層とが、前記層間絶縁層を上下に貫通するビア配線によって接続されている半導体多層配線の形成方法に関するものであり、さらに詳しくは、前記ビア配線と上層配線層とをより簡易なデュアルダマシンプロセスによって形成する半導体多層配線形成方法に関するものである。
【0002】
【従来の技術】
周知のように、半導体集積回路における基本的配線構造は、半導体基板上に直接または間接的に形成された下層配線層と、この下層配線層上に層間絶縁層を介して形成された上層配線層とが、前記層間絶縁層を貫通するように形成されたビア配線によって接続されている構造である。この配線構造を複数化、多層化することによって、半導体集積回路の多層配線構造が形成される。
【0003】
従来、この配線構造は、半導体基板上に積層する導体層や層間絶縁層などの各層の形成とそれらのエッチングによるパターン化の繰り返しによって、実現していた。このような積層とエッチングを繰り返して多層配線を形成する逐次形成方法は、ステップ数が多く、製造コストを低減することが困難であったため、現在では、ダマシン法と一般に呼称されている象眼法が採用されている。このダマシン法とは、ビア配線や上層配線層を形成するためのビアホールやトレンチと呼ばれる配線溝を層間絶縁層に形成しておき、その空間に導体材料を埋め込むという配線形成方法である。このダマシン法において、ビア配線と上層配線層を同時に形成する場合は、特にデュアルダマシンプロセスと呼称されている。このダマシン法を採用することによって、従来は導体材料として用いることができなかった銅を用いることができるようになった。すなわち、微細配線用の導体材料として、銅は、アルミニウムに比べてエレクトロマイグレーション耐性が優れているため好適な材料であるが、エッチングが難しいということから、従来の多層配線逐次形成方法では用いることができなかった。しかしながら、前述のダマシン法を用いることによって、待望の銅を導体材料として使用できるようになった。
【0004】
かかるデュアルダマシンプロセスの基本的工程を図1および図2を参照して説明する。
【0005】
まず、図1(a)に示すように、基板1上にCVD法、回転塗布法等により層間絶縁層2を形成する。この層間絶縁層2を構成する材料は、SiOや、SOG(spin on glass)等が用いられる。この層間絶縁層2の上にレジスト膜3を形成し、パターン化する。このパターン化したレジスト膜3をマスクとして層間絶縁層2を選択的にエッチングし、続いてレジスト膜3を除去することによって、図1(b)に示すように、配線溝(トレンチ)4を形成する。次に、前述のように配線溝4を形成した層間絶縁層2の表面に、バリヤメタル5を堆積させることによって、配線溝4の内面に、この配線溝4内に埋め込むことになる銅と層間絶縁層2との接着性を向上させると同時に銅の層間絶縁層2中への拡散を防止するためのバリアメタル膜を、形成する。その後、図1(c)に示すように、配線溝4内に銅を電解メッキなどを用いて埋め込み、下層配線層6を形成する。
【0006】
次に、この時点で層間絶縁層2の表面に付着している銅と残存バリヤメタル5とを化学的研磨(CMP)により除去し、層間絶縁層2の表面を平坦化した後、その上に、順次、第1の低誘電体層7、第1のエッチングストッパ膜8、第2の低誘電体層9、および第2のエッチングストッパ膜10を積層する。次いで、前記第2のエッチングストッパ膜10の上に、ビアホール形成用のパターンを有するレジストマスク11を形成する。次に、図1(d)に示すように、前記レジストマスク11を用いてエッチングを行って、第2のエッチングストッパ膜10、第2の低誘電体層9、第1のエッチングストッパ層8、および第1の低誘電体層7を貫通し、下層配線層6の表面に至るビアホール12を形成する。続いて、図2(e)に示すように、前記ビアホール12にホトレジスト材料などの埋込材13を充填する。この埋込材13をエッチバックして、図2(f)に示すように、所定厚みだけビアホール12の底部に残し、さらに、前記第2のエッチングストッパ膜10の上に、トレンチ形成用のパターンを有するレジストマスク14を形成する。このレジストマスク14を用いて、図2(g)に示すように、第2のエッチングストッパ膜10と第2の低誘電体層9とをエッチングしてトレンチ15を形成するとともに、ビアホール12の底部に残存している埋込材13を除去する。この後、前記ビアホール12とトレンチ15とに銅を埋め込んで、図2(h)に示すように、ビア配線16と上層配線層17とを形成する。これにより、下層配線層6と上層配線層17とがビア配線16によって電気的に接続された多層配線構造が実現される。
【0007】
ところで、上記多層配線形成方法において、埋込材が用いられているが、この埋込材の役割は、次のような点にある。すなわち、ビアホールを形成した後に、エッチングにてトレンチを形成する際、ビアホールの底部に基板が露出していると、基板表面に存在する下層配線層が、トレンチを形成するためのエッチングガスによって損傷し、配線不良等を引き起こすことになる。そこで、ビアホールに埋込材を充填して、トレンチ形成工程中の下層配線層を保護する。
【0008】
この埋込材としては、従来、ホトレジスト組成物が用いられているが、ホトレジスト組成物をビアホールに充填した場合、気泡が発生して埋め込みが十分に行われないことがあるため、新たな埋込材として、熱架橋性化合物を有機溶剤に溶かした溶液を用いることが提案されている(特許文献1)。
【0009】
しかしながら、この有機膜を埋込材として用いる構成では、埋込材の役割を完了した後のビアホール内に残存する埋込材の除去が容易でなく、酸素プラズマアッシングによる除去処理が必要であるという問題点がある。そして、この場合、エッチングガス(主に酸素系ガス)が低誘電体層にダメージを与えるおそれがある。そのダメージとしては、低誘電体層のSi−R結合がSi−OH結合に変化したり、誘電率(k)が変動するということが挙げられる。
【0010】
また、他の埋込材として、スピンオングラス材料あるいはこれに高吸光性染料を添加した組成物が開示されている(特許文献1および2)。この組成物に高吸光性染料を添加する目的は、スピンオングラス材料が透明な材料であるので、トレンチを形成するためのレジストをパターニングする時の露光光が基板面に到達し、その反射光がレジストに入射し、レジストのパターン解像性を劣化させてしまうのを防止するためである。この埋込材組成物は、主成分であるスピンオングラス材料がフッ化水素酸に相溶性が高いため、ビアホールに埋込材が残留していてもフッ化水素酸を含有する剥離液により容易に除去することができるという利点を持つ。しかし、その反面、この埋込材には、その添加成分である高吸光性染料が変質しやすいため、埋込材溶液の保存安定性が低く、それに伴って埋込膜の安定性も低くなりやすく、工程管理の自由度を大きく制限するという問題点がある。また、高吸光性染料を配合した場合、上層膜との層間にインターミキシングが発生するおそれがある。
【0011】
特許文献3には、さらに前記埋込材層の上に前記反射光を遮断するための反射防止膜を設け、この反射防止膜の上にトレンチ形成用のレジスト層を形成する構成が開示されている。この反射防止膜の材料としては慣用の有機および無機材料が用いられるとしている。
【0012】
なお、反射防止膜の材料については、スピンオングラス材料に染料を添加した組成に改良を加えた材料がいくつか提案されている(例えば、特許文献4、特許文献5)。特許文献4では、主成分たるシラン化合物に改良を加えて、ホトレジストに比べてエッチング速度が速い反射防止膜材料を開示している。また、特許文献5では、アルコキシシラン系材料に特定の高吸光性成分を配合して、光吸収性を高めた反射防止膜材料を開示している。
【0013】
上述のように、デュアルダマシンプロセスによる従来の多層配線形成方法では、埋込材に感光性樹脂や熱可塑性樹脂などの有機膜を用いる場合には、埋込材の役割を完了した後のビアホール内に残存する埋込材の除去が容易でなく、酸素プラズマアッシングによる除去処理が必要であり、工数が増え、ひいては製造コストの低減が困難になるという問題点がある。さらに酸素プラズマガスにより低誘電体層がダメージを受けるという問題点が発生する。
【0014】
また、残存埋込材の除去を容易にするために、剥離剤の主成分とするフッ化水素酸に相溶性の高いスピンオングラス材料を用いる場合では、この材料が透明であるので、露光光が基板面に達することないように光吸収性の高い染料を添加しなければならず、この添加成分である高吸光性染料が変質しやすいため、埋込材溶液の保存安定性が低く、それに伴って埋込膜の安定性も低くなりやすく、工程管理の自由度を大きく制限するという問題点が生じる。また、高吸光性染料を配合した場合、上層膜との層間にインターミキシングが発生するおそれがある。
【0015】
【特許文献1】
特開2000−195955号公報
【特許文献2】
米国特許第6,329,118号公報
【特許文献3】
米国特許第6,365,529号公報
【特許文献4】
特開2001−92122号公報
【特許文献5】
米国特許第6,268,457号公報
【0016】
【発明が解決しようとする課題】
本発明は、前記事情に鑑みてなされたもので、デュアルダマシンプロセスを用いた半導体多層配線形成方法において、層間絶縁層を構成する低誘電体層を劣化させることなく、工数削減を可能にするとともに、工程管理の自由度を向上させることを課題とするものである。
【0017】
【課題を解決するための手段】
前記課題を解決するために、本願発明者らは、デュアルダマシンプロセスによる半導体多層配線の形成工程全体の流れから、ダマシン法に必須の埋込材の役割と、トレンチを形成するときに生じる露光反射光の防止手段との関係を再検討するとともに、埋込材および反射防止膜材として好適な材料の検討を重ねたところ、次のような知見を得るに至った。
【0018】
(i) 残留埋込材の除去を、完全、かつ簡易に、そして低誘電体層を劣化させることなく、行うためには、埋込材の主成分としてスピンオングラス材料を採用すべきである。
(ii) スピンオングラス材料にその透明性を下げるために高吸光性の染料を添加し、その代償として保存安定性を低下させるよりは、埋込材には埋込材としての役割のみを担わせ、露光光の基板からの反射を防止する役割を保存安定性が高くかつ除去の容易な反射防止膜を設けることにより果たさせるという構成を採用すれば、材料の安定供給および工程管理が容易になり、ひいては多層配線の形成が容易となる。
(iii) スピンオングラス材料を主成分とした埋込材を用いることにより、そのエッチング速度を低誘電体層のエッチング速度より同程度あるいは速くすることができ、第2エッチング空間の形成が容易となる。
(iv) スピンオングラス材料層の直上層にホトレジスト層を設けるプロセスでは、レジスト膜とのマッチング評価において、ロット間でのバラツキが多く発生したのに対し、反射防止層を介することにより、ホトレジスト層とのマッチングが容易となる。
【0019】
本発明は、かかる知見に基づいてなされたもので、本発明にかかる半導体多層配線形成方法は、半導体基板上に形成された下層配線層と、その上に層間絶縁層を介して形成された上層配線層とが、前記層間絶縁層を上下に貫通するビア配線によって接続されている半導体多層配線の形成方法において、前記下層配線層上に少なくとも低誘電体層からなる前記層間絶縁層を積層する層間絶縁層形成工程と、前記層間絶縁層上にホトレジスト層を形成し、パターン露光の後、現像処理してホトレジストパターンを形成し、このホトレジストパターンをマスクとしてエッチングを行って前記層間絶縁層に第1エッチング空間を形成する第1エッチング空間形成工程と、前記層間絶縁層上に、少なくともスピンオングラス材料を主成分とする埋込材を塗布することによって、前記第1エッチング空間に前記埋込材を埋め込む埋め込み工程と、前記層間絶縁層上に形成された埋込材層の上にドライエッチングにより加工可能な反射防止膜を形成する反射防止膜形成工程と、前記反射防止膜上にホトレジスト層を形成し、このホトレジスト層にパターン光を照射し、アルカリ現像液により現像して、ホトレジストパターンを形成するホトレジストパターン形成工程と、前記ホトレジストパターンをマスクとして前記反射防止膜の露出部分をドライエッチングにより加工する反射防止膜加工工程と、前記ホトレジストパターンをマスクとしてエッチングを行って、前記第1エッチング空間の上部の前記層間絶縁層を所定のパターンに除去して前記第1エッチング空間と連通する第2エッチング空間を形成すると同時に、前記第1エッチング空間内の埋込材を除去する第2エッチング空間形成および埋込材除去工程と、前記第1エッチング空間と第2エッチング空間とを同時に導体材料で埋め込み、前記ビア配線と上層配線層とを同時に形成する配線一括形成工程と、を有することを特徴とする。
【0020】
なお、前記構成において、第1エッチング空間とは、トレンチもしくはビアホールを意味し、第2エッチング空間とは、ビアホールもしくはトレンチを意味している。
【0021】
【発明の実施の形態】
本発明にかかる半導体多層配線形成方法の一例を図3および図4を参照してさらに詳しく説明する。
【0022】
まず、図3(a)に示すように、基板21上にCVD法、回転塗布法等により低誘電体層(層間絶縁層)22を形成する。この低誘電体層22の上にレジスト膜23を形成し、パターン化する。このパターン化したレジスト膜23をマスクとして低誘電体層22を選択的にエッチングし、続いてレジスト層23を除去することによって、図3(b)に示すように、配線溝(トレンチ)24を形成する。次に、前述のように配線溝24を形成した低誘電体層22の表面に、バリヤメタル25を堆積させることによって、配線溝24の内面に、この配線溝24内に埋め込むことになる銅と低誘電体層22との接着性を向上させると同時に銅の低誘電体層22中への拡散を防止するためのバリアメタル膜を、形成する。その後、図3(c)に示すように、配線溝24内に銅を電解メッキなどを用いて埋め込み、下層配線層26を形成する。
【0023】
次に、この時点で低誘電体層22の表面に付着している銅と残存バリヤメタル25とを化学的研磨(CMP)により除去し、低誘電体層22の表面を平坦化した後、その上に、順次、第1の低誘電体層27、第1のエッチングストッパ膜28、第2の低誘電体層29、および第2のエッチングストッパ膜30を積層する。次いで、前記第2のエッチングストッパ膜30の上に、反射防止膜31を形成する。この反射防止膜31の上にレジストを塗布し、ビアホール形成用のパターニングを施して、レジストマスク32を形成する。次に、図3(d)に示すように、前記レジストマスク32を用いてエッチングを行って、反射防止膜31、第2のエッチングストッパ膜30、第2の誘電体層29、第1のエッチングストッパ層28、および第1の低誘電体層27を貫通し、下層配線層26の表面に至るビアホール33を形成する。続いて、前記レジストマスク32と反射防止膜31とを除去した後、図4(e)に示すように、前記第2のエッチングストッパ30上に、スピンオングラス材料を主成分とする埋込材を均一に塗布することによって、前記ビアホール33に埋込材を充填する。図4(f)に示すように、第2のエッチングストッパ30上に形成された埋込材層34aの上にドライエッチングにより加工可能な反射防止膜35を積層し、この反射防止膜35上に、トレンチ形成用のパターンを有するレジストマスク36を形成する。次に、このレジストマスク36をマスクとして、レジストマスク36により覆われていない反射防止膜35の露出部分をドライエッチングにより加工する。続いて、前記レジストマスク36を用いて、図4(g)に示すように、第2のエッチングストッパ膜30と第2の低誘電体層29とをエッチングしてトレンチ37を形成するとともに、ビアホール33の内の埋込材34bを除去する。その後、反射防止膜35を除去し、第2のエッチングストッパ層30上の埋込材層34aと、前記エッチングによって除去し残ったビアホール33内の埋込材34bを、フッ化水素酸を含有する剥離液によって、完全に除去する。その後、前記ビアホール33とトレンチ37とに銅を埋め込んで、図4(h)に示すように、ビア配線38と上層配線層39とを同時に形成する。これにより、下層配線層26と上層配線層39とがビア配線38によって電気的に接続された多層配線構造が実現される。
【0024】
なお、上記説明は、ビアホールを先に形成する場合を対象として行ったものであるが、トレンチを先に形成する場合もあり、その場合にも、本発明方法は適用可能であることは、明らかである。
【0025】
前記構成の本発明の半導体多層配線形成方法において、好適に用いるスピンオングラス材料は、
(A) Si(OR(OR(OR(OR
(式中、R,R,R,およびRは、それぞれ独立に炭素数1〜4のアルキル基またはフェニル基、a,b,c,およびdは、0≦a≦4,0≦b≦4,0≦c≦4、0≦d≦4であって、かつa+b+c+d=4の条件を満たす整数である。)で表される化合物、
(B) RSi(OR(OR(OR
(式中、R5は水素原子あるいは炭素数1〜4のアルキル基、R,R,およびRは、それぞれ炭素数1〜3のアルキル基またはフェニル基、e,f,およびgは、0≦e≦3,0≦f≦3,0≦g≦3であって、かつe+f+g=3の条件を満たす整数である。)で表される化合物、および
(C) R10Si(OR11(OR12
(式中、RおよびR10は水素原子あるいは炭素数1〜4のアルキル基、R11およびR12は、それぞれ炭素数1〜3のアルキル基またはフェニル基、hおよびiは、0≦h≦2,0≦i≦2であって、かつh+i=2の条件を満たす整数である。)で表される化合物から選ばれる少なくとも1種の化合物を水の存在下で酸の作用により加水分解させたものである。
【0026】
前記(A)の化合物としては、例えば、テトラメトキシシラン、テトラエトキシシラン、テトラプロポキシシラン、テトラブトキシシラン、テトラフェニルオキシシラン、トリメトキシモノエトキシシラン、ジメトキシジエトキシシラン、トリエトキシモノメトキシシラン、トリメトキシモノプロポキシシラン、モノメトキシトリブトキシシラン、モノメトキシトリフェニルオキシシラン、ジメトキシジプロポキシシラン、トリプロポキシモノメトキシシラン、トリメトキシモノブトキシシラン、ジメトキシジブトキシシラン、トリエトキシモノプロポキシシラン、ジエトキシジプロポキシシラン、トリブトキシモノプロポキシシラン、ジメトキシモノエトキシモノブトキシシラン、ジエトキシモノメトキシモノブトキシシラン、ジエトキシモノプロポキシモノブトキシシラン、ジプロポキシモノメトキシモノエトキシシラン、ジプロポキシモノメトキシモノブトキシシラン、ジプロポキシモノエトキシモノブトキシシラン、ジブトキシモノメトキシモノエトキシシラン、ジブトキシモノエトキシモノプロポキシシラン、モノメトキシモノエトキシプロポキシモノブトキシシランなどのテトラアルコキシシランまたはそれらのオリゴマーが挙げられ、なかでもテトラメトキシシラン、テトラエトキシシランまたはそれらのオリゴマーが好ましい。
【0027】
また、前記(B)の化合物としては、例えば、トリメトキシシラン、トリエトキシシラン、トリブロポキシシラン、トリフェニルオキシシラン、ジメトキシモノエトキシシラン、ジエトキシモノメトキシシラン、ジプロポキシモノメトキシシラン、ジプロポキシモノエトキシシラン、ジフェニルオキシルモノメトキシシラン、ジフェニルオキシモノエトキシシラン、ジフェニルオキシモノプロポキシシラン、メトキシエトキシプロポキシシラン、モノプロポキシジメトキシシラン、モノプロポキシジエトキシシラン、モノブトキシジメトキシシラン、モノフェニルオキシジエトキシシラン、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、エチルトリメトキシシラン、エチルトリプロポキシシラン、エチルトリフェニルオキシシラン、プロピルトリメトキシシラン、プロピルトリエトキシシラン、プロピルトリフェニルオキシシラン、ブチルトリメトキシシラン、ブチルトリエトキシシラン、ブチルトリプロポキシシラン、ブチルトリフェニルオキシシラン、メチルモノメトキシジエトキシシラン、エチルモノメトキシジエトキシシラン、プロピルモノメトキシジエトキシシラン、ブチルモノメトキシジエトキシシラン、メチルモノメトキシジプロポキシシラン、メチルモノメトキシジフェニルオキシシラン、エチルモノメトキシジプロポキシシラン、エチルモノメトキシジフェニルオキシシラン、プロピルモノメトキシジプロポキシシラン、プロピルモノメトキシジフェニルオキシシラン、ブチルモノメトキシジプロポキシシラン、ブチルモノメトキシジフェニルオキシシラン、メチルメトキシエトキシプロポキシシラン、プロピルメトキシエトキシプロポキシシラン、ブチルメトキシエトキシプロポキシシラン、メチルモノメトキシモノエトキシモノブトキシシラン、エチルモノメトキシモノエトキシモノブトキシシラン、プロピルモノメトキシモノエトキシモノブトキシシラン、ブチルモノメトキシモノエトキシモノブトキシシランなどが挙げられ、なかでもトリメトキシシラン、トリエトキシシランが好ましい。
【0028】
さらに、前記(C)の化合物としては、例えば、ジメトキシシラン、ジエトキシシラン、ジプロポキシシラン、ジフェニルオキシシラン、メトキシエトキシシラン、メトキシプロポキシシラン、メトキシフェニルオキシシラン、エトキシプロポキシシラン、エトキシフェニルオキシシラン、メチルジメトキシシラン、メチルメトキシエトキシシラン、メチルジエトキシシラン、メチルメトキシプロポキシシラン、メチルメトキシフェニルオキシシラン、エチルジプロポキシシラン、エチルメトキシプロポキシシラン、エチルジフェニルオキシシラン、プロピルジメトキシシラン、プロピルメトキシエトキシシラン、プロピルエトキシプロポキシシラン、プロピルジエトキシシラン、プロピルジフェニルオキシシラン、ブチルジメトキシシラン、ブチルメトキシエトキシシラン、ブチルジエトキシシラン、ブチルエトキシプロポキシシラン、ブチルジプロポキシシラン、ブチルメチルフェニルオキシシラン、ジメチルジメトキシシラン、ジメチルメトキシエトキシシラン、ジメチルジエトキシシラン、ジメチルジフェニルオキシシラン、ジメチルエトキシプロポキシシラン、ジメチルジプロポキシシラン、ジエチルジメトキシシラン、ジエチルメトキシプロポキシシラン、ジエチルジエトキシプロポキシシラン、ジプロピルジメトキシシラン、ジプロピルジエトキシシラン、ジプロピルジフェニルオキシシラン、ジブチルジメトキシシラン、ジブチルジエトキシシラン、ジブチルジプロポキシシラン、ジブチルメトキシフェニルオキシシラン、メチルエチルジメトキシシラン、メチルエチルジエトキシシラン、メチルエチルジプロポキシシラン、メチルエチルジフェニルオキシシラン、メチルプロピルジメトキシシラン、メチルプロピルジエトキシシラン、メチルブチルジメトキシシラン、メチルブチルジエトキシシラン、メチルブチルジプロポキシシラン、メチルエチルエトキシプロポキシシラン、エチルプロピルジメトキシシラン、エチルプロピルメトキシエトキシシラン、ジプロピルジメトキシシラン、ジプロピルメトキシエトキシシラン、プロピルブチルジメトキシシラン、プロピルブチルジエトキシシラン、ジブチルメトキシプロポキシシラン、ブチルエトキシプロポキシシランなどが挙げられ、なかでもジメトキシシラン、ジエトキシシラン、メチルジメトキシシランが好ましい。
【0029】
このように、本発明方法において、アルコキシシラン系の埋込材料を用いることにより、ビアやトレンチをドライエッチング(フロオロカーボン系ガスを使用)にて形成する際に、低誘電体層と同等あるいはそれよりも速く埋込材層をエッチング除去することが可能となり、工程を簡略化し得る。また、アルコキシシラン系材料がドライエッチング終了後にトレンチやビア内部に残留した場合であっても、容易にフッ化水素酸を含有する剥離液を用いた除去が可能である。これに反して、有機材料、あるいは有機材料をアルコキシシラン系材料に配合した従来の埋込材料を用いた場合、ビアホール内に残留した有機材料の除去が困難になるおそれがある。具体的には、有機系材料はOプラズマアッシングにより除去されるが、この除去処理が低誘電体層にダメージを与えるおそれがある。
【0030】
さらに、本発明方法において、低誘電体層に用いられる材料としては、後述のものが挙げられるが、中でもメチル基を少なくとも有するSi系材料を用いた場合、スピンオングラス材料は、一般式(B)で表される成分と(C)で表される成分の合計の構成比率を重量ベースで50%以上とすることが、低誘電体層と同等あるいはそれよりも速く埋込材層をエッチング除去できるようにするためには、好ましい。
【0031】
また、低誘電体層がヒドロキシシルセスキオキサン系材料である場合には、Rが水素原子である一般式(B)で表される成分の構成比率を重量ベースで50%以上とするか、もしくはこれにポリアルキレングリコール系のポリマーを配合した構成とすることが、上述と同様の理由により好ましい。
【0032】
本発明方法では、上記(A)(B)(C)の各成分を有機溶媒に溶解して埋込材層形成用の塗布液が調製されるが、使用する有機溶媒としては、例えば、メチルアルコール、エチルアルコール、プロピルアルコール、ブチルアルコールのような一価アルコール、エチレングリコール、ジエチレングリコール、プロピレングリコール、グリセリン、トリメチロールプロパン、ヘキサントリオールのような多価アルコール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテルのような多価アルコールのモノエーテル類、酢酸メチル、酢酸エチル、酢酸ブチルのようなエステル類、アセトン、メチルエチルケトン、メチルイソアミルケトンのようなケトン類、エチレングリコールジメチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールジプロピルエーテル、エチレングリコールジブチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールメチルエチルエーテルのような多価アルコールエーテルをアルキルエーテル化した多価アルコールエーテルなどが挙げられる。中でも多価アルコールエーテルをアルキルエーテル化した多価アルコールエーテル類、特にアルキレングリコールジアルキルエーテル類が好ましい。
【0033】
上記有機溶媒は、単独でも、2種以上を組み合わせて用いても良いが、その使用量はシラン化合物の合計1モル当たり10〜30モルの範囲が好ましい。このように調製された塗布液は、そのまま使用できるが、塗布液中の固形分濃度の調整のため、希釈溶媒で希釈してから使用しても良い。前記希釈溶媒としては上記有機溶媒が使用できる。そして、塗布液の調製においては、使用したアルコール溶媒あるいはシラン化合物の加水分解反応により生成するアルコールの量が塗布液全量に対して15重量%を超えて残存すると、H−Si基とアルコールが反応して、RO−Si基が生成し易くなり、塗布液がゲル化し、保存安定性が劣る上に、クラックが発生するようになる。アルコール分が過剰に混入した場合には減圧蒸留で除去するが、減圧蒸留は真空度30〜300mmHg、好ましくは50〜200mmHg、温度20〜50℃で、2〜6時間行うのがよい。
【0034】
なお、本発明に用いるスピンオングラス材料には、高吸光性染料を実質的に添加することはない。というのは、このような染料の添加はインターミキシングの発生、保存安定性の低下を引き起こし、好ましくないからである。
【0035】
上記配線層用の導電体材料としては、Cuが好ましいが、Cu以外に、Cu合金、Al、Al合金等を用いてもよい。埋め込み配線層は電解めっき法などにより形成されるが、特に限定されない。
【0036】
上記低誘電体層に用い得る材料としては、カーボンドープオキサイド(SiOC)系、メチルシルセスキオキサン(MSQ)系、ヒドロキシシルセスキオキサン(HSQ)系の低誘電体材料を挙げることができる。前記カーボンドープオキサイド系の低誘電体材料としては、具体的には、Apllied Materials 社製のブラックダイアモンド(商品名)、Novelus Systems 社のコーラル(商品名)、日本ASM社製のAurora(商品名)等が挙げられる。また、前記メチルシルセスキオキサン系の低誘電体材料としては、具体的には、東京応化工業株式会社製の「OCD T−9」、「OCD T−11」、「OCL T−31」、「OCL T−37」、「OCL T−39」という商品名で市販されている材料等が挙げられる。さらに、前記ヒドロキシシルセスキオキサン系の低誘電体材料としては、具体的には、東京応化工業株式会社製の「OCD T−12」、「OCL T−32」という商品名で市販されている材料等が挙げられる。
【0037】
本発明方法において、低誘電体層は、前記配線層上、あるいは配線層上にバリア膜(SiN、SiC、SiCN、Ta、TaN等)を形成した上に形成してもよい。低誘電体層の焼成温度は、通常、350℃以上のハードベークで行われる。
【0038】
上記ホトレジスト層は、水銀灯のi線、g線、KrFエキシマレーザー、ArFエキシマレーザー、Fエキシマレーザー、電子線ビーム(EB:Electron Beam)向けに慣用されるホトレジスト材料を、リソグラフィー法により、用いることができる。
【0039】
また、本発明方法において、上記ドライエッチングにより除去可能な反射防止膜としては、慣用のCF系エッチングガスや、N+O系エッチングガスによって、除去可能な市販の材料を使用することが可能である。この反射防止膜により基板からの露光光の反射によるホトレジストパターンの形状劣化を防止し得る。市販の反射防止膜材料としては、東京応化工業株式会社製の「SWK−EX1D55」、「SWK−EX3」、「SWK−EX4」、「SWK−T5D60」、「SWK−T7」等の商品名で市販されている材料や、Brewer science社製の「DUV−42」、「DUV−44」、「ARC−28」、「ARC−29」等の商品名で市販されている材料や、Shipley社製の「AR−3」、「AR−19」等の商品名で市販されている材料等が挙げられる。
【0040】
上記反射防止膜を使用する場合、第2エッチング空間を形成し、埋込材を除去した後、ホトレジスト膜および反射防止膜の除去を行う。
【0041】
これらの反射防止膜は、通常、酸素プラズマアッシング処理により除去されるが、この場合、低誘電体層へのダメージが発生するおそれがあり、アッシング処理を採用することは好ましくない。そこで、本発明では、反射防止膜の除去処理は、残存する反射防止膜下層の埋込材を除去して、リフトオフすることにより、実現する。
【0042】
また、特に低誘電体層としてヒドロキシシルセスキオキサン系の材料を用いた場合には、He、Ar等の不活性ガスから発生するプラズマを照射する処理を行って、低誘電体層の表面を改質する。この表面改質処理により、残存する反射防止膜およびホトレジストパターンは、低誘電体層へのダメージを生じることなく、酸素プラズマ処理により除去することができる。
【0043】
また、前記反射防止膜を構成する材料として、前記慣用の組成物に加えて、次のような樹脂組成物を用いることもできる。すなわち、所定のエネルギーが印加されることにより末端基が脱離してスルホン酸残基を生じる置換基を少なくとも有する樹脂成分と、溶媒とを含有してなる樹脂組成物を用いることもできる。
【0044】
前記樹脂成分は、少なくとも下記一般式(1)
【化2】

Figure 2004179391
(式中、nは1以上の整数を表し、Xは炭素原子数1〜10の直鎖もしくは分岐状のアルキル鎖、芳香性もしくは脂環性の環状アルキル鎖、アルキルエステル鎖であり、Yは所定のエネルギーの印加を受けてスルホン酸残基を生じる置換基である。)
で表される繰り返し単位を有することを特徴とする。
【0045】
前記スルホン酸残基を生じさせるために印加される所定のエネルギーとしては、例えば、80℃以上の加熱処理等でスルホン酸残基を生じさせることができる。このような所定のエネルギーの印加は剥離処理における加熱とアルカリの協奏作用によりさらに促進される。
【0046】
前記一般式(1)の置換基Yとしては、−SOもしくは−SO (式中、RおよびRは1価の有機基)が好ましい。
【0047】
前記有機基Rとしては、炭素原子数1〜10のアルキル基、あるいはヒドロキシアルキル基のなかから選ばれる1種が好ましい。
【0048】
また、前記有機基Rとしては、アルカノールアミン、およびアルキルアミンの中から選ばれる少なくとも1種が好ましい。
【0049】
さらに、前記所定のエネルギーが印加されることにより末端基が脱離してスルホン酸残基を生じる置換基を少なくとも有する樹脂成分として、前述のいずれかの樹脂成分と、アクリル酸またはメタアクリル酸あるいはそれらの誘導体との共重合体あるいは混合樹脂を用いてもよい。
【0050】
樹脂成分として、前記共重合体あるいは混合樹脂を用いる場合、その重合比あるいは混合比は、レジスト現像液に対する耐性があり、レジスト剥離液にて除去できるという効果を維持できる範囲にあれば、特に限定されない。
【0051】
さらにまた、前記所定のエネルギーが印加されることにより末端基が脱離してスルホン酸残基を生じる置換基を少なくとも有する樹脂成分として、
前述のいずれかの樹脂成分とアクリル酸またはメタアクリル酸あるいはそれらの誘導体との共重合体あるいは混合樹脂に対して、下記一般式(2)
【化3】
Figure 2004179391
(式中、nは1以上の整数を表し、Rは水素原子、フッ素原子、水酸基、カルボキシル基、炭素原子数1〜5のヒドロキシアルキル基、炭素原子数1〜5のアルコキシアルキル基の中から選ばれる少なくとも1種であり、Zは炭素原子数1〜10の直鎖もしくは分岐状のアルキル鎖、芳香性もしくは脂環性の環状アルキル鎖、アルキルエステル鎖である。)
で表される繰り返し単位を共重合させた共重合体もしくは前記一般式(2)で表される繰り返し単位を有する樹脂化合物を混合させた混合樹脂からなる樹脂成分を用いてもよい。
【0052】
前記一般式(2)の誘導体を用いて共重合体を調製し、その共重合体を樹脂成分として反射防止膜材料を構成すれば、樹脂成分のユニットにアントラセンが含まれることになり、このアントラセンは、特にKrFエキシマレーザーを用いたリソグラフィーにおいて吸収特性が高く、好ましい。
【0053】
この反射防止膜形成材料に用いる溶媒としては、従来の下層膜形成材料に用いられるものであれば、特に制限することなく用いることができる。
【0054】
前述の「所定のエネルギーが印加されることにより末端基が脱離してスルホン酸残基を生じる置換基を少なくとも有する樹脂成分と、溶媒とを含有してなる樹脂組成物」から反射防止膜を形成すれば、第2のエッチング空間を形成し、埋込材を除去した後、ホトレジスト膜および反射防止膜の除去を行う工程で、通常のリソグラフィー処理で用いられるホトレジスト用剥離剤により簡易に除去することが可能となる。その結果、Oプラズマアッシングを用いた反射防止膜除去処理に伴う低誘電体層へのダメージのリスクを回避することができる。また、ホトレジストパターンの形成に失敗した際に行われる半導体基板回収のリワークについても容易となる。
【0055】
さらに、前記反射防止膜を形成するための他の組成物として、ポリチタノキサンおよびポリシロキサンの混合物もしくはそれらの共重合体を含有してなる樹脂組成物を用いることもできる。この樹脂組成物は、チタノキサン:RTi(OR)(4−m)を縮合させた反応物と、シロキサン:RSi(OR)(4−n)を縮合させた反応物の混合物、もしくはこれらの成分を合わせて縮合させた反応物を主成分とするもので、必要に応じて、活性剤、架橋促進剤等を含有させる。前記式中、Rは主にアルキル基であり、同一でも異種でもよく、m、nは0〜3の整数である。また、この主成分中のTi含有量は、0.1〜99.9%であり、好ましくは、5〜70%である。この樹脂組成物の溶剤としては、主成分樹脂を溶解でき、基板上に塗布し、乾燥した後で、加熱処理することで、レジストとインターミキシングしない程度に、膜を形成できるものであれば、特に限定することなく、何でも使用できる。
【0056】
前述の「ポリチタノキサンおよびポリシロキサンの混合物もしくはそれらの共重合体を含有してなる樹脂組成物」を用いて反射防止膜を形成すれば、第2のエッチング空間を形成し、埋込材を除去した後、ホトレジスト膜および反射防止膜の除去を行う工程で、通常のリソグラフィー処理で用いられるホトレジスト用剥離剤により簡易に除去することが可能となる。その結果、Oプラズマアッシングを用いた反射防止膜除去処理に伴う低誘電体層へのダメージのリスクを回避することができる。また、ホトレジストパターンの形成に失敗した際に行われる半導体基板回収のリワークについても容易となる。
【0057】
前述のような本発明方法による効果としては、以下のものを得ることができる。
(i) デュアルダマシンプロセスにおけるトレンチ/ビアの形成時に、ビア/トレンチ内の埋込材を、低誘電体層と同時に、あるいは低誘電体層よりも速く、エッチング除去することが可能となる。
(ii) エッチング除去後にビアホール内に残った埋込材料は、フッ化水素酸を含有する剥離液により容易に除去することが可能となる。
(iii) 有機膜を埋込材料とした従来例では、Oプラズマアッシングによる除去が必要であったが、この工程を省くことができるため、低誘電体層へのダメージ(Si−R結合→Si−OH結合)、および誘電率(k)の変動をなくすことが可能となる。
(iv) 実質的に有機系添加物(先行技術に言うところの高吸光性成分、染料、等)を配合しない埋込材料を用いるので、スピンオングラス材料の経時劣化が防止でき、これにより埋込材溶液の保存安定性、および膜の安定性を高めることができ、工程管理の自由度を高めることができる。また、上層膜との層間にインターミキシングが発生することを抑止することができる。
(v) スピンオングラス材料層の真上層にホトレジスト層を設けるプロセスでは、レジスト膜とのマッチング評価において、ロット間でのバラツキが多く発生したのに対し、反射防止膜を介する構成であるので、ホトレジスト層とのマッチングが容易となる。
【0058】
【実施例】
以下、本発明を実施例によりさらに詳しく説明する。以下に示す実施例は、本発明を好適に説明する例示に過ぎず、なんら本発明を限定するものではない。
【0059】
(実施例1)
銅配線が形成された基板上に、SiN層、ヒドロキシシルセスキオキサン系低誘電体材料(東京応化工業株式会社製(商品名:OCD T−12))からなる第1の低誘電体層、SiN層、およびヒドロキシシルセスキオキサン系低誘電体材料(東京応化工業株式会社製(商品名:OCD T−12))からなる第2の低誘電体層の4つの層を、順次積層して、6000Åの層間絶縁層を形成した。
【0060】
前記層間絶縁層の上に、ARC−29(Brewer science社製)からなる膜厚770Åの第1の反射防止膜を形成し、その上に膜厚4000Åの第1のホトレジスト層を形成し、露光、現像処理を施した。前記第1のホトレジスト層は、アクリル系ポリマーを主成分とするレジスト組成物(東京応化工業株式会社製(商品名:TArF−7a21))を用いて形成した。
【0061】
得られたホトレジストパターンをマスクとして、前記層間絶縁層にエッチング処理を施し、直径200nmのビアホールを形成した。
【0062】
このビアホールに対して、前記積層およびエッチング工程とは別工程にて調製しておいた埋込材を前記層間絶縁層上に膜厚4000Åとなるようにスピンコーティングにより均一に塗布し、ビアホールを埋込材にて埋め込んだ。
【0063】
前記埋込材は、次のようにして調製したものである。すなわち、トリエトキシシラン236.58g(1.44モル)、テトラメトキシシラン108.72g(0.72モル)、プロピレングリコールジメチルエーテル2117.71g(20.4モル)、水129.6g(7.2モル)および濃硝酸432μLを配合して溶液を得た。この溶液を150mmHg、40℃で4時間減圧蒸留し、固形分濃度9.0重量%、アルコール濃度3.8重量%の埋込材を調製した。
【0064】
前述のようにビアホールに埋込材を埋め込むために基板表面に均一に塗布された埋込材層の上に、ARC−29(Brewer science社製)からなる膜厚770Åの第2の反射防止膜を形成し、その上に膜厚4000Åの第2のホトレジスト層を形成し、露光、現像処理を施した。第2のホトレジスト層は、前記第1のホトレジスト層と同一組成物から形成した。
【0065】
得られたホトレジストパターンをマスクとして、N+0の混合ガスからなるエッチングガスを用いて反射防止膜の露出部分を除去した。
【0066】
続けて、前記ホトレジストパターンをマスクとして、CF+CHFの混合ガスからなるエッチングガスを用いて層間絶縁層の要部を除去し、トレンチを形成した。このエッチング処理後もビアホール内に残った埋込材は、0.1質量%バッファードフッ酸を用いて除去した。
【0067】
その結果、低誘電体層にダメージおよび誘電率の変動を及ぼすことなく、工程を簡略化し、ビアホールとトレンチとからなるデュアルダマシン構造を形成することができた。
【0068】
(実施例2〜5)
前記実施例1における第1の反射防止膜と第2の反射防止膜とを、以下の4種の樹脂組成物から構成した以外は、実施例1と同様にして、それぞれデュアルダマシン構造を形成した。
【0069】
反射防止膜形成材料として、次の(A)、(B)、(C)、および(D)の樹脂組成物を調製した。
【0070】
(A) p−スチレンスルホン酸エチルからなる樹脂成分を、γ−ブチロラクトン/乳酸エチル(2:8)からなる溶媒に溶解し、固形分濃度を6wt%に調整した樹脂組成物。
【0071】
(B) p−スチレンスルホン酸エチル:ヒドロキシエチルアクリレート(=5:5)からなる樹脂成分と、該樹脂成分量の20wt%相当量のサイメル1172(三井サイアナミッド社製テトラメチロールグリコールウリル)とを、乳酸エチルからなる溶媒に溶解し、固形分濃度を6wt%に調整した樹脂組成物。
【0072】
(C) p−スチレンスルホン酸エチル:9−ヒドロキシアントラセニルアクリレート(=5:5)からなる樹脂成分を、γ−ブチロラクトン/乳酸エチル(2:8)からなる溶媒に溶解し、固形分濃度を6wt%に調整した樹脂組成物。
【0073】
(D) p−スチレンスルホン酸エチル:ヒドロキシエチルアクリレート:9−ヒドロキシアントラセニルアクリレート(=4:3:3)からなる樹脂成分と、該樹脂の20wt%相当量のサイメル1172(三井サイアナミッド株式会社製テトラメチロールグリコールウリル)と、前記2種の固形分量の1000ppm相当量のメガファックR08(大日本インキ株式会社製フッ素系界面活性剤)とを、乳酸エチルからなる溶媒に溶解し、固形分濃度を6wt%に調整した樹脂組成物。
【0074】
これら(A)(B)(C)(D)の樹脂組成物を前記実施例1における第1の反射防止膜と第2の反射防止膜を形成するための材料とした。これら樹脂組成物を反射防止膜形成材料として用い、各反射防止膜の膜厚を2000Åにした以外は、実施例1と同様にして、トレンチとビアホールからなる配線構造を形成した。なお、各樹脂組成物を塗布して反射防止膜を得るための加熱条件は、200℃にて90秒間であった。
【0075】
その結果、(A)(B)(C)(D)のいずれの樹脂組成物を用いた場合も、低誘電体層にダメージおよび誘電率の変動を及ぼすことなく、工程を簡略化し、ビアホールとトレンチとからなるデュアルダマシン構造を形成することができた。
【0076】
(実施例6)
前記実施例1における第1の反射防止膜と第2の反射防止膜とを、以下の樹脂組成物から構成した以外は、実施例1と同様にして、それぞれデュアルダマシン構造を形成した。
【0077】
テトライソプロポキシチタン100gをエタノール338gに溶解し、次いで酢酸を121g、さらにアセチルアセトン357gを投入して混合物を得た。この混合物を4時間撹拌し、その後、16時間静置させることによって、ポリチタノキサン10%液を得た。
【0078】
次に、テトラエトキシシラン324gにメタノール113g、アセチルアセトン312g、酢酸324gを投入して混合物を得た。この混合物を6時間撹拌し、その後、16時間静置させることによって、ポリシロキサン9%液を得た。
【0079】
前記ポリチタノキサン液と、ポリシロキサン液とを、1:1で混合し、ブチルセロソルブで希釈した樹脂混合溶液を、前記実施例1における第1の反射防止膜と第2の反射防止膜を形成するための材料とした。この樹脂混合溶液を反射防止膜形成材料として用い、各反射防止膜の膜厚を1240Åにした以外は、実施例1と同様にして、トレンチとビアホールからなる配線構造を形成した。なお、各樹脂組成物を塗布して反射防止膜を得るための加熱処理は、まず90℃90秒間加熱し、その後、220℃600秒間加熱するというものであった。
【0080】
その結果、この樹脂混合物を用いて反射防止膜を形成した場合も、低誘電体層にダメージおよび誘電率の変動を及ぼすことなく、工程を簡略化し、ビアホールとトレンチとからなるデュアルダマシン構造を形成することができた。
【0081】
(比較例1)
実施例1で用いた埋込材を形成する組成物を、メトキシメチル化ベンゾグアナミンの30重量%プロピレングリコールモノメチルエーテルアセテート溶液から構成した以外は、全く同様の組成および操作により、デュアルダマシン構造を形成した。
【0082】
その結果、埋込材は、トレンチを形成するための「CF+CHFの混合ガスからなるエッチングガスによるドライエッチング処理」に伴う埋込材のエッチングによっては、殆ど除去できなかった。また、その後の剥離洗浄液を用いた処理によっても除去することができなかった。
【0083】
そこで、除去できずに残留した埋込材を除去するために、N+Oの混合ガスからなるエッチングガスを用いてドライエッチング処理を行ったところ、埋込材の除去はできたものの、低誘電体層の表面にダメージが現れていることが、走査電子顕微鏡(SEM)による観察により判明した。
【0084】
【発明の効果】
以上説明したように、本発明にかかる半導体多層配線形成方法は、半導体基板上に形成された下層配線層と、その上に層間絶縁層を介して形成された上層配線層とが、前記層間絶縁層を上下に貫通するビア配線によって接続されている半導体多層配線の形成方法において、前記下層配線層上に少なくとも低誘電体層からなる前記層間絶縁層を積層する層間絶縁層形成工程と、前記層間絶縁層上にホトレジスト層を形成し、パターン露光の後、現像処理してホトレジストパターンを形成し、このホトレジストパターンをマスクとしてエッチングを行って前記層間絶縁層に第1エッチング空間を形成する第1エッチング空間形成工程と、前記層間絶縁層上に、少なくともスピンオングラス材料を主成分とする埋込材を塗布することによって、前記第1エッチング空間に前記埋込材を埋め込む埋め込み工程と、前記層間絶縁層上に形成された埋込材層の上にドライエッチングにより加工可能な反射防止膜を形成する反射防止膜形成工程と、前記反射防止膜上にホトレジスト層を形成し、このホトレジスト層にパターン光を照射し、アルカリ現像液により現像して、ホトレジストパターンを形成するホトレジストパターン形成工程と、前記ホトレジストパターンをマスクとして前記反射防止膜の露出部分をドライエッチングにより加工する反射防止膜加工工程と、前記ホトレジストパターンをマスクとしてエッチングを行って、前記第1エッチング空間の上部の前記層間絶縁層を所定のパターンに除去して前記第1エッチング空間と連通する第2エッチング空間を形成すると同時に、前記第1エッチング空間内の埋込材を除去する第2エッチング空間形成および埋込材除去工程と、前記第1エッチング空間と第2エッチング空間とを同時に導体材料で埋め込み、前記ビア配線と上層配線層とを同時に形成する配線一括形成工程と、を有することを特徴とする。
【0085】
すなわち、本発明方法は、デュアルダマシンプロセスによる半導体多層配線形成方法において、第2エッチング空間形成用のホトレジストパターンを形成するための露光光から下層配線層を保護するために第1エッチング空間内に充填される材料として、層間絶縁層にダメージを与えることのない剥離液により容易に除去することのできるスピンオングラス材料を主成分とする埋込材を用いるとともに、埋込材層の上にドライエッチングにより除去可能な反射防止膜を形成することを特徴する。
【0086】
したがって、本発明方法によれば、以下のような利点が得られる。
(i) デュアルダマシンプロセスにおける第2エッチング空間の形成時に、第1エッチング空間内の埋込材を、低誘電体層と同時に、あるいは低誘電体層よりも速く、エッチング除去することが可能となる。
(ii) エッチング除去後に第1エッチング空間内に残った埋込材料は、通常のリソグラフィーで用いられるホトレジスト用剥離液により容易に除去することが可能となる。
(iii) 有機膜を埋込材料とした従来例では、Oプラズマアッシングによる除去が必要であったが、この工程を省くことができるため、低誘電体層へのダメージ(Si−R結合→Si−OH結合)、および誘電率(k)の変動をなくすことが可能となる。
(iv) 実質的に有機系添加物(先行技術に言うところの高吸光性成分、染料、等)を配合しない埋込材料を用いるので、スピンオングラス材料の経時劣化が防止でき、これにより埋込材溶液の保存安定性、および膜の安定性を高めることができ、工程管理の自由度を高めることができる。また、インターミキシングの発生を防止することができる。
(v) スピンオングラス材料層の真上層にホトレジスト層を設けるプロセスでは、レジスト膜とのマッチング評価において、ロット間でのバラツキが多く発生したのに対し、反射防止膜を介する構成であるので、ホトレジスト層とのマッチングが容易となる。
【図面の簡単な説明】
【図1】(a)〜(d)は、デュアルダマシンプロセスを用いた従来の半導体多層配線形成方法の前半の工程を説明した図である。
【図2】(e)〜(h)は、デュアルダマシンプロセスを用いた従来の半導体多層配線形成方法の後半の工程を説明した図である。
【図3】(a)〜(d)は、デュアルダマシンプロセスを用いた本発明にかかる半導体多層配線形成方法の前半の工程を説明した図である。
【図4】(e)〜(h)は、デュアルダマシンプロセスを用いた本発明にかかる半導体多層配線形成方法の後半の工程を説明した図である。
【符号の説明】
21 基板
22 低誘電体層
23 レジスト膜
24 配線溝(トレンチ)
25 バリヤメタル
26 下層配線層
27 第1の低誘電体層
28 第1のエッチングストッパ膜
29 第2の低誘電体層
30 第2のエッチングストッパ膜
31 反射防止膜
32 レジストマスク
33 ビアホール
34a 埋込材層
34b ビアホール内の埋込材
35 ドライエッチングにより除去可能な反射防止膜
36 レジストマスク
37 トレンチ
38 ビア配線
39 上層配線層[0001]
TECHNICAL FIELD OF THE INVENTION
According to the present invention, a lower wiring layer formed on a semiconductor substrate and an upper wiring layer formed thereon via an interlayer insulating layer are connected by via wiring vertically penetrating the interlayer insulating layer. The present invention relates to a method for forming a semiconductor multilayer wiring, and more particularly, to a method for forming a semiconductor multilayer wiring in which the via wiring and an upper wiring layer are formed by a simpler dual damascene process.
[0002]
[Prior art]
As is well known, a basic wiring structure in a semiconductor integrated circuit includes a lower wiring layer formed directly or indirectly on a semiconductor substrate and an upper wiring layer formed on the lower wiring layer via an interlayer insulating layer. Are connected by via wiring formed so as to penetrate the interlayer insulating layer. By multiplying and multiplying this wiring structure, a multilayer wiring structure of a semiconductor integrated circuit is formed.
[0003]
Heretofore, this wiring structure has been realized by repeating formation of layers such as a conductor layer and an interlayer insulating layer laminated on a semiconductor substrate and patterning by etching. Since the sequential formation method of forming a multilayer wiring by repeating such lamination and etching has many steps and it is difficult to reduce the manufacturing cost, an inlaid method which is generally called a damascene method at present is now used. Has been adopted. The damascene method is a wiring forming method in which a wiring groove called a via hole or a trench for forming a via wiring or an upper wiring layer is formed in an interlayer insulating layer, and a conductive material is buried in the space. In the damascene method, when the via wiring and the upper wiring layer are formed at the same time, it is particularly called a dual damascene process. By adopting this damascene method, it has become possible to use copper which could not be used as a conductor material in the past. That is, as a conductor material for fine wiring, copper is a preferable material because of its excellent electromigration resistance as compared with aluminum, but it is difficult to etch, and therefore, it is difficult to use copper in the conventional multilayer wiring sequential forming method. could not. However, by using the above-described damascene method, the long-awaited copper can be used as a conductor material.
[0004]
The basic steps of such a dual damascene process will be described with reference to FIGS.
[0005]
First, as shown in FIG. 1A, an interlayer insulating layer 2 is formed on a substrate 1 by a CVD method, a spin coating method, or the like. The material forming the interlayer insulating layer 2 is SiO2And SOG (spin on glass) are used. A resist film 3 is formed on the interlayer insulating layer 2 and patterned. Using the patterned resist film 3 as a mask, the interlayer insulating layer 2 is selectively etched, and then the resist film 3 is removed to form a wiring groove (trench) 4 as shown in FIG. I do. Next, a barrier metal 5 is deposited on the surface of the interlayer insulating layer 2 in which the wiring groove 4 has been formed as described above, so that copper to be buried in the wiring groove 4 is formed on the inner surface of the wiring groove 4. A barrier metal film is formed to improve adhesion to the layer 2 and at the same time prevent copper from diffusing into the interlayer insulating layer 2. Thereafter, as shown in FIG. 1C, copper is buried in the wiring groove 4 by electrolytic plating or the like to form a lower wiring layer 6.
[0006]
Next, at this point, the copper and the remaining barrier metal 5 adhering to the surface of the interlayer insulating layer 2 are removed by chemical polishing (CMP), and the surface of the interlayer insulating layer 2 is planarized. A first low dielectric layer 7, a first etching stopper film 8, a second low dielectric layer 9, and a second etching stopper film 10 are sequentially stacked. Next, a resist mask 11 having a pattern for forming a via hole is formed on the second etching stopper film 10. Next, as shown in FIG. 1D, etching is performed using the resist mask 11 to form a second etching stopper film 10, a second low dielectric layer 9, a first etching stopper layer 8, Then, a via hole 12 penetrating through the first low dielectric layer 7 and reaching the surface of the lower wiring layer 6 is formed. Subsequently, as shown in FIG. 2E, the via hole 12 is filled with an embedding material 13 such as a photoresist material. The embedding material 13 is etched back to leave a predetermined thickness at the bottom of the via hole 12 as shown in FIG. 2F, and further, a pattern for forming a trench is formed on the second etching stopper film 10. Is formed. Using this resist mask 14, as shown in FIG. 2G, the second etching stopper film 10 and the second low dielectric layer 9 are etched to form a trench 15 and the bottom of the via hole 12. Is removed. Thereafter, copper is buried in the via hole 12 and the trench 15 to form a via wiring 16 and an upper wiring layer 17 as shown in FIG. Thus, a multilayer wiring structure in which the lower wiring layer 6 and the upper wiring layer 17 are electrically connected by the via wiring 16 is realized.
[0007]
By the way, an embedding material is used in the above-described method for forming a multilayer wiring, and the role of the embedding material is as follows. That is, when the trench is formed by etching after the formation of the via hole, if the substrate is exposed at the bottom of the via hole, the lower wiring layer existing on the substrate surface is damaged by the etching gas for forming the trench. , Wiring defects and the like. Therefore, the via hole is filled with a filling material to protect the lower wiring layer during the trench forming step.
[0008]
Conventionally, a photoresist composition has been used as the embedding material. However, when the photoresist composition is filled in the via hole, bubbles may be generated and the embedding may not be sufficiently performed. It has been proposed to use a solution in which a thermally crosslinkable compound is dissolved in an organic solvent as a material (Patent Document 1).
[0009]
However, in the configuration using this organic film as the embedding material, it is not easy to remove the embedding material remaining in the via hole after completing the role of the embedding material, and it is necessary to perform a removal process by oxygen plasma ashing. There is a problem. In this case, the etching gas (mainly an oxygen-based gas) may damage the low dielectric layer. As the damage, the Si-R bond of the low dielectric layer changes to a Si-OH bond, and the dielectric constant (k) changes.
[0010]
Further, as another embedding material, a spin-on-glass material or a composition in which a high light-absorbing dye is added thereto has been disclosed (Patent Documents 1 and 2). The purpose of adding a high light-absorbing dye to this composition is that, since the spin-on-glass material is a transparent material, exposure light when patterning a resist for forming a trench reaches the substrate surface, and the reflected light is emitted. This is to prevent the light from being incident on the resist and deteriorating the pattern resolution of the resist. In this embedding material composition, since the spin-on-glass material as a main component has high compatibility with hydrofluoric acid, even if the embedding material remains in the via hole, it is easily prepared by a stripping solution containing hydrofluoric acid. It has the advantage that it can be removed. However, on the other hand, the high-absorbing dye, which is an additive component, is liable to deteriorate, so the storage stability of the embedding material solution is low, and the stability of the embedding film is also low. However, there is a problem that the degree of freedom of process control is greatly restricted. When a high light-absorbing dye is blended, intermixing may occur between the upper layer and the upper layer.
[0011]
Patent Document 3 discloses a configuration in which an anti-reflection film for blocking the reflected light is provided on the burying material layer, and a resist layer for forming a trench is formed on the anti-reflection film. I have. It is stated that conventional organic and inorganic materials are used as the material of the antireflection film.
[0012]
As for the material of the antireflection film, there have been proposed some materials obtained by improving the composition in which a dye is added to a spin-on glass material (for example, Patent Documents 4 and 5). Patent Literature 4 discloses an antireflection coating material having an improved etching rate as compared with a photoresist by improving a silane compound as a main component. Patent Literature 5 discloses an antireflection coating material in which a specific high light-absorbing component is blended with an alkoxysilane-based material to improve light absorption.
[0013]
As described above, in the conventional multilayer wiring forming method by the dual damascene process, when an organic film such as a photosensitive resin or a thermoplastic resin is used for the filling material, the inside of the via hole after the role of the filling material is completed. However, there is a problem that the removal of the embedded material remaining in the substrate is not easy, and a removal treatment by oxygen plasma ashing is required, so that the number of steps is increased, and it is difficult to reduce the manufacturing cost. Further, there is a problem that the low dielectric layer is damaged by the oxygen plasma gas.
[0014]
In addition, when a spin-on glass material having high compatibility with hydrofluoric acid, which is a main component of the release agent, is used in order to facilitate removal of the remaining embedding material, since this material is transparent, exposure light is reduced. A dye having a high light absorption must be added so as not to reach the substrate surface, and the high absorption dye, which is an added component, is liable to deteriorate, so that the storage stability of the embedding material solution is low, and As a result, the stability of the buried film tends to be low, and the degree of freedom in process control is greatly limited. When a high light-absorbing dye is blended, intermixing may occur between the upper layer and the upper layer.
[0015]
[Patent Document 1]
JP 2000-195555 A
[Patent Document 2]
US Patent No. 6,329,118
[Patent Document 3]
U.S. Pat. No. 6,365,529
[Patent Document 4]
JP 2001-92122 A
[Patent Document 5]
US Patent No. 6,268,457
[0016]
[Problems to be solved by the invention]
The present invention has been made in view of the above circumstances, and in a method of forming a semiconductor multilayer wiring using a dual damascene process, it is possible to reduce man-hours without deteriorating a low dielectric layer constituting an interlayer insulating layer. It is an object to improve the degree of freedom in process control.
[0017]
[Means for Solving the Problems]
In order to solve the above-mentioned problem, the inventors of the present application have considered the role of the embedding material essential for the damascene method and the exposure reflection generated when forming a trench from the flow of the entire process of forming a semiconductor multilayer wiring by a dual damascene process. After reexamining the relationship with the means for preventing light and repeatedly examining materials suitable as the embedding material and the antireflection film material, the following findings were obtained.
[0018]
(I) In order to completely and easily remove the residual embedding material without deteriorating the low dielectric layer, a spin-on-glass material should be used as a main component of the embedding material.
(Ii) Rather than adding a highly light-absorbing dye to the spin-on-glass material to lower its transparency and compensating for the storage stability, the embedding material plays a role only as the embedding material. By adopting a configuration in which the role of preventing exposure light from being reflected from the substrate is provided by providing an anti-reflection film having high storage stability and easy removal, stable supply of materials and process management are facilitated. Accordingly, formation of a multilayer wiring is facilitated.
(Iii) By using an embedding material mainly composed of a spin-on-glass material, the etching rate can be made equal to or higher than the etching rate of the low dielectric layer, and the second etching space can be easily formed. .
(Iv) In the process of providing a photoresist layer immediately above the spin-on-glass material layer, in the evaluation of matching with the resist film, a lot of variations between lots occurred. Is easily matched.
[0019]
The present invention has been made based on such knowledge, and a method of forming a semiconductor multilayer wiring according to the present invention is directed to a method of forming a lower wiring layer formed on a semiconductor substrate and an upper layer formed thereon via an interlayer insulating layer. In a method for forming a semiconductor multilayer wiring in which a wiring layer is connected by a via wiring vertically penetrating the interlayer insulating layer, an interlayer for laminating the interlayer insulating layer made of at least a low dielectric layer on the lower wiring layer An insulating layer forming step, a photoresist layer is formed on the interlayer insulating layer, and after pattern exposure, development processing is performed to form a photoresist pattern, and etching is performed using the photoresist pattern as a mask to form a first layer on the interlayer insulating layer. A first etching space forming step of forming an etching space, and an embedding material containing at least a spin-on-glass material as a main component is formed on the interlayer insulating layer. A burying step of burying the burying material in the first etching space by fabricing; and forming a reflection preventing film which can be processed by dry etching on the burying material layer formed on the interlayer insulating layer. Forming a photoresist layer on the anti-reflection film, irradiating the photoresist layer with pattern light, developing with an alkaline developer, forming a photoresist pattern, the photoresist pattern, An anti-reflection film processing step of processing the exposed portion of the anti-reflection film by dry etching using a mask as a mask, and performing etching using the photoresist pattern as a mask, so that the interlayer insulating layer above the first etching space has a predetermined pattern. And a second etching space communicating with the first etching space Forming a second etching space and removing a filling material in the first etching space at the same time as forming the first etching space; and filling the first etching space and the second etching space with a conductive material at the same time; A wiring collective forming step of simultaneously forming the wiring and the upper wiring layer.
[0020]
In the above configuration, the first etching space means a trench or a via hole, and the second etching space means a via hole or a trench.
[0021]
BEST MODE FOR CARRYING OUT THE INVENTION
An example of the method for forming a semiconductor multilayer wiring according to the present invention will be described in more detail with reference to FIGS.
[0022]
First, as shown in FIG. 3A, a low dielectric layer (interlayer insulating layer) 22 is formed on a substrate 21 by a CVD method, a spin coating method, or the like. A resist film 23 is formed on the low dielectric layer 22 and patterned. Using the patterned resist film 23 as a mask, the low dielectric layer 22 is selectively etched, and then the resist layer 23 is removed, thereby forming a wiring groove (trench) 24 as shown in FIG. Form. Next, a barrier metal 25 is deposited on the surface of the low dielectric layer 22 in which the wiring groove 24 is formed as described above, so that copper which is to be embedded in the wiring groove 24 is formed on the inner surface of the wiring groove 24. A barrier metal film is formed to improve adhesion to the dielectric layer 22 and prevent copper from diffusing into the low dielectric layer 22. Thereafter, as shown in FIG. 3C, copper is buried in the wiring groove 24 by electrolytic plating or the like to form a lower wiring layer 26.
[0023]
Next, at this point, the copper and the remaining barrier metal 25 adhering to the surface of the low dielectric layer 22 are removed by chemical polishing (CMP), and the surface of the low dielectric layer 22 is planarized. Then, a first low dielectric layer 27, a first etching stopper film 28, a second low dielectric layer 29, and a second etching stopper film 30 are sequentially stacked. Next, an antireflection film 31 is formed on the second etching stopper film 30. A resist is applied on the antireflection film 31 and patterned for forming a via hole, thereby forming a resist mask 32. Next, as shown in FIG. 3D, etching is performed using the resist mask 32 to form an antireflection film 31, a second etching stopper film 30, a second dielectric layer 29, and a first etching. A via hole 33 penetrating through the stopper layer 28 and the first low dielectric layer 27 and reaching the surface of the lower wiring layer 26 is formed. Subsequently, after the resist mask 32 and the anti-reflection film 31 are removed, as shown in FIG. 4E, an embedding material mainly composed of a spin-on-glass material is placed on the second etching stopper 30. The via hole 33 is filled with an embedding material by uniformly applying. As shown in FIG. 4F, an anti-reflection film 35 that can be processed by dry etching is laminated on the burying material layer 34 a formed on the second etching stopper 30, and is formed on the anti-reflection film 35. Then, a resist mask 36 having a pattern for forming a trench is formed. Next, using the resist mask 36 as a mask, the exposed portion of the antireflection film 35 not covered with the resist mask 36 is processed by dry etching. Subsequently, using the resist mask 36, as shown in FIG. 4G, the second etching stopper film 30 and the second low dielectric layer 29 are etched to form a trench 37 and a via hole. The embedding material 34b in 33 is removed. After that, the anti-reflection film 35 is removed, and the burying material layer 34a on the second etching stopper layer 30 and the burying material 34b in the via hole 33 removed by the etching contain hydrofluoric acid. It is completely removed by the stripper. Thereafter, copper is buried in the via hole 33 and the trench 37 to form a via wiring 38 and an upper wiring layer 39 at the same time, as shown in FIG. Thus, a multilayer wiring structure in which the lower wiring layer 26 and the upper wiring layer 39 are electrically connected by the via wiring 38 is realized.
[0024]
Although the above description has been directed to the case where the via hole is formed first, the trench may be formed first, and it is apparent that the method of the present invention can be applied to such a case. It is.
[0025]
In the method for forming a semiconductor multilayer wiring of the present invention having the above structure, a spin-on-glass material preferably used is
(A) Si (OR1)a(OR2)b(OR3)c(OR4)d
(Where R1, R2, R3, And R4Are each independently an alkyl or phenyl group having 1 to 4 carbon atoms, a, b, c, and d are 0 ≦ a ≦ 4, 0 ≦ b ≦ 4, 0 ≦ c ≦ 4, 0 ≦ d ≦ 4 And an integer satisfying the condition of a + b + c + d = 4. ), A compound represented by
(B) R5Si (OR6)e(OR7)f(OR8)g
(Wherein, R5 is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms;6, R7, And R8Is an alkyl group or a phenyl group having 1 to 3 carbon atoms, e, f, and g are 0 ≦ e ≦ 3, 0 ≦ f ≦ 3, 0 ≦ g ≦ 3, and e + f + g = 3. Is an integer that satisfies A), and
(C) R9R10Si (OR11)h(OR12)i
(Where R9And R10Is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, R11And R12Is an alkyl or phenyl group having 1 to 3 carbon atoms, and h and i are integers satisfying 0 ≦ h ≦ 2, 0 ≦ i ≦ 2, and satisfying the condition of h + i = 2. ) Is obtained by hydrolyzing at least one compound selected from the compounds represented by the formula (1) in the presence of water by the action of an acid.
[0026]
Examples of the compound (A) include tetramethoxysilane, tetraethoxysilane, tetrapropoxysilane, tetrabutoxysilane, tetraphenyloxysilane, trimethoxymonoethoxysilane, dimethoxydiethoxysilane, triethoxymonomethoxysilane, and triethoxymonomethoxysilane. Methoxymonopropoxysilane, monomethoxytributoxysilane, monomethoxytriphenyloxysilane, dimethoxydipropoxysilane, tripropoxymonomethoxysilane, trimethoxymonobutoxysilane, dimethoxydibutoxysilane, triethoxymonopropoxysilane, diethoxydipropoxy Silane, tributoxy monopropoxy silane, dimethoxy monoethoxy monobutoxy silane, diethoxy monomethoxy monobutoxy silane, diethoxy Nopropoxy monobutoxy silane, dipropoxy monomethoxy monoethoxy silane, dipropoxy monomethoxy monobutoxy silane, dipropoxy monoethoxy monobutoxy silane, dibutoxy monomethoxy monoethoxy silane, dibutoxy monoethoxy monopropoxy silane, monomethoxy monoethoxy Examples thereof include tetraalkoxysilanes such as propoxymonobutoxysilane and oligomers thereof, and among them, tetramethoxysilane, tetraethoxysilane and oligomers thereof are preferable.
[0027]
Examples of the compound (B) include trimethoxysilane, triethoxysilane, tripropoxysilane, triphenyloxysilane, dimethoxymonoethoxysilane, diethoxymonomethoxysilane, dipropoxymonomethoxysilane, and dipropoxy. Monoethoxysilane, diphenyloxylmonomethoxysilane, diphenyloxymonoethoxysilane, diphenyloxymonopropoxysilane, methoxyethoxypropoxysilane, monopropoxydimethoxysilane, monopropoxydiethoxysilane, monobutoxydimethoxysilane, monophenyloxydiethoxysilane, Methyltrimethoxysilane, methyltriethoxysilane, methyltripropoxysilane, ethyltrimethoxysilane, ethyltripropoxysilane, L-triphenyloxysilane, propyltrimethoxysilane, propyltriethoxysilane, propyltriphenyloxysilane, butyltrimethoxysilane, butyltriethoxysilane, butyltripropoxysilane, butyltriphenyloxysilane, methylmonomethoxydiethoxysilane, ethyl Monomethoxydiethoxysilane, propylmonomethoxydiethoxysilane, butylmonomethoxydiethoxysilane, methylmonomethoxydipropoxysilane, methylmonomethoxydiphenyloxysilane, ethylmonomethoxydipropoxysilane, ethylmonomethoxydiphenyloxysilane, propylmono Methoxydipropoxysilane, propylmonomethoxydiphenyloxysilane, butylmonomethoxydipropoxysilane, butyl Nomethoxydiphenyloxysilane, methylmethoxyethoxypropoxysilane, propylmethoxyethoxypropoxysilane, butylmethoxyethoxypropoxysilane, methylmonomethoxymonoethoxymonobutoxysilane, ethylmonomethoxymonoethoxymonobutoxysilane, propylmonomethoxymonoethoxymonobutoxysilane And butylmonomethoxymonoethoxymonobutoxysilane, among which trimethoxysilane and triethoxysilane are preferred.
[0028]
Further, as the compound (C), for example, dimethoxysilane, diethoxysilane, dipropoxysilane, diphenyloxysilane, methoxyethoxysilane, methoxypropoxysilane, methoxyphenyloxysilane, ethoxypropoxysilane, ethoxyphenyloxysilane, Methyldimethoxysilane, methylmethoxyethoxysilane, methyldiethoxysilane, methylmethoxypropoxysilane, methylmethoxyphenyloxysilane, ethyldipropoxysilane, ethylmethoxypropoxysilane, ethyldiphenyloxysilane, propyldimethoxysilane, propylmethoxyethoxysilane, propyl Ethoxypropoxysilane, propyldiethoxysilane, propyldiphenyloxysilane, butyldimethoxysilane Butylmethoxyethoxysilane, butyldiethoxysilane, butylethoxypropoxysilane, butyldipropoxysilane, butylmethylphenyloxysilane, dimethyldimethoxysilane, dimethylmethoxyethoxysilane, dimethyldiethoxysilane, dimethyldiphenyloxysilane, dimethylethoxypropoxysilane, Dimethyldipropoxysilane, diethyldimethoxysilane, diethylmethoxypropoxysilane, diethyldiethoxypropoxysilane, dipropyldimethoxysilane, dipropyldiethoxysilane, dipropyldiphenyloxysilane, dibutyldimethoxysilane, dibutyldiethoxysilane, dibutyldipropoxysilane , Dibutylmethoxyphenyloxysilane, methylethyldimethoxysilane, methyl Tyldiethoxysilane, methylethyldipropoxysilane, methylethyldiphenyloxysilane, methylpropyldimethoxysilane, methylpropyldiethoxysilane, methylbutyldimethoxysilane, methylbutyldiethoxysilane, methylbutyldipropoxysilane, methylethylethoxypropoxysilane , Ethylpropyldimethoxysilane, ethylpropylmethoxyethoxysilane, dipropyldimethoxysilane, dipropylmethoxyethoxysilane, propylbutyldimethoxysilane, propylbutyldiethoxysilane, dibutylmethoxypropoxysilane, butylethoxypropoxysilane, and the like. Dimethoxysilane, diethoxysilane and methyldimethoxysilane are preferred.
[0029]
As described above, in the method of the present invention, by using an alkoxysilane-based embedding material, when forming a via or a trench by dry etching (using a fluorocarbon-based gas), it is the same as or similar to the low dielectric layer. The buried material layer can be removed by etching faster than that, and the process can be simplified. Further, even when the alkoxysilane-based material remains inside the trench or via after completion of the dry etching, it can be easily removed using a stripping solution containing hydrofluoric acid. On the other hand, when a conventional embedding material in which an organic material or an organic material is mixed with an alkoxysilane-based material is used, it may be difficult to remove the organic material remaining in the via hole. Specifically, the organic material is O2Although it is removed by plasma ashing, there is a possibility that this removal processing may damage the low dielectric layer.
[0030]
Further, in the method of the present invention, the materials used for the low dielectric layer include the following materials. Among them, when a Si-based material having at least a methyl group is used, the spin-on glass material has the general formula (B) When the total composition ratio of the component represented by the formula (C) and the component represented by the formula (C) is 50% or more on a weight basis, the buried material layer can be removed by etching at a speed equal to or faster than that of the low dielectric layer. This is preferred.
[0031]
When the low dielectric layer is a hydroxysilsesquioxane-based material, R5Is a hydrogen atom, the compositional ratio of the component represented by the general formula (B) is set to 50% or more on a weight basis, or a polyalkylene glycol-based polymer is blended therein, as described above. Is preferred for the following reasons.
[0032]
In the method of the present invention, the components (A), (B) and (C) are dissolved in an organic solvent to prepare a coating solution for forming an embedding material layer. Monohydric alcohols such as alcohol, ethyl alcohol, propyl alcohol, and butyl alcohol; polyhydric alcohols such as ethylene glycol, diethylene glycol, propylene glycol, glycerin, trimethylolpropane, and hexanetriol; ethylene glycol monomethyl ether; ethylene glycol monoethyl ether , Ethylene glycol monobutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, propylene glycol monomethyl ether, propylene glycol Monoethers of polyhydric alcohols such as monoethyl ether, propylene glycol monopropyl ether and propylene glycol monobutyl ether; esters such as methyl acetate, ethyl acetate and butyl acetate; acetone, methyl ethyl ketone and methyl isoamyl ketone Ketones, polyhydric alcohol ethers such as ethylene glycol dimethyl ether, ethylene glycol diethyl ether, ethylene glycol dipropyl ether, ethylene glycol dibutyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, diethylene glycol methyl ethyl ether Alkyl etherified Such as Le call ether. Among them, polyhydric alcohol ethers obtained by alkylating polyhydric alcohol ethers, particularly alkylene glycol dialkyl ethers, are preferred.
[0033]
The above-mentioned organic solvents may be used alone or in combination of two or more, but the amount of use is preferably in the range of 10 to 30 mol per 1 mol of the total of the silane compound. The coating solution thus prepared can be used as it is, but may be used after being diluted with a diluting solvent in order to adjust the solid content concentration in the coating solution. The organic solvent can be used as the diluting solvent. In the preparation of the coating solution, when the amount of the alcohol solvent used or the alcohol produced by the hydrolysis reaction of the silane compound exceeds 15% by weight based on the total amount of the coating solution, the H-Si group reacts with the alcohol. As a result, an RO-Si group is easily generated, the coating solution is gelled, storage stability is deteriorated, and cracks are generated. If the alcohol content is excessive, it is removed by distillation under reduced pressure. The distillation under reduced pressure is preferably performed at a degree of vacuum of 30 to 300 mmHg, preferably 50 to 200 mmHg, and a temperature of 20 to 50 ° C. for 2 to 6 hours.
[0034]
The spin-on-glass material used in the present invention does not substantially contain a high light-absorbing dye. This is because the addition of such a dye causes intermixing and lowers storage stability, which is not preferable.
[0035]
As the conductor material for the wiring layer, Cu is preferable, but other than Cu, Cu alloy, Al, Al alloy or the like may be used. The buried wiring layer is formed by an electrolytic plating method or the like, but is not particularly limited.
[0036]
Examples of the material that can be used for the low dielectric layer include carbon-doped oxide (SiOC) -based, methylsilsesquioxane (MSQ) -based, and hydroxysilsesquioxane (HSQ) -based low dielectric materials. Specific examples of the carbon-doped oxide-based low dielectric material include Black Diamond (trade name) manufactured by Applied Materials, Coral (trade name) manufactured by Novellus Systems, and Aurora (trade name) manufactured by ASM Japan. And the like. As the methylsilsesquioxane-based low dielectric material, specifically, "OCD T-9", "OCD T-11", "OCL T-31", manufactured by Tokyo Ohka Kogyo Co., Ltd. Examples include materials marketed under the trade names “OCL T-37” and “OCL T-39”. Further, the hydroxysilsesquioxane-based low dielectric material is specifically marketed under the trade names of “OCD T-12” and “OCL T-32” manufactured by Tokyo Ohka Kogyo Co., Ltd. Materials and the like.
[0037]
In the method of the present invention, the low dielectric layer may be formed on the wiring layer or on a barrier film (SiN, SiC, SiCN, Ta, TaN, etc.) formed on the wiring layer. The firing temperature of the low dielectric layer is usually performed by hard baking at 350 ° C. or higher.
[0038]
The photoresist layer is made of a mercury lamp i-line, g-line, KrF excimer laser, ArF excimer laser, F2A photoresist material commonly used for an excimer laser and an electron beam (EB) can be used by a lithography method.
[0039]
In the method of the present invention, the antireflection film that can be removed by the dry etching is a conventional CF.4System etching gas, N2+ O2It is possible to use a commercially available material that can be removed by a system etching gas. This antireflection film can prevent the photoresist pattern from deteriorating due to the reflection of the exposure light from the substrate. Commercially available anti-reflective coating materials include trade names such as “SWK-EX1D55”, “SWK-EX3”, “SWK-EX4”, “SWK-T5D60”, and “SWK-T7” manufactured by Tokyo Ohka Kogyo Co., Ltd. Commercially available materials, materials available under the trade names such as “DUV-42”, “DUV-44”, “ARC-28”, and “ARC-29” manufactured by Brewer science, and products manufactured by Shipley And materials commercially available under trade names such as “AR-3” and “AR-19”.
[0040]
When the above-mentioned anti-reflection film is used, the photoresist film and the anti-reflection film are removed after the second etching space is formed and the embedding material is removed.
[0041]
These antireflection films are usually removed by oxygen plasma ashing, but in this case, there is a possibility that the low dielectric layer may be damaged, and it is not preferable to employ the ashing. Therefore, in the present invention, the removal processing of the anti-reflection film is realized by removing the remaining embedded material under the anti-reflection film and performing lift-off.
[0042]
In particular, when a hydroxysilsesquioxane-based material is used as the low dielectric layer, the surface of the low dielectric layer is irradiated with plasma generated from an inert gas such as He or Ar. Reform. By this surface modification treatment, the remaining antireflection film and the photoresist pattern can be removed by oxygen plasma treatment without causing damage to the low dielectric layer.
[0043]
Further, as a material constituting the antireflection film, the following resin composition can be used in addition to the conventional composition. That is, a resin composition containing at least a resin component having at least a substituent from which a terminal group is eliminated by application of a predetermined energy to generate a sulfonic acid residue, and a solvent can be used.
[0044]
The resin component has at least the following general formula (1)
Embedded image
Figure 2004179391
(In the formula, n represents an integer of 1 or more, X is a linear or branched alkyl chain having 1 to 10 carbon atoms, an aromatic or alicyclic alkyl chain, an alkyl ester chain, and Y is A substituent that generates a sulfonic acid residue upon application of predetermined energy.)
It has a repeating unit represented by these.
[0045]
As the predetermined energy applied to generate the sulfonic acid residue, for example, a sulfonic acid residue can be generated by heat treatment at 80 ° C. or more. Such application of the predetermined energy is further promoted by the concerted action of heating and alkali in the peeling treatment.
[0046]
As the substituent Y in the general formula (1), -SO3R1Or -SO3 R2 +(Where R1And R2Is preferably a monovalent organic group).
[0047]
The organic group R1Is preferably one selected from an alkyl group having 1 to 10 carbon atoms or a hydroxyalkyl group.
[0048]
Further, the organic group R2Is preferably at least one selected from alkanolamines and alkylamines.
[0049]
Further, as a resin component having at least a substituent that generates a sulfonic acid residue by elimination of a terminal group by application of the predetermined energy, any of the resin components described above, acrylic acid or methacrylic acid or A copolymer with a derivative of the above or a mixed resin may be used.
[0050]
When the copolymer or the mixed resin is used as the resin component, the polymerization ratio or the mixing ratio is not particularly limited as long as the polymerization ratio or the mixing ratio is within a range that is resistant to the resist developing solution and can maintain the effect of being removable by the resist stripping solution. Not done.
[0051]
Furthermore, as a resin component having at least a substituent that generates a sulfonic acid residue by removing a terminal group by applying the predetermined energy,
For a copolymer or mixed resin of any one of the above resin components and acrylic acid or methacrylic acid or a derivative thereof, the following general formula (2)
Embedded image
Figure 2004179391
(Wherein, n represents an integer of 1 or more;3Is at least one selected from a hydrogen atom, a fluorine atom, a hydroxyl group, a carboxyl group, a hydroxyalkyl group having 1 to 5 carbon atoms and an alkoxyalkyl group having 1 to 5 carbon atoms, and Z represents 1 carbon atom. And 10 to 10 linear or branched alkyl chains, aromatic or alicyclic alkyl chains, and alkyl ester chains. )
A resin component composed of a copolymer obtained by copolymerizing a repeating unit represented by formula (1) or a mixed resin obtained by mixing a resin compound having a repeating unit represented by formula (2) may be used.
[0052]
If a copolymer is prepared using the derivative of the general formula (2), and the copolymer is used as a resin component to constitute an antireflection film material, the unit of the resin component contains anthracene. Is preferred because of its high absorption characteristics especially in lithography using a KrF excimer laser.
[0053]
The solvent used for the anti-reflection film forming material can be used without any particular limitation as long as it is used for a conventional lower layer film forming material.
[0054]
Forming an antireflection film from the above-mentioned “resin composition containing at least a resin component having at least a substituent from which a terminal group is eliminated by application of predetermined energy to generate a sulfonic acid residue, and a solvent” Then, after forming the second etching space and removing the embedding material, in the step of removing the photoresist film and the antireflection film, the photoresist film and the antireflection film can be easily removed with a photoresist release agent used in a normal lithography process. Becomes possible. As a result, O2The risk of damage to the low dielectric layer due to the anti-reflection film removal processing using plasma ashing can be avoided. Further, the rework of collecting the semiconductor substrate performed when the formation of the photoresist pattern fails is facilitated.
[0055]
Further, as another composition for forming the antireflection film, a resin composition containing a mixture of polytitanoxane and polysiloxane or a copolymer thereof can be used. This resin composition comprises titanoxane: RmTi (OR)(4-m)And a siloxane: RnSi (OR)(4-n)The main component is a mixture of reactants obtained by condensing the above or a reactant obtained by condensing these components together. If necessary, an activator, a crosslinking accelerator and the like may be contained. In the above formula, R is mainly an alkyl group, which may be the same or different, and m and n are integers of 0 to 3. The Ti content in the main component is 0.1 to 99.9%, preferably 5 to 70%. As a solvent for the resin composition, a resin capable of dissolving the main component resin, applying it on a substrate, drying, and then performing a heat treatment, as long as it can form a film to the extent that it does not intermix with the resist, Anything can be used without particular limitation.
[0056]
If an antireflection film was formed using the aforementioned “resin composition containing a mixture of polytitanoxane and polysiloxane or a copolymer thereof”, a second etching space was formed, and the embedded material was removed. Thereafter, in a step of removing the photoresist film and the anti-reflection film, the photoresist film and the antireflection film can be easily removed by a photoresist stripping agent used in a usual lithography process. As a result, O2The risk of damage to the low dielectric layer due to the anti-reflection film removal processing using plasma ashing can be avoided. Further, the rework of collecting the semiconductor substrate performed when the formation of the photoresist pattern fails is facilitated.
[0057]
The following effects can be obtained as the effects of the method of the present invention as described above.
(I) At the time of forming a trench / via in a dual damascene process, it becomes possible to etch away the filling material in the via / trench simultaneously with or faster than the low dielectric layer.
(Ii) The embedding material remaining in the via hole after the etching removal can be easily removed by a stripping solution containing hydrofluoric acid.
(Iii) In the conventional example in which an organic film is embedded,2Although removal by plasma ashing was necessary, this step can be omitted, so that damage to the low dielectric layer (Si—R bond → Si—OH bond) and fluctuation of the dielectric constant (k) are eliminated. Becomes possible.
(Iv) Since an embedding material which does not substantially contain an organic additive (high-absorbing component, dye, etc. in the prior art) is used, deterioration of the spin-on-glass material over time can be prevented, thereby embedding. The storage stability of the material solution and the stability of the membrane can be improved, and the degree of freedom in process control can be increased. In addition, it is possible to suppress the occurrence of intermixing between layers with the upper layer film.
(V) In the process of providing a photoresist layer directly above the spin-on-glass material layer, a lot of variation among lots occurred in matching evaluation with a resist film. Matching with the layer becomes easy.
[0058]
【Example】
Hereinafter, the present invention will be described in more detail with reference to examples. The following examples are merely examples for suitably describing the present invention, and do not limit the present invention in any way.
[0059]
(Example 1)
A SiN layer, a first low-dielectric layer made of hydroxysilsesquioxane-based low-dielectric material (trade name: OCD T-12, manufactured by Tokyo Ohka Kogyo Co., Ltd.) on a substrate on which copper wiring is formed; Four layers of a SiN layer and a second low dielectric layer made of a hydroxysilsesquioxane-based low dielectric material (trade name: OCD T-12 manufactured by Tokyo Ohka Kogyo Co., Ltd.) are sequentially laminated. , 6000 ° interlayer insulating layer was formed.
[0060]
On the interlayer insulating layer, a first anti-reflection film made of ARC-29 (manufactured by Brewer Science) having a film thickness of 770 ° is formed, and a first photoresist layer having a film thickness of 4000 ° is formed thereon, and exposed. And development processing. The first photoresist layer was formed using a resist composition (trade name: TArF-7a21, manufactured by Tokyo Ohka Kogyo Co., Ltd.) containing an acrylic polymer as a main component.
[0061]
Using the obtained photoresist pattern as a mask, the interlayer insulating layer was etched to form a via hole having a diameter of 200 nm.
[0062]
An embedding material prepared in a step different from the laminating and etching steps is uniformly applied to the via hole by spin coating to a thickness of 4000 ° on the interlayer insulating layer to fill the via hole. Embedded with embedded material.
[0063]
The embedding material was prepared as follows. That is, 236.58 g (1.44 mol) of triethoxysilane, 108.72 g (0.72 mol) of tetramethoxysilane, 2117.71 g (20.4 mol) of propylene glycol dimethyl ether, 129.6 g (7.2 mol) of water ) And 432 μL of concentrated nitric acid to obtain a solution. This solution was distilled under reduced pressure at 150 mmHg and 40 ° C. for 4 hours to prepare an embedding material having a solid content of 9.0% by weight and an alcohol concentration of 3.8% by weight.
[0064]
As described above, the second antireflection film having a thickness of 770 ° made of ARC-29 (manufactured by Brewer Science) is formed on the embedding material layer uniformly applied to the substrate surface to embed the embedding material in the via hole. Was formed thereon, and a second photoresist layer having a thickness of 4000 ° was formed thereon, followed by exposure and development. The second photoresist layer was formed from the same composition as the first photoresist layer.
[0065]
Using the obtained photoresist pattern as a mask, N2+02The exposed portion of the antireflection film was removed using an etching gas comprising a mixed gas of
[0066]
Subsequently, using the photoresist pattern as a mask, CF4+ CHF3The main portion of the interlayer insulating layer was removed using an etching gas composed of a mixed gas of the above to form a trench. The embedding material remaining in the via hole even after this etching treatment was removed using 0.1% by mass of buffered hydrofluoric acid.
[0067]
As a result, the process was simplified and a dual damascene structure including via holes and trenches was formed without damaging the low dielectric layer and changing the dielectric constant.
[0068]
(Examples 2 to 5)
A dual damascene structure was formed in the same manner as in Example 1 except that the first antireflection film and the second antireflection film in Example 1 were formed from the following four types of resin compositions. .
[0069]
The following resin compositions (A), (B), (C) and (D) were prepared as antireflection film forming materials.
[0070]
(A) A resin composition in which a resin component composed of ethyl p-styrenesulfonate is dissolved in a solvent composed of γ-butyrolactone / ethyl lactate (2: 8) to adjust the solid content to 6 wt%.
[0071]
(B) A resin component composed of ethyl p-styrenesulfonate: hydroxyethyl acrylate (= 5: 5) and Cymel 1172 (tetramethylol glycoluril manufactured by Mitsui Cyanamid Co., Ltd.) in an amount equivalent to 20% by weight of the resin component, A resin composition dissolved in a solvent composed of ethyl lactate and having a solid content adjusted to 6 wt%.
[0072]
(C) A resin component composed of ethyl p-styrenesulfonate: 9-hydroxyanthracenyl acrylate (= 5: 5) is dissolved in a solvent composed of γ-butyrolactone / ethyl lactate (2: 8), and the solid content concentration is dissolved. Was adjusted to 6 wt%.
[0073]
(D) Ethyl p-styrenesulfonate: hydroxyethyl acrylate: 9-hydroxyanthracenyl acrylate (= 4: 3: 3) and Cymel 1172 (Mitsui Cyanamid Co., Ltd.) in an amount equivalent to 20% by weight of the resin. Tetramethylol glycoluril) and Megafac R08 (a fluorosurfactant manufactured by Dai Nippon Ink Co., Ltd.) in an amount equivalent to 1000 ppm of the above two solid contents were dissolved in a solvent composed of ethyl lactate, and the solid content concentration was changed. Was adjusted to 6 wt%.
[0074]
These resin compositions (A), (B), (C), and (D) were used as materials for forming the first and second antireflection films in Example 1. A wiring structure consisting of trenches and via holes was formed in the same manner as in Example 1 except that these resin compositions were used as materials for forming an anti-reflection film, and the thickness of each anti-reflection film was set to 2000 mm. The heating conditions for applying each resin composition to obtain an antireflection film were 200 ° C. for 90 seconds.
[0075]
As a result, when any of the resin compositions (A), (B), (C) and (D) is used, the process is simplified without damaging the low dielectric layer and changing the dielectric constant, and the via hole and A dual damascene structure including the trench was formed.
[0076]
(Example 6)
A dual damascene structure was formed in the same manner as in Example 1 except that the first antireflection film and the second antireflection film in Example 1 were formed from the following resin compositions.
[0077]
100 g of tetraisopropoxytitanium was dissolved in 338 g of ethanol, and then 121 g of acetic acid and 357 g of acetylacetone were added to obtain a mixture. This mixture was stirred for 4 hours, and then allowed to stand for 16 hours to obtain a 10% solution of polytitanoxane.
[0078]
Next, 113 g of methanol, 312 g of acetylacetone, and 324 g of acetic acid were added to 324 g of tetraethoxysilane to obtain a mixture. The mixture was stirred for 6 hours and then allowed to stand for 16 hours to obtain a 9% solution of polysiloxane.
[0079]
The polytitanoxane solution and the polysiloxane solution were mixed at a ratio of 1: 1 and a resin mixture solution diluted with butyl cellosolve was used to form the first antireflection film and the second antireflection film in Example 1. Material. A wiring structure consisting of trenches and via holes was formed in the same manner as in Example 1 except that this resin mixed solution was used as a material for forming an antireflection film, and that the thickness of each antireflection film was 1240 °. Note that the heat treatment for applying each resin composition to obtain an antireflection film was performed by first heating at 90 ° C. for 90 seconds, and then heating at 220 ° C. for 600 seconds.
[0080]
As a result, even when an anti-reflection film is formed using this resin mixture, a dual damascene structure including via holes and trenches is formed without simplification of the process without damaging the low dielectric layer and changing the dielectric constant. We were able to.
[0081]
(Comparative Example 1)
A dual damascene structure was formed by exactly the same composition and operation except that the composition for forming the embedding material used in Example 1 was composed of a 30% by weight solution of methoxymethylated benzoguanamine in propylene glycol monomethyl ether acetate. .
[0082]
As a result, the embedding material becomes “CF” for forming the trench.4+ CHF3By dry etching with an etching gas consisting of a mixed gas of the above, the etching material could hardly be removed. Further, it could not be removed even by a subsequent treatment using a stripping cleaning solution.
[0083]
Therefore, in order to remove the embedded material that cannot be removed,2+ O2By performing a dry etching process using an etching gas composed of a mixed gas of the above, the embedding material could be removed, but the surface of the low dielectric layer was found to be damaged by a scanning electron microscope (SEM). Observation revealed.
[0084]
【The invention's effect】
As described above, the method for forming a semiconductor multilayer wiring according to the present invention is characterized in that the lower wiring layer formed on the semiconductor substrate and the upper wiring layer formed thereon with the interlayer A method of forming a semiconductor multilayer wiring connected by via wiring vertically penetrating layers, wherein an interlayer insulating layer forming step of laminating the interlayer insulating layer made of at least a low dielectric layer on the lower wiring layer; Forming a photoresist layer on the insulating layer, performing patterning exposure, and developing to form a photoresist pattern; performing etching using the photoresist pattern as a mask to form a first etching space in the interlayer insulating layer; By forming a space forming step and applying an embedding material containing at least a spin-on-glass material as a main component on the interlayer insulating layer, An embedding step of embedding the embedding material in one etching space, an antireflection film forming step of forming an antireflection film that can be processed by dry etching on the embedding material layer formed on the interlayer insulating layer, Forming a photoresist layer on the anti-reflection film, irradiating the photoresist layer with pattern light, developing with an alkali developing solution, a photoresist pattern forming step of forming a photoresist pattern, and the anti-reflection film using the photoresist pattern as a mask An anti-reflection film processing step of processing the exposed portion of the substrate by dry etching, and etching using the photoresist pattern as a mask to remove the interlayer insulating layer above the first etching space into a predetermined pattern, At the same time as forming a second etching space communicating with the etching space, Forming a second etching space and removing a filling material in the etching space, filling the first etching space and the second etching space with a conductive material simultaneously, and connecting the via wiring and the upper wiring layer to each other; And simultaneously forming a wiring.
[0085]
That is, according to the method of the present invention, in the method of forming a semiconductor multilayer wiring by the dual damascene process, the first etching space is filled to protect the lower wiring layer from exposure light for forming a photoresist pattern for forming the second etching space. As the material to be used, an embedding material mainly composed of a spin-on glass material that can be easily removed by a stripping solution that does not damage the interlayer insulating layer is used, and the embedding material layer is dry-etched on the embedding material layer. It is characterized in that a removable antireflection film is formed.
[0086]
Therefore, according to the method of the present invention, the following advantages can be obtained.
(I) When the second etching space is formed in the dual damascene process, the filling material in the first etching space can be removed by etching simultaneously with the low dielectric layer or faster than the low dielectric layer. .
(Ii) The embedding material remaining in the first etching space after the etching removal can be easily removed by a photoresist stripping solution used in ordinary lithography.
(Iii) In the conventional example in which an organic film is embedded,2Although removal by plasma ashing was necessary, this step can be omitted, so that damage to the low dielectric layer (Si—R bond → Si—OH bond) and fluctuation of the dielectric constant (k) are eliminated. Becomes possible.
(Iv) Since an embedding material which does not substantially contain an organic additive (high-absorbing component, dye, etc. in the prior art) is used, deterioration of the spin-on-glass material over time can be prevented, thereby embedding. The storage stability of the material solution and the stability of the membrane can be improved, and the degree of freedom in process control can be increased. Further, occurrence of intermixing can be prevented.
(V) In the process of providing a photoresist layer directly above the spin-on-glass material layer, a lot of variation among lots occurred in matching evaluation with a resist film. Matching with the layer becomes easy.
[Brief description of the drawings]
FIGS. 1A to 1D are diagrams illustrating the first half of a conventional semiconductor multilayer wiring forming method using a dual damascene process.
2 (e) to 2 (h) are diagrams illustrating the latter half of a conventional method of forming a semiconductor multilayer wiring using a dual damascene process.
FIGS. 3A to 3D are diagrams illustrating the first half of a method of forming a semiconductor multilayer wiring according to the present invention using a dual damascene process.
4 (e) to 4 (h) are diagrams illustrating the latter half of the method of forming a semiconductor multilayer wiring according to the present invention using a dual damascene process.
[Explanation of symbols]
21 Substrate
22 Low dielectric layer
23 Resist film
24 Wiring groove (trench)
25 Barrier metal
26 Lower wiring layer
27 First Low Dielectric Layer
28 First Etching Stopper Film
29 Second low dielectric layer
30 Second etching stopper film
31 Anti-reflective coating
32 resist mask
33 Beer Hall
34a embedded material layer
34b Embedded material in via hole
35 Anti-reflective coating removable by dry etching
36 Resist mask
37 trench
38 Via wiring
39 Upper wiring layer

Claims (9)

半導体基板上に形成された下層配線層と、その上に層間絶縁層を介して形成された上層配線層とが、前記層間絶縁層を上下に貫通するビア配線によって接続されている半導体多層配線の形成方法において、
前記下層配線層上に少なくとも低誘電体層からなる前記層間絶縁層を積層する層間絶縁層形成工程と、
前記層間絶縁層上にホトレジスト層を形成し、パターン露光の後、現像処理してホトレジストパターンを形成し、このホトレジストパターンをマスクとしてエッチングを行って前記層間絶縁層に第1エッチング空間を形成する第1エッチング空間形成工程と、
前記層間絶縁層上に、少なくともスピンオングラス材料を主成分とする埋込材を塗布することによって、前記第1エッチング空間に前記埋込材を埋め込む埋め込み工程と、
前記層間絶縁層上に形成された埋込材層の上にドライエッチングにより加工可能な反射防止膜を形成する反射防止膜形成工程と、
前記反射防止膜上にホトレジスト層を形成し、このホトレジスト層にパターン光を照射し、アルカリ現像液により現像して、ホトレジストパターンを形成するホトレジストパターン形成工程と、
前記ホトレジストパターンをマスクとして前記反射防止膜の露出部分をドライエッチングにより加工する反射防止膜加工工程と、
前記ホトレジストパターンをマスクとしてエッチングを行って、前記第1エッチング空間の上部の前記層間絶縁層を所定のパターンに除去して前記第1エッチング空間と連通する第2エッチング空間を形成すると同時に、前記第1エッチング空間内の埋込材を除去する第2エッチング空間形成および埋込材除去工程と、
前記第1エッチング空間と第2エッチング空間とを同時に導体材料で埋め込み、前記ビア配線と上層配線層とを同時に形成する配線一括形成工程と、
を有することを特徴とする半導体多層配線形成方法。
A semiconductor multilayer wiring in which a lower wiring layer formed on a semiconductor substrate and an upper wiring layer formed thereon via an interlayer insulating layer are connected by via wiring vertically penetrating the interlayer insulating layer. In the forming method,
An interlayer insulating layer forming step of laminating the interlayer insulating layer comprising at least a low dielectric layer on the lower wiring layer,
A photoresist layer is formed on the interlayer insulating layer, and after pattern exposure, a developing process is performed to form a photoresist pattern, and etching is performed using the photoresist pattern as a mask to form a first etching space in the interlayer insulating layer. 1 etching space forming step;
An embedding step of embedding the embedding material in the first etching space by applying an embedding material containing at least a spin-on-glass material as a main component on the interlayer insulating layer;
An anti-reflection film forming step of forming an anti-reflection film that can be processed by dry etching on the burying material layer formed on the interlayer insulating layer,
Forming a photoresist layer on the antireflection film, irradiating the photoresist layer with pattern light, developing with an alkali developing solution, a photoresist pattern forming step of forming a photoresist pattern,
An anti-reflection film processing step of processing the exposed portion of the anti-reflection film by dry etching using the photoresist pattern as a mask,
Etching is performed using the photoresist pattern as a mask to remove the interlayer insulating layer above the first etching space into a predetermined pattern to form a second etching space communicating with the first etching space, and simultaneously forming the second etching space. A second etching space forming and burying material removing step of removing the burying material in the one etching space;
A wiring batch forming step of simultaneously filling the first etching space and the second etching space with a conductive material and simultaneously forming the via wiring and the upper wiring layer;
A method of forming a semiconductor multilayer wiring, comprising:
前記スピンオングラス材料が、
(A) Si(OR(OR(OR(OR
(式中、R,R,R,およびRは、それぞれ独立に炭素数1〜4のアルキル基またはフェニル基、a,b,c,およびdは、0≦a≦4,0≦b≦4,0≦c≦4、0≦d≦4であって、かつa+b+c+d=4の条件を満たす整数である。)で表される化合物、
(B) RSi(OR(OR(OR
(式中、Rは水素原子あるいは炭素数1〜4のアルキル基、R,R,およびRは、それぞれ炭素数1〜3のアルキル基またはフェニル基、e,f,およびgは、0≦e≦3,0≦f≦3,0≦g≦3であって、かつe+f+g=3の条件を満たす整数である。)で表される化合物、および
(C) R10Si(OR11(OR12
(式中、RおよびR10は水素原子あるいは炭素数1〜4のアルキル基、R11およびR12は、それぞれ炭素数1〜3のアルキル基またはフェニル基、hおよびiは、0≦h≦2,0≦i≦2であって、かつh+i=2の条件を満たす整数である。)で表される化合物から選ばれる少なくとも1種の化合物を加水分解させたものであることを特徴とする請求項1に記載の半導体多層配線形成方法。
The spin-on-glass material is
(A) Si (OR 1 ) a (OR 2 ) b (OR 3 ) c (OR 4 ) d
(Wherein, R 1 , R 2 , R 3 , and R 4 are each independently an alkyl group having 1 to 4 carbon atoms or a phenyl group, a, b, c, and d are 0 ≦ a ≦ 4,0 ≦ b ≦ 4, 0 ≦ c ≦ 4, 0 ≦ d ≦ 4, and an integer satisfying the condition of a + b + c + d = 4.)
(B) R 5 Si (OR 6 ) e (OR 7 ) f (OR 8 ) g
(Wherein, R 5 is a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, R 6 , R 7 , and R 8 are an alkyl group or a phenyl group each having 1 to 3 carbon atoms, and e, f, and g are And an integer satisfying 0 ≦ e ≦ 3, 0 ≦ f ≦ 3, 0 ≦ g ≦ 3 and satisfying the condition of e + f + g = 3), and (C) R 9 R 10 Si (OR 11 ) h (OR 12 ) i
(Wherein, R 9 and R 10 are a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, R 11 and R 12 are an alkyl group or a phenyl group each having 1 to 3 carbon atoms, and h and i are 0 ≦ h .Ltoreq.2, 0.ltoreq.i.ltoreq.2, and an integer satisfying the condition of h + i = 2.) Wherein at least one compound selected from the compounds represented by the following formulas is hydrolyzed. The method for forming a semiconductor multilayer wiring according to claim 1.
前記低誘電体層をメチル基を少なくとも有するSi系材料から形成するとともに、前記スピンオングラス材料を前記化合物(B)と前記化合物(C)との合計含有比率が50%以上となるように調製することを特徴とする請求項2に記載の半導体多層配線形成方法。The low dielectric layer is formed from a Si-based material having at least a methyl group, and the spin-on-glass material is prepared so that the total content ratio of the compound (B) and the compound (C) is 50% or more. 3. The method for forming a semiconductor multilayer wiring according to claim 2, wherein: 前記Si系材料としてヒドロキシシルセスキオキサン系材料を用い、前記スピンオングラス材料を前記Rが水素原子である化合物(B)の含有比率が50%以上となるように調製することを特徴とする請求項3に記載の半導体多層配線形成方法。A hydroxysilsesquioxane-based material is used as the Si-based material, and the spin-on-glass material is prepared such that the content ratio of the compound (B) in which R 5 is a hydrogen atom is 50% or more. The method for forming a semiconductor multilayer wiring according to claim 3. 前記スピンオングラス材料が有機溶媒により溶解されており、該有機溶媒が多価アルコールの水酸基をアルキルエーテル化した多価アルコールエーテル類であることを特徴とする請求項1から4のいずれか一つに記載の半導体多層配線形成方法。The spin-on-glass material is dissolved by an organic solvent, and the organic solvent is a polyhydric alcohol ether obtained by alkyl etherifying a hydroxyl group of a polyhydric alcohol. The method for forming a semiconductor multilayer wiring according to the above. 前記反射防止膜を、所定のエネルギーが印加されることにより末端基が脱離してスルホン酸残基を生じる置換基を少なくとも有する樹脂成分と溶媒とを含有してなる樹脂組成物から、構成することを特徴とする請求項1から5のいずれか一つに記載の半導体多層配線形成方法。The antireflection film is composed of a resin composition containing at least a resin component having a substituent at which a terminal group is eliminated by application of predetermined energy to generate a sulfonic acid residue, and a solvent. The method for forming a semiconductor multilayer wiring according to claim 1, wherein: 前記樹脂成分が、少なくとも下記一般式(1)
Figure 2004179391
(式中、nは1以上の整数を表し、Xは炭素原子数1〜10の直鎖もしくは分岐状のアルキル鎖、芳香性もしくは脂環性の環状アルキル鎖、アルキルエステル鎖であり、Yは所定のエネルギーの印加を受けてスルホン酸残基を生じる置換基である。)
で表される繰り返し単位を有することを特徴とする請求項6に記載の半導体多層配線形成方法。
The resin component has at least the following general formula (1)
Figure 2004179391
(In the formula, n represents an integer of 1 or more, X is a linear or branched alkyl chain having 1 to 10 carbon atoms, an aromatic or alicyclic alkyl chain, an alkyl ester chain, and Y is A substituent that generates a sulfonic acid residue upon application of predetermined energy.)
7. The method according to claim 6, comprising a repeating unit represented by the following formula:
前記反射防止膜を、ポリチタノキサンおよびポリシロキサンの混合物もしくはそれらの共重合体を含有してなる樹脂組成物から、構成することを特徴とする請求項1から5のいずれか一つに記載の半導体多層配線形成方法。The semiconductor multilayer according to any one of claims 1 to 5, wherein the anti-reflection film is formed from a resin composition containing a mixture of polytitanoxane and polysiloxane or a copolymer thereof. Wiring formation method. 前記第2エッチング空間形成工程の後に、前記第1エッチング空間に残留している埋込材を剥離液によって除去する埋込材除去工程をさらに有することを特徴とする請求項1から8のいずれかに一つに記載の半導体多層配線形成方法。9. The method according to claim 1, further comprising, after the second etching space forming step, an embedding material removing step of removing an embedding material remaining in the first etching space with a stripping solution. 13. The method for forming a semiconductor multilayer wiring according to any one of the above.
JP2002343865A 2002-11-27 2002-11-27 Method for forming semiconductor multilayer wiring Pending JP2004179391A (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2002343865A JP2004179391A (en) 2002-11-27 2002-11-27 Method for forming semiconductor multilayer wiring
CNB2003801043697A CN100342521C (en) 2002-11-27 2003-11-27 Semiconductor multilayer interconnection forming method
AU2003284465A AU2003284465A1 (en) 2002-11-27 2003-11-27 Semiconductor multilayer interconnection forming method
TW092133416A TWI272655B (en) 2002-11-27 2003-11-27 Forming method of multi-layer wiring for semiconductor
PCT/JP2003/015162 WO2004051740A1 (en) 2002-11-27 2003-11-27 Semiconductor multilayer interconnection forming method
EP03775920A EP1566836A1 (en) 2002-11-27 2003-11-27 Semiconductor multilayer interconnection forming method
US10/535,900 US20060141693A1 (en) 2002-11-27 2003-11-27 Semiconductor multilayer interconnection forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002343865A JP2004179391A (en) 2002-11-27 2002-11-27 Method for forming semiconductor multilayer wiring

Publications (1)

Publication Number Publication Date
JP2004179391A true JP2004179391A (en) 2004-06-24

Family

ID=32705548

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002343865A Pending JP2004179391A (en) 2002-11-27 2002-11-27 Method for forming semiconductor multilayer wiring

Country Status (2)

Country Link
JP (1) JP2004179391A (en)
CN (1) CN100342521C (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006317864A (en) * 2005-05-16 2006-11-24 Tokyo Ohka Kogyo Co Ltd Composition for forming reflection preventing film, and wiring forming method
JP2014134592A (en) * 2013-01-08 2014-07-24 Shin Etsu Chem Co Ltd Composition for forming titanium-containing resist underlayer film and patterning process
JP2014199429A (en) * 2013-03-15 2014-10-23 信越化学工業株式会社 Composition for forming titanium-containing resist underlay film and patterning process
US9377690B2 (en) 2013-01-08 2016-06-28 Shin-Etsu Chemical Co., Ltd. Compositon for forming metal oxide-containing film and patterning process
JP2016532739A (en) * 2013-06-28 2016-10-20 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Spin-on compositions of soluble metal oxide carboxylates and methods for their use
CN110034009A (en) * 2017-12-28 2019-07-19 东京应化工业株式会社 Reworking method and acidic cleaning solution

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515847B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming vias and method for forming contacts in vias
CN110544679B (en) * 2019-08-30 2021-05-18 颀中科技(苏州)有限公司 Chip rewiring structure and preparation method thereof
CN110944467B (en) * 2019-12-06 2021-06-15 北京万物皆媒科技有限公司 Double-layer transparent circuit substrate and preparation method thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JP2739902B2 (en) * 1993-09-30 1998-04-15 東京応化工業株式会社 Coating solution for forming silicon oxide coating
JPH10319601A (en) * 1997-05-22 1998-12-04 Jsr Corp Composition for forming antireflection film and resist pattern forming method
US5883006A (en) * 1997-12-12 1999-03-16 Kabushiki Kaisha Toshiba Method for making a semiconductor device using a flowable oxide film
JPH11258813A (en) * 1998-03-13 1999-09-24 Jsr Corp Composition for forming antireflection film and antireflection film
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006317864A (en) * 2005-05-16 2006-11-24 Tokyo Ohka Kogyo Co Ltd Composition for forming reflection preventing film, and wiring forming method
JP4583237B2 (en) * 2005-05-16 2010-11-17 東京応化工業株式会社 Antireflection film forming composition and wiring forming method
JP2014134592A (en) * 2013-01-08 2014-07-24 Shin Etsu Chem Co Ltd Composition for forming titanium-containing resist underlayer film and patterning process
US9377690B2 (en) 2013-01-08 2016-06-28 Shin-Etsu Chemical Co., Ltd. Compositon for forming metal oxide-containing film and patterning process
JP2014199429A (en) * 2013-03-15 2014-10-23 信越化学工業株式会社 Composition for forming titanium-containing resist underlay film and patterning process
JP2016532739A (en) * 2013-06-28 2016-10-20 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Spin-on compositions of soluble metal oxide carboxylates and methods for their use
CN110034009A (en) * 2017-12-28 2019-07-19 东京应化工业株式会社 Reworking method and acidic cleaning solution

Also Published As

Publication number Publication date
CN100342521C (en) 2007-10-10
CN1717797A (en) 2006-01-04

Similar Documents

Publication Publication Date Title
KR100577040B1 (en) Lower layer material for wiring, embedded material, and wiring formation method
US7323407B2 (en) Method of fabricating dual damascene interconnections of microelectronic device using diffusion barrier layer against base material
JP3914493B2 (en) Underlayer film forming material for multilayer resist process and wiring forming method using the same
JP3795333B2 (en) Anti-reflection film forming composition
KR100621384B1 (en) Undercoating layer material for lithography and wiring forming method using the same
JP4609898B2 (en) Stripping cleaning liquid, semiconductor substrate cleaning method using the same, and wiring formation method on semiconductor substrate
KR100745986B1 (en) Fabrication method of dual damascene interconnections of microelectronics device using filler having porogen
US20080318165A1 (en) Composition For Forming Antireflective Film And Wiring Forming Method Using Same
US7944055B2 (en) Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US20030032274A1 (en) Method for eliminating reaction between photoresist and OSG
US20110117746A1 (en) Coating composition and pattern forming method
JP2005509913A (en) Non-reflective coating for photolithography and method of manufacturing the same
US8163658B2 (en) Multiple patterning using improved patternable low-k dielectric materials
US20110304053A1 (en) Interconnect structure and method of fabricating
JP2012053253A (en) Composition for forming silicon-containing film, silicon-containing film-formed substrate and pattern forming method using the same
KR100802226B1 (en) Method for forming dual damascene pattern
US20140273447A1 (en) Composition for forming titanium-containing resist underlayer film and patterning process
WO2003044078A9 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
JP2004179391A (en) Method for forming semiconductor multilayer wiring
KR20140043275A (en) Patterning process
EP1566836A1 (en) Semiconductor multilayer interconnection forming method
JP2004103771A (en) Washing liquid used for dual damascene structure formation process, and processing method of substrate
JP4487489B2 (en) Embedding material and manufacturing method of semiconductor integrated circuit using the embedding material
JP2004179392A (en) Method for forming semiconductor multilayer wiring
JP3914491B2 (en) Dual damascene structure forming embedding material and dual damascene structure forming method using the same

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20060210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070227

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070821

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071218