SG10201508025VA - Composition and method for selectively etching gate spacer oxide material - Google Patents

Composition and method for selectively etching gate spacer oxide material

Info

Publication number
SG10201508025VA
SG10201508025VA SG10201508025VA SG10201508025VA SG10201508025VA SG 10201508025V A SG10201508025V A SG 10201508025VA SG 10201508025V A SG10201508025V A SG 10201508025VA SG 10201508025V A SG10201508025V A SG 10201508025VA SG 10201508025V A SG10201508025V A SG 10201508025VA
Authority
SG
Singapore
Prior art keywords
composition
oxide material
gate spacer
selectively etching
spacer oxide
Prior art date
Application number
SG10201508025VA
Other languages
English (en)
Inventor
Martha Rajaratnam
David D Bernhard
David W Minsek
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of SG10201508025VA publication Critical patent/SG10201508025VA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
SG10201508025VA 2005-10-05 2006-10-04 Composition and method for selectively etching gate spacer oxide material SG10201508025VA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US72377505P 2005-10-05 2005-10-05

Publications (1)

Publication Number Publication Date
SG10201508025VA true SG10201508025VA (en) 2015-10-29

Family

ID=37943369

Family Applications (1)

Application Number Title Priority Date Filing Date
SG10201508025VA SG10201508025VA (en) 2005-10-05 2006-10-04 Composition and method for selectively etching gate spacer oxide material

Country Status (8)

Country Link
US (1) US20090032766A1 (fr)
EP (1) EP1949424A2 (fr)
JP (1) JP2009512195A (fr)
KR (1) KR20080059429A (fr)
CN (1) CN101496146A (fr)
SG (1) SG10201508025VA (fr)
TW (1) TW200726826A (fr)
WO (1) WO2007044447A2 (fr)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
WO2007111694A2 (fr) 2005-11-09 2007-10-04 Advanced Technology Materials, Inc. Composition et procédé de recyclage de plaquettes semiconductrices sur lesquelles se trouvent des matières diélectriques à faible constante diélectrique
KR100860367B1 (ko) * 2006-08-21 2008-09-25 제일모직주식회사 금속실리사이드막 대비 실리콘 산화막에 대한 상대적인 식각 선택성이 향상된 식각용액
US8685909B2 (en) * 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
TWI611047B (zh) * 2006-12-21 2018-01-11 恩特葛瑞斯股份有限公司 用以移除蝕刻後殘餘物之液體清洗劑
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
KR101429211B1 (ko) * 2008-01-30 2014-08-14 삼성전자주식회사 금속 실리사이드를 포함하는 트랜지스터 및 그 제조 방법,이를 이용한 반도체 소자 제조 방법.
KR20100123757A (ko) * 2008-03-07 2010-11-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 비-선택적 산화물 에칭용 습윤 세정 조성물 및 사용 방법
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
TW201716588A (zh) 2010-08-20 2017-05-16 恩特葛瑞斯股份有限公司 從電子廢棄物再生貴金屬和卑金屬之永續製程
CN102443395B (zh) * 2010-09-30 2016-01-20 韩国泰科诺赛美材料株式会社 用于湿法蚀刻二氧化硅的组合物
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
CN102109777B (zh) * 2010-12-15 2012-08-22 绵阳艾萨斯电子材料有限公司 一种等离子显示用障壁浆料的再生液
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
WO2013052809A1 (fr) 2011-10-05 2013-04-11 Avantor Performance Materials, Inc. Compositions de nettoyage de substrats microélectroniques ayant une inhibition de polymère cuivre/azole
CN104145324B (zh) 2011-12-28 2017-12-22 恩特格里斯公司 用于选择性蚀刻氮化钛的组合物和方法
WO2013123317A1 (fr) 2012-02-15 2013-08-22 Advanced Technology Materials, Inc. Elimination post-cmp à l'aide de compositions et procédé d'utilisation
TW201406931A (zh) * 2012-05-11 2014-02-16 Advanced Tech Materials 用於矽化物製造期間濕蝕刻NiPt之配方
TW201406932A (zh) 2012-05-18 2014-02-16 Advanced Tech Materials 用於自包含氮化鈦之表面脫除光阻劑之組成物及方法
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
WO2014089196A1 (fr) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions pour nettoyer des matériaux semiconducteurs iii-v et procédés pour les utiliser
EP2964725B1 (fr) 2013-03-04 2021-06-23 Entegris, Inc. Compositions et procédés pour graver sélectivement du nitrure de titane
KR102338550B1 (ko) 2013-06-06 2021-12-14 엔테그리스, 아이엔씨. 질화 티타늄의 선택적인 에칭을 위한 조성물 및 방법
EP3027709A4 (fr) 2013-07-31 2017-03-29 Entegris, Inc. Formulations aqueuses pour l'élimination des masques métalliques durs et des résidus de gravure présentant une compatibilité cu/w
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US9048287B1 (en) * 2013-11-15 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device structure with floating spacer
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (fr) 2013-12-20 2015-06-25 Entegris, Inc. Utilisation d'acides forts non oxydants pour l'élimination de photorésine implantée par des ions
WO2015103146A1 (fr) 2013-12-31 2015-07-09 Advanced Technology Materials, Inc. Formulations de gravure sélective de silicium et de germanium
WO2015116818A1 (fr) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Formulations de post-polissage chimico-mécanique et méthode d'utilisation associée
WO2015119925A1 (fr) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Compositions post-cmp sans amine et leur méthode d'utilisation
JP2016012609A (ja) * 2014-06-27 2016-01-21 東京エレクトロン株式会社 エッチング方法
US9721867B1 (en) * 2015-03-18 2017-08-01 National Technology & Engineering Solutions Of Sandia, Llc Graphene heat dissipating structure
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
US10483108B2 (en) * 2017-04-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11180697B2 (en) * 2018-11-19 2021-11-23 Versum Materials Us, Llc Etching solution having silicon oxide corrosion inhibitor and method of using the same
CN111363550A (zh) * 2018-12-26 2020-07-03 上海新阳半导体材料股份有限公司 选择性刻蚀液组合物及其制备方法和应用
US20200347493A1 (en) 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
KR20230097179A (ko) 2020-11-09 2023-06-30 스텔라 케미파 코포레이션 미세 가공 처리제 및 미세 가공 처리 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) * 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US4835112A (en) * 1988-03-08 1989-05-30 Motorola, Inc. CMOS salicide process using germanium implantation
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JPH09511262A (ja) * 1993-12-10 1997-11-11 アーマー オール プロダクツ コーポレイション 酸フッ化物塩を含むホイール洗浄組成物
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6200891B1 (en) * 1998-08-13 2001-03-13 International Business Machines Corporation Removal of dielectric oxides
JP3903215B2 (ja) * 1998-11-24 2007-04-11 ダイキン工業株式会社 エッチング液
JP2001100436A (ja) * 1999-09-28 2001-04-13 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
US6864143B1 (en) 2000-01-24 2005-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminate bridging between gate and source/drain in cobalt salicidation
EP1138726B1 (fr) * 2000-03-27 2005-01-12 Shipley Company LLC Décapant de polymère
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US7192860B2 (en) * 2002-06-20 2007-03-20 Honeywell International Inc. Highly selective silicon oxide etching compositions
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
JP4799843B2 (ja) * 2003-10-17 2011-10-26 三星電子株式会社 高いエッチング選択比を有するエッチング組成物、その製造方法、これを用いた酸化膜の選択的エッチング方法、及び半導体装置の製造方法
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US20060063687A1 (en) * 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
KR101238471B1 (ko) * 2005-02-25 2013-03-04 이케이씨 테크놀로지, 인코포레이티드 구리 및 저 k 유전체 물질을 갖는 기판으로부터 레지스트,에칭 잔류물 및 구리 산화물을 제거하는 방법
EP1880410A2 (fr) * 2005-05-13 2008-01-23 Sachem, Inc. Gravure humide selective d'oxydes
TWI622639B (zh) * 2005-06-07 2018-05-01 恩特葛瑞斯股份有限公司 金屬及介電相容犠牲抗反射塗層清洗及移除組成物
US7491650B2 (en) * 2005-07-27 2009-02-17 Micron Technology, Inc. Etch compositions and methods of processing a substrate

Also Published As

Publication number Publication date
WO2007044447A2 (fr) 2007-04-19
US20090032766A1 (en) 2009-02-05
EP1949424A2 (fr) 2008-07-30
KR20080059429A (ko) 2008-06-27
TW200726826A (en) 2007-07-16
CN101496146A (zh) 2009-07-29
WO2007044447A3 (fr) 2009-04-16
JP2009512195A (ja) 2009-03-19

Similar Documents

Publication Publication Date Title
SG10201508025VA (en) Composition and method for selectively etching gate spacer oxide material
EP1968077A4 (fr) Materiau magnetique de type oxyde
EP1895577A4 (fr) Composition d'attaque chimique pour un matériau métallique et procédé de fabrication d' un dispositif semi-conducteur en l' utilisant
TWI366917B (en) Transistor having recess channel and fabrication method thereof
EP1909316A4 (fr) Transistor et procédé de fonctionnement correspondant
TWI348220B (en) Planar split-gate high-performance mosfet structure and manufacturing method
EP1847176A4 (fr) Procede et composition de controle d'un agent pathogene vegetal
EP2038937A4 (fr) Structure jfet à gâchette auto-alignée et procédé
EP1915888A4 (fr) Procede et composition pour l'adherence de materiaux entre eux
EP1948722A4 (fr) Materiau poreux et procede de fabrication de celui-ci
EP2089909A4 (fr) Structure de porte entierement et uniformement siliciuree et son procede de formation
GB0905416D0 (en) Stressed field effect transistor and methods for its fabrication
EP1970942A4 (fr) Substrat de silicium sur isolant et son procede de fabrication
TWI318007B (en) Insulation gate type semiconductor device and its manufacturing method
EP2093802A4 (fr) Tec à grille isolante et son procédé de fabrication
SI1883293T1 (sl) Sestavek in postopek za nadziranje insektov
TWI350590B (en) Asymmetric semiconductor device and fabrication method
PL2054128T3 (pl) Kompozycja materiałów i sposób jej wytwarzania
EP1943671A4 (fr) Types de plusieurs dispositifs comprenant un transistor a canal en t inverse et leur procede de fabrication
ZA200800131B (en) Method and device for the segmentation of regions
TWI316296B (en) Thin-film transistor and fabrication method thereof
ZA200805543B (en) Device and method for forming elongated material
EP2118392A4 (fr) Structure de dalle et procede de fabrication associe
ZA200605722B (en) Composition and method
PL3133144T3 (pl) Kompozycja i sposób