RU2720217C1 - Устройство коммутации электропитания и способ управления устройством коммутации электропитания - Google Patents

Устройство коммутации электропитания и способ управления устройством коммутации электропитания Download PDF

Info

Publication number
RU2720217C1
RU2720217C1 RU2019127876A RU2019127876A RU2720217C1 RU 2720217 C1 RU2720217 C1 RU 2720217C1 RU 2019127876 A RU2019127876 A RU 2019127876A RU 2019127876 A RU2019127876 A RU 2019127876A RU 2720217 C1 RU2720217 C1 RU 2720217C1
Authority
RU
Russia
Prior art keywords
voltage
circuit
power
power switch
switching device
Prior art date
Application number
RU2019127876A
Other languages
English (en)
Other versions
RU2720217C9 (ru
Inventor
Махмуд ШУША
Мартин ХАУГ
Original Assignee
Вюрт Электроник айСос ГмбХ унд Ко. КГ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Вюрт Электроник айСос ГмбХ унд Ко. КГ filed Critical Вюрт Электроник айСос ГмбХ унд Ко. КГ
Publication of RU2720217C1 publication Critical patent/RU2720217C1/ru
Application granted granted Critical
Publication of RU2720217C9 publication Critical patent/RU2720217C9/ru

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/08Modifications for protecting switching circuit against overcurrent or overvoltage
    • H03K17/082Modifications for protecting switching circuit against overcurrent or overvoltage by feedback from the output to the control circuit
    • H03K17/0822Modifications for protecting switching circuit against overcurrent or overvoltage by feedback from the output to the control circuit in field-effect transistor switches
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/51Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used
    • H03K17/56Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices
    • H03K17/687Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors
    • H03K17/689Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors with galvanic isolation between the control circuit and the output circuit
    • H03K17/691Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors with galvanic isolation between the control circuit and the output circuit using transformer coupling
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K2217/00Indexing scheme related to electronic switching or gating, i.e. not by contact-making or -breaking covered by H03K17/00
    • H03K2217/0081Power supply means, e.g. to the switch driver

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Dc-Dc Converters (AREA)
  • Power Conversion In General (AREA)
  • Electronic Switches (AREA)

Abstract

Группа изобретений относится к средствам коммутации электропитания. Технический результат - создание устройства коммутации электропитания, выполненного как автоматически конфигурируемый модуль. Для этого предложено устройство коммутации электропитания (1), которое включает буферную цепь (3), фильтрующий контур (4), трансформатор (5), восстанавливающий контур (9), согласующую цепь (10) и силовой переключатель (13). Буферная цепь (3) обеспечивает выходное напряжение (V) в зависимости от входного напряжения (V) и управляющего напряжения (VG). Фильтрующий контур (4) блокирует постоянную составляющую буферного выходного напряжения (V), которая добавляется к вторичной стороне трансформатора (5) восстанавливающим контуром (9). Силовой переключатель (16) управляется однополярным кондиционированным напряжением (V), которое обеспечивается восстанавливающим контуром (9) для подачи на согласующую цепь (10). 2 н. и 10 з.п. ф-лы, 5 ил.

Description

Настоящая заявка притязает на приоритет европейской патентной заявки ЕР 17161130.4, содержание которой включено в данный документ посредством ссылок.
Настоящее изобретение относится к устройству коммутации электропитания и способу управления указанным устройством коммутации электропитания.
Патент США US 4461966 А (соответствует DE 3045771 А1) раскрывает цепь управления по меньшей мере одним мощным полевым транзистором. Однополярное напряжение подают от блока управления на входные терминалы. Входное напряжение дифференцировано. При использовании трансформатора получают биполярное напряжение как выходное напряжение на вторичной стороне трансформатора. Положительный импульс выходного напряжения поступает на электрод затвора через диод и заряжает входную емкость полевого транзистора. Таким путем происходит включение полевого транзистора. Одновременно конденсатор заряжается через диод Зенера. Благодаря последующему отрицательному импульсу происходит пробой диода Зенера, и конденсатор разряжается таким образом, что происходит размыкание параллельно подключенного к входной емкости транзистора. В результате входная емкость разряжается, и полевой транзистор блокируется. Недостатки известной цепи заключаются в том, что уровень напряжения возбуждения полевого транзистора зависит от продолжительности включения входного напряжения и что блок управления должен обеспечивать необходимую мощность для управления цепью.
Патентная заявка США US 2014/0367563 А1 раскрывает развязывающую управляющую цепь для управления полевым МОП-транзистором.
Патентная заявка США US 2003/0164721 А1 раскрывает цепь возбуждения для обеспечения управляющих сигналов на мощный коммутационный транзистор, а именно биполярный транзистор с изолированным затвором.
Патент США US 5019719 А раскрывает управляющую цепь для управления мощным полевым МОП-транзистором. Управление мощным полевым МОП-транзистором происходит путем подачи вспомогательного напряжения через конденсатор, подключенный параллельно резистору. Конденсатор заряжается и разряжается двумя маломощными полевыми МОП-транзисторами и резисторами.
Целью настоящего изобретения является создание устройства коммутации электропитания, который можно использовать в гибком и удобном режиме управления. В частности, целью изобретения является создание устройства коммутации электропитания, выполненного как автоматически конфигурируемый модуль с возможностью удобной установки.
Данная цель достигается путем создания устройства коммутации электропитания, включающего признаки п. 1 формулы изобретения. Устройство коммутации электропитания в соответствии с изобретением включает буферную цепь, фильтрующий контур, трансформатор, восстанавливающий контур, согласующую цепь и силовой переключатель, чтобы действовать как автоматически конфигурируемый модуль, который может работать в различных областях применения, не принимая во внимание, каким образом устройство коммутации электропитания подключается к блоку управления и/или к каскаду усиления мощности. Входное напряжение подается от каскада усиления мощности на буферную цепь через первый входной терминал. Соответственно, управляющее напряжение подается от блока управления на буферную цепь через второй входной терминал. Блок управления может обеспечивать положительное однополярное управляющее напряжение или отрицательное однополярное управляющее напряжение, или переменное управляющее напряжение. Следовательно, устройство коммутации электропитания может работать со стандартным имеющимся в наличии блоком управления, в частности, с простым блоком управления, обеспечивающим однополярное управляющее напряжение. Предпочтительно, чтобы устройство коммутации электропитания включало третий входной терминал. Третий входной терминал обеспечивает базисный узел. Третий входной терминал соединен, в частности, с общим заземлением каскада усиления мощности и блока управления. Буферная цепь обеспечивает буферное выходное напряжение, которое зависит от входного напряжения и управляющего напряжения. Буферное выходное напряжение соответствует управляющему напряжению и является однополярным или биполярным. Благодаря буферной цепи блок управления не подвержен влиянию потребляемой мощности, так как потребляемую мощность обеспечивает каскад усиления мощности. Предпочтительно, буферная цепь соединена с третьим входным терминалом. Выполнен фильтрующий контур для блокирования постоянной составляющей буферного выходного напряжения и обеспечения переменной стороны первичного контура напряжения. Входные терминалы фильтрующего контура соединены с выходными терминалами буферной цепи. Фильтрующий контур действует как фильтр верхних частот. Предпочтительно, фильтрующий контур взаимодействует с первичной обмоткой трансформатора. В зависимости от коэффициента трансформации переменная сторона первичного контура напряжения трансформируется в переменную вторичную сторону напряжения трансформатора. Далее, благодаря трансформатору, вторичная сторона изолирована от первичной стороны. На вторичной стороне установлен восстанавливающий контур. Посредством восстанавливающего контура управляющее напряжение на вторичной стороне трансформатора восстанавливается без воздействия на его продолжительность включения или частоту. Восстанавливающий контур выполнен таким образом, чтобы блокировать по меньшей мере частично постоянную составляющую, добавляемую к переменной вторичной стороне напряжения для обеспечения однополярного кондиционированного напряжения. При добавлении блокированной постоянной составляющей уровень напряжения возбуждения силового переключателя не зависит от продолжительности включения управляющего напряжения. Продолжительность включения является соотношением длительности импульса и времени переключения. Поэтому продолжительность включения называют также коэффициентом загрузки. Кондиционированное напряжение имеет два уровня напряжения. В зависимости от уровня напряжения согласующая цепь управляет током возбуждения. Например, на первом уровне напряжения ток возбуждения заряжает внутреннюю емкость силового переключателя, а на втором уровне напряжения ток возбуждения разряжает внутреннюю емкость силового переключателя. Внутренняя емкость силового переключателя обеспечивает напряжение возбуждения таким образом, что силовой переключатель включается или выключается.
Заряженная внутренняя емкость обеспечивает первый уровень напряжения возбуждения такой, что силовой переключатель включается, а разряженная внутренняя емкость обеспечивает второй уровень напряжения возбуждения такой, что силовой переключатель выключается. Предпочтительно, силовой переключатель является полевым МОП-транзистором, в частности, n-канальным полевым МОП-транзистором. В этом случае внутренняя емкость является емкостью затвор-исток, а напряжение возбуждения является напряжением затвор-исток. Как альтернатива, силовой переключатель является биполярным плоскостным транзистором или биполярным транзистором с изолированным затвором. В случае биполярного плоскостного транзистора напряжение возбуждения является сигналом база-эмиттер, в частности, напряжением база-эмиттер. Выходные терминалы соединены с силовым переключателем. Например, выходные терминалы соединены со стоком и истоком полевого МОП-транзистора и коллектором биполярного плоскостного транзистора.
За счет восстановления конденсатора постоянная составляющая добавляется к переменной вторичной стороне напряжения. Благодаря этому добавлению переменная вторичная сторона напряжения восстанавливающего контура или кондиционированное напряжение становится однополярной/однополярным. Благодаря однополярному кондиционированному напряжению уровень напряжения возбуждения не зависит от продолжительности включения управляющего напряжения. Параллельно последовательному соединению вторичной обмотки и конденсатору восстановления соединен блокирующий элемент. Блокирующий элемент является диодом. Предпочтительно, последовательное соединение конденсатора восстановления и вторичной обмотки соединено с узлом N2 и узлом N3. Конденсатор восстановления соединен с узлом N2, а вторичная сторона обмотки соединена с узлом N3. Диод соединен с узлом N2 и узлом N3. Диод соединен таким образом, что прохождение сигнала диода направлено от узла N3 к узлу N2. Это обеспечивает прохождение тока от узла N3 к узлу N2. Блокирующий элемент обеспечивает однополярное кондиционированное напряжение на выходе восстанавливающего контура. Кроме того, благодаря функции блокирования блокирующий элемент снижает потери возбуждения.
Цепь включения служит для включения силового переключателя, при этом цепь выключения служит для выключения силового переключателя. Цепь включения работает при первом уровне кондиционированного напряжения, а цепь выключения работает при втором уровне кондиционированного напряжения. Когда кондиционированное напряжение имеет первый уровень напряжения, блокирующий элемент обеспечивает прохождение тока возбуждения таким образом, что силовой переключатель включается. Блокирующий элемент является диодом. Блокирующий элемент цепи включения и блокирующий элемент фильтрующего контура предпочтительно работают совместно. Если оба блокирующих элемента выполнены как диоды, эти диоды имеют одинаковые направления прохождения сигнала. Предпочтительно, блокирующий элемент соединен последовательно с резистором. Предпочтительно, последовательное соединение диода и резистора соединено с узлом N2, а силовой переключатель, в частности, соединен с электродом затвора полевого МОП-транзистора. Предпочтительно, прохождение сигнала диода направлено от узла N2 к силовому переключателю. Например, силовой переключатель является полевым МОП-транзистором, и управляющий сигнал является током возбуждения через блокирующий элемент, так что внутренняя емкость полевого МОП-транзистора, а именно емкость затвор-исток, заряжается током возбуждения. Емкость затвор-исток обеспечивает напряжение возбуждения, которое включает силовой переключатель. В частности, первый уровень напряжения не является нулевым.
Когда кондиционированное напряжение имеет второй уровень напряжения, управляющий переключатель имеет режим оперативных переключений, так что силовой переключатель выключается. Предпочтительно, управляющий переключатель является биполярным плоскостным транзистором. Например, управляющий переключатель включается, когда второй уровень напряжения является нулевым, и ток возбуждения разряжает внутреннюю емкость силового переключателя таким образом, что силовой переключатель выключается. Цепь включения содержит один управляющий переключатель, который, в частности, является биполярным плоскостным транзистором. Предпочтительно, биполярный плоскостной транзистор является биполярным плоскостным транзистором быстрого подключения типа "подключи и работай". Предпочтительно, вывод коллектора управляющего переключателя соединен с выводом истока силового переключателя, а вывод эмиттера управляющего переключателя соединен с электродом затвора силового переключателя. Предпочтительно, терминал смещения управляющего переключателя соединен с резистором, соединенным с узлом N2. Предпочтительно, электрод истока силового переключателя и вывод коллектора управляющего переключателя соединены с узлом N3.
Так как внутренняя емкость сравнительно невелика, включение и выключение - силового переключателя происходит быстро путем зарядки или разрядки внутренней емкости. Поэтому устройство коммутации электропитания обеспечивает высокую частоту переключений.
Устройство коммутации электропитания по п. 2 обеспечивает гибкую и удобную работу устройства и его применение. Чтобы функционировать как фильтр верхних частот, фильтрующий контур включает фильтрующий конденсатор и фильтрующий резистор. Предпочтительно, фильтрующий конденсатор и фильтрующий резистор соединены последовательно и взаимодействуют с первичной обмоткой трансформатора. Фильтрующий контур легко и надежно обеспечивает блокирование постоянной составляющей буферного выходного напряжения.
Устройство коммутации электропитания по п. 3 обеспечивает гибкую и удобную работу устройства и его применение. Фильтрующий конденсатор и первичная обмотка образуют фильтр второго порядка. Предпочтительно, фильтрующий конденсатор соединен последовательно с последовательным соединением фильтрующего конденсатора и первичной обмотки. Последовательное соединение обеспечивает блокирование постоянной составляющей буферного выходного напряжения.
Устройство коммутации электропитания по п. 4 обеспечивает гибкую и удобнуюе работу устройства и его применение. Буферная цепь обеспечивает потребляемую мощность без воздействия на блок управления. Предпочтительно, буферная цепь является по меньшей мере триггером Шмитта или выходным двухтранзисторным каскадом.
Устройство коммутации электропитания по п. 5 обеспечивает гибкую и удобную работу устройства и его применение. Цепь стабилизации напряжения обеспечивает в основном стабилизированное выходное напряжение, прилагаемое к буферной цепи. Следовательно, устройство коммутации электропитания может работать независимо от качества входного напряжения. Например, цепь стабилизации напряжения основана на диоде Зенера. Предпочтительно, цепь стабилизации напряжения включает регулятор, например, регулятор малого падения напряжения.
Устройство коммутации электропитания по п. 6 обеспечивает удобную работу устройства. В зависимости от уровня напряжения согласующая цепь управляет током возбуждения и заряжает или разряжает внутреннюю емкость силового переключателя чтобы включать или выключать силовой переключатель. Так как согласующая цепь использует внутреннюю емкость силового переключателя, нет необходимости в каком-либо внешнем конденсаторе для обеспечения напряжения возбуждения.
Устройство коммутации электропитания по п. 7 обеспечивает гибкую и удобнуюе работу устройства и его применение. Цепь защиты включает по меньшей мере один измерительный элемент для измерения тока или напряжения силового переключателя. В зависимости от тока и/или напряжения силового переключателя цепь защиты определяет, работает ли в настоящее время силовой переключатель предварительно определенной нежелательной рабочей области. Цепь защиты обеспечивает по меньшей мере одну из функций защиты: токовую защиту, защиту от короткого замыкания или тепловую защиту. Далее, измерения напряжения и тока можно использовать для расчета коммутационных потерь и сравнения их с условиями наихудшего случая, чтобы определить избыточные энергопотери и/или обеспечить защиту от максимального напряжения.
Устройство коммутации электропитания по п. 8 обеспечивает гибкую и удобную работу устройства и его применение. Защитный выключатель выключает силовой переключатель, когда определена работа в нежелательной рабочей области. Предпочтительно, защитный выключатель соединен параллельно с внутренней емкостью силового переключателя таким образом, что внутренняя емкость разряжается и силовой переключатель выключается, когда силовой переключатель включен. Предпочтительно, защитный выключатель является полевым МОП-транзистором.
Устройство коммутации электропитания по п. 9 обеспечивает гибкие и удобные работу устройства и его применение. Когда защитный выключатель включен, внутренняя емкость разряжается, и силовой переключатель выключается.
Предпочтительно, защитный выключатель выполнен как n-канальный полевой МОП-транзистор. Предпочтительно, электрод стока защитного выключателя соединен с электродом затвора силового переключателя, а электрод истока защитного выключателя соединен с электродом истока силового переключателя. Предпочтительно, блок управления соединен с электродом затвора защитного выключателя и обеспечивает сигнал защиты на электрод затвора.
Устройство коммутации электропитания по п. 10 обеспечивает гибкую и удобную работу устройства и его применение. Цепь защиты обеспечивает ток силового переключателя или напряжение силового переключателя на блок управления. В зависимости от тока силового переключателя и/или напряжения силового переключателя блок управления сравнивает рабочую точку силового переключателя по меньшей мере с одной предварительно заданной нежелательной рабочей областью. Блок управления обеспечивает сигнал защиты, если рабочая точка находится в пределах по меньшей мере одной рабочей области. Сигнал защиты можно использовать как предупреждающий сигнал и/или для выключения силового переключателя. Например, блок управления выполнен как интегральная схема, микроконтроллер или программируемая логическая интегральная схема.
Устройство коммутации электропитания по п. 11 обеспечивает гибкую и удобную работу устройства и его применение. Дополнительная питающая обмотка вторичной стороны соединена с уже существующим трансформатором таким образом, что напряжение питания используется для подачи питания на цепь защиты. Коэффициент трансформации питающей обмотки определяет необходимое напряжение питания. Цепь защиты может включать цепь стабилизации, чтобы стабилизировать напряжение питания и обеспечивать, по существу, стабилизированное входное напряжение для блока управления. Цепь стабилизации включает диод, диод Зенера и конденсатор. Диод и питающая обмотка вторичной стороны соединены последовательно таким образом, что диод соединен с узлом N4, а питающая обмотка вторичной стороны соединена с узлом N3. Прохождение сигнала диода направлено на узел N4. Диод Зенера и конденсатор соединены параллельно узлу N3 и узлу N4. Анод диода Зенера соединен с узлом N3, а катод диода Зенера соединен с узлом N4.
Далее, целью настоящего изобретения является обеспечение гибкого и удобного способа использования устройства коммутации электропитания.
Данная цель достигается способом использования устройства коммутации электропитания, включающим операции по п. 12. В соответствии с изобретением преимущества способа соответствуют вышеизложенным преимуществам устройства коммутации электропитания.
Дальнейшие признаки, преимущества и подробности изобретения будут понятными из нижеследующего описания примера осуществления изобретения со ссылками на прилагаемые чертежи.
На фиг. 1 показана принципиальная схема устройства коммутации электропитания.
На фиг. 2 показана принципиальная схема согласующей цепи устройства коммутации электропитания, представленного на фиг. 1.
На фиг. 3 показана временная диаграмма кондиционированного напряжения с первым уровнем напряжения и вторым уровнем напряжения согласующей цепи.
На фиг. 4 показана эквивалентная схема согласующей цепи, когда первый уровень напряжения подается на согласующую цепь.
На фиг. 5 показана эквивалентная схема согласующей цепи, когда второй уровень напряжения подается на согласующую цепь.
На фиг. 1 показано устройство коммутации электропитания 1, разработанное как автоматически конструируемый модуль. Устройство коммутации электропитания 1 включает входные терминалы in1, in2 и in3, а также два выходных терминала out1 и out2. Входное напряжение Vin от каскада усиления мощности (не показан) подается на первый входной терминал in1. Однополярное управляющее напряжение (VG) подается от блока управления на второй входной терминал in2. Управляющее напряжение VG предпочтительно является широтномодулированным сигналом. Общее заземление каскада усиления мощности и блока управления подключается к третьему входному терминалу in3.
Устройство коммутации электропитания 1 включает цепь стабилизации напряжения (2), которая соединена до буферной цепи (3) с первым входным терминалом (in1). Цепь стабилизации напряжения 2 включает диод D1, диод Зенера ZVcc и конденсатор CVcc. Диод D1 соединен с первым входным терминалом in1 и первым узлом соединения N1. Параллельное соединение диода Зенера ZVcc и конденсатора CVcc соединено с первым узлом соединения N1 и базисным узлом N0. Базисный узел N0 соединен с третьим входным терминалом in3.
Первый узел соединения N1 соединен с буферной цепью 3 таким образом, что цепь стабилизации напряжения 2 обеспечивает подачу стабилизированного входного напряжения Vcc на буферную цепь 3. Второй входной терминал in2 соединен с буферной цепью 3 таким образом, что буферная цепь 3 обеспечивает подачу однополярного буферного выходного напряжения Vb в зависимости от стабилизированного входного напряжения Vcc и управляющего напряжения VG. Буферная цепь (3) включает по меньшей мере один усилитель напряжения или усилитель тока. Например, буферная цепь выполнена как триггер Шмитта или как выходной двухтранзисторный каскад. Буферная цепь 3 соединена с базисным узлом N0. Благодаря буферной цепи 3 блок управления не подвержен влиянию потребляемой мощности, так как потребляемую мощность обеспечивает каскад усиления мощности.
Буферная цепь 3 соединена с фильтрующим контуром 4. Фильтрующий контур 4 служит для блокирования постоянной составляющей VDC буферного выходного напряжения Vb и подачи напряжения переменного тока первичной обмотки V1. Фильтрующий контур 4 включает фильтрующий конденсатор (Cp) и фильтрующий резистор (Rp), которые, в частности, соединены последовательно. Последовательное соединение фильтрующего резистора Rp и фильтрующего конденсатора Ср соединено с буферной цепью 3 и трансформатором 5. Последовательное соединение фильтрующего резистора Rp и фильтрующего конденсатора Ср соединено последовательно с первичной обмоткой 6 трансформатора 5. Первичная обмотка 6 далее соединена с базисным узлом N0. Благодаря последовательному соединению фильтрующего резистора Rp, фильтрующего конденсатора Ср и первичной обмотки 6 фильтрующий контур 4 действует как фильтр верхних частот.
На вторичной стороне трансформатор 5 включает вторичную обмотку 7 и питающую обмотку 8 вторичной стороны. Первичная обмотка 6 и вторичная обмотка 7 определяют коэффициент трансформации. Напряжение переменного тока первичной обмотки V1 трансформируется во вторичную обмотку таким образом, что трансформатор 5 обеспечивает переменное напряжение вторичной обмотки V2 в зависимости от первого коэффициента трансформации. Трансформатор 5 разделяет первичную и вторичную стороны.
Восстанавливающий контур 9 соединен с вторичной обмоткой 7. Восстанавливающий контур 9 добавляет заблокированную постоянную составляющую VDC к напряжению вторичной обмотки V2 и обеспечивает однополярное кондиционированное напряжение VD, которое является входным напряжением согласующей цепи 10. Восстанавливающий контур 9 включает конденсатор восстановления CS и блокирующий элемент Dr. Конденсатор восстановления CS соединен последовательно с вторичной обмоткой 7. Последовательное соединение конденсатора восстановления CS и вторичной обмотки 7 соединено со вторым узлом N2 и третьим узлом N3. Блокирующий элемент Dr соединен со вторым узлом N2 и третьим узлом N3, так что он соединен параллельно последовательному соединению конденсатора восстановления CS и вторичной обмотки 7. Блокирующий элемент Dr выполнен как диод. Кондиционированное напряжение VD является напряжением на блокирующем элементе Dr.
Согласующая цепь 10 содержит цепь включения 11 и цепь выключения 12. Цепь включения 11 содержит силовой переключатель 13, когда кондиционированное напряжение VD имеет первый уровень напряжения VD1, а цепь выключения 12 выключает силовой переключатель 13, когда кондиционированное напряжение VD имеет второй уровень напряжения VD2.
Кондиционированное напряжение VD показано на фиг. 3, где t обозначает время. Кондиционированное напряжение VD является результатом добавления однополярной постоянной составляющей VDC и соответствует, в основном, управляющему напряжению VG. В пределах времени переключения TS кондиционированное напряжение VD имеет первый уровень напряжения VD1 для периода времени D⋅TS и второй уровень напряжения VD2 для периода времени (1-D)⋅TS. D означает продолжительность включения силового переключателя 13. Второй уровень напряжения VD2 является, в основном, нулевым. Первый уровень напряжения VD1 является положительным.
Защитный выключатель 13 выполнен как n-канальный полевой МОП-транзистор. Электрод стока D соединен с первым выходным терминалом out1, а электрод истока S соединен со вторым выходным терминалом out2. Силовой переключатель 13 включает емкость затвор-исток CGS между электродом затвора G и электродом истока S.
Цепь включения 11 содержит блокирующий элемент Don и резистор Ron, которые соединены последовательно. Блокирующий элемент Don выполнен как диод. Последовательное соединение блокирующего элемента Don и резистора Ron соединено со вторым узлом N2 и электродом затвора G. Третий узел N3 соединен с электродом истока S. Когда кондиционированное напряжение VD имеет первый уровень напряжения VD1, цепь включения 11 обеспечивает ток возбуждения i, который заряжает емкость затвор-исток CGS, и обеспечивает напряжение возбуждения VGS, которое включает силовой переключатель 13. Это показано на фиг. 4.
Цепь выключения 12 содержит управляющий переключатель S1. Управляющий переключатель S1 выполнен, как биполярный плоскостной транзистор. Предпочтительно, биполярный плоскостной транзистор является биполярным плоскостным транзистором быстрого подключения типа "подключи и работай". Вывод коллектора С управляющего переключателя S1 соединен с электродом истока S, а вывод эмиттера Е управляющего переключателя S1 соединен с электродом затвора G. Терминал смещения В управляющего переключателя S1 соединен с резистором Roff, который соединен со вторым узлом N2. Когда кондиционированное напряжение VD имеет второй уровень напряжения VD2, являющийся, в основном, нулевым, цепь включения 11 блокируется диодом Don, и управляющий переключатель S1 включается благодаря напряжению возбуждения VGS и току через вывод эмиттера Е и терминал смещения В. Управляющий переключатель S1 действует как цепь короткого замыкания, в результате емкость затвор-исток CGS разряжается током возбуждения, и силовой переключатель 13 выключается. Цепь выключения 12 показана на фиг. 5.
Кроме того, устройство коммутации электропитания 1 включает цепь защиты 14, чтобы определять, не работает ли силовой переключатель 13 в пределах нежелательной рабочей области. Питающая обмотка вторичной стороны 8 обеспечивает напряжение питания V3, которое используется для питания цепи защиты 14. Первичная обмотка 6 и питающая обмотка вторичной стороны 8 определяют второй коэффициент трансформации, зависящий от необходимого напряжения питания V3. Цепь защиты 14 включает цепь стабилизации 15, обеспечивающую стабилизированное напряжение питания Vbias. Стабилизированное напряжение питания Vbias подается на блок управления 16.
Цепь стабилизации 15 включает диод Dbias, диод Зенера Zbias и конденсатор Cbias. Диод Dbias и питающая обмотка вторичной стороны 8 соединены последовательно. Питающая обмотка вторичной стороны 8 соединена с третьим узлом N3. Диод Dbias соединен с четвертым узлом N4. Диод Зенера Zbias и конденсатор Cbias соединены параллельно с третьим узлом N3 и четвертым узлом N4. Следовательно, блок управления 16 имеет то же заземление, что и силовой переключатель 13.
Цепь защиты 14 включает измерительный элемент 17 для измерения тока силового переключателя iP'. Измеренный ток силового переключателя iP' подается на блок управления 16. Далее, напряжение силового переключателя VP подается на блок управления 16. Напряжение силового переключателя VP является, в частности, напряжением сток-исток силового переключателя 13. В зависимости от тока силового переключателя iP' и/или напряжения силового переключателя VP блок управления 16 определяет, работает ли силовой переключатель 13 в пределах нежелательной рабочей области или нет. Если силовой переключатель 13 работает в пределах нежелательной рабочей области, блок управления 16 генерирует сигнал защиты р. Сигнал защиты р является, в частности, вольтовым сигналом.
Цепь защиты 15 включает защитный выключатель S2, который служит для выключения силового переключателя 13, если силовой переключатель 13 работает в пределах нежелательной рабочей области. Защитный выключатель S2 соединен параллельно с емкостью затвор-исток CGS. Защитный выключатель S2 обеспечивает короткое замыкание емкости затвор-исток CGS, если он включен.
Защитный выключатель S2 разработан как n-канальный полевой МОП-транзистор. Электрод стока d защитного выключателя S2 соединен с электродом затвора G, а электрод истока s защитного выключателя S2 соединен с электродом истока S. Блок управления 16 соединен с электродом затвора g защитного выключателя S2 и обеспечивает сигнал защиты р на электрод затвора g.
Устройство коммутации электропитания 1 действует как автоматически конструируемый модуль и может использоваться во многих областях, где электрод истока S силового переключателя 13 соединяется с неизменным напряжением или плавающим напряжением. Устройство коммутации электропитания 1 не предусматривает использования мероприятий, обычно необходимых для надлежащей разработки схем управления и синхронизации для переключателей, имеющих изолированные от цепей заземления источники питания, используемых в изолированных топологиях, в типичных неизолированных топологиях с плавающими источниками питания или в топологиях с нетипичным способом соединения устройств питания. Устройство коммутации электропитания 1 может питаться с использованием каскада усиления мощности, даже если оно применяется в изолированной топологии. Ориентированные на практические потребности примеры применения изолированных топологий, таких как обратноходовые, обратноходовые понижающие, прямоходовые, двухтактные, полумостовые, полномостовые и неизолированные топологии, имеющие переключатель стороны высокого напряжения или переключатель изолированного от цепей заземления источника питания, такие как понижающие, повышающие и комбинированные преобразователи. Использование устройства коммутации электропитания 1 решает проблемы управления и синхронизации, так как устройством 1 или несколькими такими устройствами, соединенными любым возможным способом в топологию цепей, можно удобно управлять с применением одного и того же блока управления, который использует то же заземление каскада усиления мощности без изготовления специально предназначенного источника питания для каждого переключателя 13. Кроме того, устройство коммутации электропитания 1 обеспечивает различные средства защиты, такие как защита от сверхтоков, защита от короткого замыкания и защита от превышения температуры.
Устройство коммутации электропитания 1 может работать независимо от источника питания, подключенного к силовому переключателю 13, так как он использует трансформатор 5 для обеспечения изолированного дифференциального напряжения затвор-исток VGS, которое является положительным, когда необходимо включить силовой переключатель 13, или почти нулевым напряжением, когда необходимо выключить силовой переключатель 13. Устройство коммутации электропитания 1 может работать с биполярным или однополярным управляющим напряжением VG. Предпочтительно, блок управления может генерировать только однополярное управляющее напряжение VG, именно положительное или отрицательное напряжение относительно земли. Следовательно, устройству коммутации электропитания 1 необходим изолированный или специально предназначенный для него источник питания для обеспечения необходимого напряжения возбуждения VGS. Благодаря буферной цепи 3 блок управления не подвержен влиянию потребляемой мощности, так как потребляемую мощность обеспечивает каскад усиления мощности. Цепь стабилизации напряжения 2 может быть выполнена как регулятор малого падения напряжения. - Фильтрующий контур 4 блокирует постоянную составляющую VDC в однополярном буферном выходном напряжении Vb таким образом, что полученное в результате напряжение переменного тока первичной обмотки V1 трансформируется в напряжение переменного тока вторичной обмотки V2. Восстанавливающий контур 9 восстанавливает блокированную постоянную составляющую VDC в соответствии с коэффициентом трансформации трансформатора 5. Благодаря восстанавливающему контуру 9 уровень напряжения возбуждения VGS не зависит от продолжительности включения D. Блокирующий элемент или диод Dr используется для блокирования отрицательной составляющей напряжения вторичной обмотки V2 и снижает потери возбуждения электрода затвора. Блокирующий элемент или диод Don и резистор Ron используются для зарядки емкости затвор-исток CGS и включения силового переключателя 13, когда управляющее напряжение VG является высоким, а управляющий переключатель S1 (биполярный плоскостной транзистор быстрого подключения типа "подключи и работай") используется для разрядки емкости затвор-исток CGS и выключения силового переключателя 13, когда управляющее напряжение VG является низким. Устройство коммутации электропитания 1 работает с силовым переключателем 13, выполненным как полевой МОП-транзистор, биполярный плоскостной транзистор или биполярный транзистор с изолированным затвором, так как всем этим силовым переключателям 13 необходим управляющий сигнал, дифференцированный относительно электрода истока или вывода эмиттера.
Кроме того, устройство коммутации электропитания 1 обеспечивает различные функции защиты. Так как блок управления 16 использует то же заземление, что и силовой переключатель 13, данные тока и напряжения можно подавать непосредственно на него. Когда имеются ток iP и напряжение VP силового переключателя, защита от сверхтока, защита от короткого замыкания и защита от превышения температуры могут обеспечиваться путем расчета нежелательной рабочей области и безопасной рабочей области. Кроме того, могут рассчитываться и оцениваться энергопотери переключателя и повышение температуры. Далее, измерения напряжения и тока можно использовать для расчета коммутационных потерь и сравнения их с условиями наихудшего случая, чтобы определить избыточные энергопотери и/или обеспечить защиту от максимального напряжения. Когда выявляется нарушение безопасной работы, блок управления 16 подает сигнал защиты р, а именно управляющий импульс S2, в результате силовой переключатель 13 выключается и таким образом защищается.

Claims (32)

1. Устройство коммутации электропитания, включающее
- первый входной терминал (in1) для подачи входного напряжения (Vin) от каскада усиления мощности,
- второй входной терминал (in2) для подачи управляющего напряжения (VG) от блока управления,
- буферную цепь (3) для обеспечения выходного напряжения (Vb) в зависимости от входного напряжения (Vin) и управляющего напряжения (VG),
- фильтрующий контур (4) для блокирования постоянной составляющей (VDC) буферного выходного напряжения (Vb) и обеспечения напряжения первичной обмотки (V1),
- трансформатор (5) для трансформирования напряжения первичной обмотки (V1) в напряжение вторичной обмотки (V2),
- восстанавливающий контур (9) для добавления, по меньшей мере частично, заблокированной постоянной составляющей (VDC) к напряжению вторичной обмотки (V2) и обеспечения однополярного кондиционированного напряжения (VD), при этом восстанавливающий контур (9) включает блокирующий элемент (Dr), являющийся диодом, соединенным параллельно с последовательным соединением вторичной обмотки (7) трансформатора (5) и конденсатор восстановления (Cs),
- согласующую цепь (10) для обеспечения управляющего сигнала (i, VGS) в зависимости от однополярного кондиционированного напряжения (VD), и
- силовой переключатель (13), соединенный с первым выходным терминалом (out1) и вторым выходным терминалом (out2), управляемый управляющим сигналом (i, VGS),
характеризующееся тем,
что цепь включения (11), являющаяся частью согласующей цепи (10), включает блокирующий элемент (Don), являющийся диодом и обеспечивающий ток возбуждения (i), при этом силовой переключатель (13) включен, когда однополярное кондиционированное напряжение (VD) имеет первый уровень напряжения (VD1),
цепь включения (12), являющаяся частью согласующей цепи (10), включает один управляющий переключатель (S1), обеспечивающий ток возбуждения (i), при этом силовой переключатель (13) выключен, когда однополярное кондиционированное напряжение (VD) имеет второй уровень напряжения (VD2),
согласующая цепь (10) соединена с силовым переключателем (13), и заряженная внутренняя емкость (CGS) силового переключателя (13) выполнена с возможностью обеспечивать первый уровень напряжения возбуждения (VGS), при этом силовой переключатель (13) включен, а заряженная внутренняя емкость (CGS) выполнена с возможностью обеспечивать второй уровень напряжения возбуждения (VGS), при том что силовой переключатель (13) выключен.
2. Устройство коммутации электропитания по п. 1, характеризующееся тем, что фильтрующий контур (4) включает фильтрующий конденсатор (Ср) и фильтрующий резистор (Rp), которые, в частности, соединены последовательно.
3. Устройство коммутации электропитания по п. 1 или 2, характеризующееся тем, что фильтрующий контур (4) включает фильтрующий конденсатор (Ср), соединенный последовательно с первичной обмоткой (6) трансформатора (5).
4. Устройство коммутации электропитания по любому из пп. 1-3, характеризующееся тем, что буферная цепь (3) включает по меньшей мере один усилитель напряжения или усилитель тока.
5. Устройство коммутации электропитания по любому из пп. 1-4, характеризующееся тем, что цепь стабилизации напряжения (2) соединена до буферной цепи (3) с первым входным терминалом (in1).
6. Устройство коммутации электропитания по любому из пп. 1-5, характеризующееся тем, что согласующая цепь (10) соединена с силовым переключателем (13) таким образом, что при первом уровне напряжения (VD1) однополярного кондиционированного напряжения (VD) ток возбуждения (i) заряжает внутреннюю емкость (CGS) силового переключателя (13), а при втором уровне напряжения (VD2) однополярного кондиционированного напряжения (VD) ток возбуждения (i) разряжает внутреннюю емкость (CGS) силового переключателя (13).
7. Устройство коммутации электропитания по любому из пп. 1-6, характеризующееся тем, что оно снабжено цепью защиты (14) для определения срабатывания силового переключателя (13) в нежелательной рабочей области.
8. Устройство коммутации электропитания по п. 7, характеризующееся тем, что цепь защиты (14) выполнена с возможностью выключать защитный выключатель (S2) для выключения силового переключателя (13), когда выявлена работа в нежелательной рабочей области.
9. Устройство коммутации электропитания по п. 8, характеризующееся тем, что защитный выключатель (S2) соединен параллельно с внутренней емкостью (CGS) силового переключателя (13).
10. Устройство коммутации электропитания по любому из пп. 7-9, характеризующееся тем, что цепь защиты (14) включает блок управления (16) для обеспечения сигнала защиты (р) в зависимости по меньшей мере от тока (iP) или напряжения (VP) силового переключателя.
11. Устройство коммутации электропитания по любому из пп. 7-10, характеризующееся тем, что трансформатор (5) содержит вторичную питающую обмотку (8) для обеспечения напряжения питания (V3) для цепи защиты (14).
12. Способ управления устройством коммутации электропитания, включающий следующие операции:
- обеспечение наличия устройства коммутации электропитания (1) по любому из пп. 1-11,
- приложение входного напряжения (Vin) от каскада усиления мощности на первый входной терминал (in1),
- приложение однополярного управляющего напряжения (VG) от блока управления на второй входной терминал (in2),
- обеспечение однополярного буферного выходного напряжения (Vb) в зависимости от входного напряжения (Vin) и однополярного управляющего напряжения (VG) посредством буферной цепи (3),
- блокирование постоянной составляющей (VDC) однополярного буферного выходного напряжения (Vb) и обеспечение напряжения переменного тока первичной обмотки (V1) посредством фильтрующего контура (4),
- трансформирование напряжения переменного тока первичной обмотки (V1) в напряжение переменного тока вторичной обмотки (V2) посредством трансформатора (5),
- добавление по меньшей мере частично блокированной постоянной составляющей (VDC) к напряжению переменного тока вторичной обмотки (V2) и обеспечение однополярного кондиционированного напряжения (VD) посредством восстанавливающего контура (9), и
- управление силовым переключателем (13) в зависимости от однополярного кондиционированного напряжения (VD) посредством согласующей цепи (10).
RU2019127876A 2017-03-15 2018-03-09 Устройство коммутации электропитания и способ управления устройством коммутации электропитания RU2720217C9 (ru)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17161130 2017-03-15
EP17161130.4 2017-03-15
PCT/EP2018/055909 WO2018166912A1 (en) 2017-03-15 2018-03-09 Power switching device and method to operate said power switching device

Publications (2)

Publication Number Publication Date
RU2720217C1 true RU2720217C1 (ru) 2020-04-28
RU2720217C9 RU2720217C9 (ru) 2020-07-29

Family

ID=58410089

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2019127876A RU2720217C9 (ru) 2017-03-15 2018-03-09 Устройство коммутации электропитания и способ управления устройством коммутации электропитания

Country Status (8)

Country Link
US (1) US10784851B2 (ru)
EP (1) EP3476046B1 (ru)
JP (1) JP6865295B2 (ru)
KR (1) KR102272344B1 (ru)
CN (1) CN110447170B (ru)
RU (1) RU2720217C9 (ru)
TW (1) TWI659599B (ru)
WO (1) WO2018166912A1 (ru)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7380340B2 (ja) * 2020-03-06 2023-11-15 オムロン株式会社 電源回路
US11811396B2 (en) * 2021-09-30 2023-11-07 Infineon Technologies Austria Ag Power transfer, gate drive, and/or protection functions across an isolation barrier

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5019719A (en) * 1990-01-12 1991-05-28 International Rectifier Corporation Transformer coupled gate drive circuit for power MOSFETS
US20030164721A1 (en) * 2002-03-01 2003-09-04 Reichard Jeffrey A. Gate drive circuitry
RU2321945C2 (ru) * 2001-11-20 2008-04-10 Вюрт Электроник Айзос Гмбх Унд Ко. Кг Переключающее устройство для надежного переключения токовых цепей
US20140367563A1 (en) * 2014-06-17 2014-12-18 University Of Electronic Science And Technology Of China Asymmetric waveform pulse generator and faims ion detector employing same

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0053709B1 (de) 1980-12-04 1985-03-06 Siemens Aktiengesellschaft Schaltungsanordnung zum Ansteuern mindestens eines Leistungs-FET
DE3045771A1 (de) 1980-12-04 1982-07-08 Siemens AG, 1000 Berlin und 8000 München Schaltungsanordnung zum ansteuern eines leistungs-fet
JPH04128435U (ja) * 1991-05-16 1992-11-24 三菱電機株式会社 パワーmos fet用絶縁形ドライブ回路
JP3196157B2 (ja) * 1997-04-30 2001-08-06 伸 中川 省電力電気機器またはその電源装置
JPH11145810A (ja) * 1997-11-06 1999-05-28 Shimada Phys & Chem Ind Co Ltd ドライブ回路
JP3644014B2 (ja) * 2000-08-21 2005-04-27 富士通アクセス株式会社 同期整流回路
DE10312704A1 (de) * 2003-03-21 2004-09-30 Conti Temic Microelectronic Gmbh Verfahren zur Ansteuerung und Funktionsüberwachung eines Leistungshalbleiterschalters und Vorrichtung zur Durchführung des Verfahrens
JP4226509B2 (ja) * 2004-03-30 2009-02-18 株式会社タムラ製作所 電圧駆動型スイッチ素子のドライブ回路および電源装置
US7236041B2 (en) * 2005-08-01 2007-06-26 Monolithic Power Systems, Inc. Isolated gate driver circuit for power switching devices
CN101454979B (zh) * 2006-05-29 2013-03-27 皇家飞利浦电子股份有限公司 开关电路
CN2919333Y (zh) * 2006-06-15 2007-07-04 杭州瑞琦信息技术有限公司 一种恒流限压电源
TW200826444A (en) * 2006-07-27 2008-06-16 Koninkl Philips Electronics Nv Switch mode power supply for in-line voltage applications
WO2009008739A1 (en) * 2007-07-09 2009-01-15 Power Concepts Nz Limited Drive circuit
US7656687B2 (en) * 2007-12-11 2010-02-02 Cirrus Logic, Inc. Modulated transformer-coupled gate control signaling method and apparatus
JP5108600B2 (ja) * 2008-04-16 2012-12-26 コーセル株式会社 スイッチング電源装置
JP5353395B2 (ja) * 2009-04-10 2013-11-27 富士電機株式会社 信号伝送回路及び電力変換装置
JP5786281B2 (ja) * 2010-05-19 2015-09-30 サンケン電気株式会社 駆動回路
GB2482738B (en) * 2010-08-13 2015-05-13 Nujira Ltd Switch mode power supply for envelope tracking
JP5699562B2 (ja) * 2010-11-22 2015-04-15 サンケン電気株式会社 駆動回路
US9729144B2 (en) * 2013-07-31 2017-08-08 Schneider Electric Solar Inverters Usa, Inc. Isolated uni-polar transistor gate drive
CN103944549A (zh) * 2014-04-03 2014-07-23 南京航空航天大学 一种高可靠性mosfet驱动电路
CN205092774U (zh) * 2014-08-08 2016-03-16 意法半导体股份有限公司 电源电路、相关发送电路、集成电路
CN106158682B (zh) * 2015-04-13 2019-03-12 中芯国际集成电路制造(上海)有限公司 一种获取非对称装置栅的堆叠电容的方法
US9966837B1 (en) * 2016-07-08 2018-05-08 Vpt, Inc. Power converter with circuits for providing gate driving

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5019719A (en) * 1990-01-12 1991-05-28 International Rectifier Corporation Transformer coupled gate drive circuit for power MOSFETS
RU2321945C2 (ru) * 2001-11-20 2008-04-10 Вюрт Электроник Айзос Гмбх Унд Ко. Кг Переключающее устройство для надежного переключения токовых цепей
US20030164721A1 (en) * 2002-03-01 2003-09-04 Reichard Jeffrey A. Gate drive circuitry
US20140367563A1 (en) * 2014-06-17 2014-12-18 University Of Electronic Science And Technology Of China Asymmetric waveform pulse generator and faims ion detector employing same

Also Published As

Publication number Publication date
JP2020511089A (ja) 2020-04-09
KR20190126080A (ko) 2019-11-08
WO2018166912A1 (en) 2018-09-20
JP6865295B2 (ja) 2021-04-28
CN110447170A (zh) 2019-11-12
US20200136602A1 (en) 2020-04-30
TW201836254A (zh) 2018-10-01
CN110447170B (zh) 2023-07-21
EP3476046A1 (en) 2019-05-01
EP3476046B1 (en) 2019-12-18
US10784851B2 (en) 2020-09-22
RU2720217C9 (ru) 2020-07-29
TWI659599B (zh) 2019-05-11
KR102272344B1 (ko) 2021-07-07

Similar Documents

Publication Publication Date Title
US20190006949A1 (en) Isolated synchronous rectification-type dc/dc converter
US7245514B2 (en) Synchronous rectification circuit
US10256715B2 (en) Switching power supply device
US7924581B2 (en) High voltage start-up circuit with constant current control
US9025348B2 (en) Drive circuit
US6295214B1 (en) Switching power supply unit
US8264807B2 (en) Start-up in-rush current protection circuit for DCDC converter
KR20100023770A (ko) 적어도 하나의 반도체 광원을 동작시키기 위한 회로 어레인지먼트
US20190074826A1 (en) Over voltage protection for cascode switching power device
RU2720217C1 (ru) Устройство коммутации электропитания и способ управления устройством коммутации электропитания
US20170367154A1 (en) Led driver and led driving method
US9780690B2 (en) Resonant decoupled auxiliary supply for a switched-mode power supply controller
US9484801B2 (en) Start-up regulator for high-input-voltage power converters
KR101659088B1 (ko) Led 전원 장치
JP5129208B2 (ja) スイッチング電源装置
US20180097446A1 (en) Power converter damage protection
KR101241359B1 (ko) 레이저 생성용 전원 공급 장치
KR101213172B1 (ko) 레이저 생성용 전원 공급 장치
Chen et al. 2nd Generation LLC Current Resonant Control IC,“FA6A00N Series”
JP7294007B2 (ja) 電源装置および非常用照明装置
US20230299573A1 (en) Power management device
EP4344035A1 (en) Resonant hybrid flyback converter with overcurrent detection
US20220271670A1 (en) Converter with hold-up circuit and inrush-control circuit
JP2003244952A (ja) 同期整流型フォワードコンバータ
KR100338866B1 (ko) 주스위치와 보조스위치의 영전압 스위칭을 구현한 부분 공진형 컨버터

Legal Events

Date Code Title Description
TH4A Reissue of patent specification
TK49 Information related to patent modified

Free format text: CORRECTION TO CHAPTER -FG4A- IN JOURNAL 13-2020 FOR INID CODE(S) (72)