KR20240046277A - 부가적인 회전 축들을 갖는 회전 인덱서 - Google Patents

부가적인 회전 축들을 갖는 회전 인덱서 Download PDF

Info

Publication number
KR20240046277A
KR20240046277A KR1020247009978A KR20247009978A KR20240046277A KR 20240046277 A KR20240046277 A KR 20240046277A KR 1020247009978 A KR1020247009978 A KR 1020247009978A KR 20247009978 A KR20247009978 A KR 20247009978A KR 20240046277 A KR20240046277 A KR 20240046277A
Authority
KR
South Korea
Prior art keywords
hub
indexer
relative
tie
interface
Prior art date
Application number
KR1020247009978A
Other languages
English (en)
Inventor
리처드 엠. 블랭크
칼 에프. 리저
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240046277A publication Critical patent/KR20240046277A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67796Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations with angular orientation of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

원형 어레이로 배열된 다양한 스테이션들 사이에서 반도체 웨이퍼들 또는 다른 아이템들을 이동시키기 위해 회전될 수도 있는 회전 인덱서가 제공되고; 이러한 이동 동안 이동될 아이템들은 인덱서의 암들에 의해 지지될 수도 있다. 회전 인덱서는 또한 아이템들을 지지하는 암들에 상대적인 아이템들의 회전을 유발하기 위해 이동될 아이템들로 하여금 다른 회전 축들을 중심으로 회전하게 하도록 더 구성될 수도 있다.

Description

부가적인 회전 축들을 갖는 회전 인덱서{ROTATIONAL INDEXER WITH ADDITIONAL ROTATIONAL AXES}
관련된 출원에 대한 교차 참조
본 출원은 2018년 1월 10일 출원된, 명칭이 "ROTATIONAL INDEXER WITH ADDITIONAL ROTATIONAL AXES"인 미국 특허출원번호 제 15/867,599 호의 우선권의 이익을 주장하고, 출원은 모든 목적들을 위해 본 명세서에 참조로서 인용된다.
몇몇 반도체 프로세싱 툴들이 공통 챔버 내에서 복수의 웨이퍼들을 동시에 프로세싱하고 챔버 내에서 프로세싱 스테이션으로부터 프로세싱 스테이션으로 웨이퍼들을 이동시키기 위해 회전 인덱서를 사용한다. 이러한 반도체 프로세싱 툴들에서, 프로세싱 스테이션들은 일반적으로 웨이퍼 중심 점들이 원형 경로를 따라 등거리-이격되도록 (equidistantly spaced) 레이아웃될 수도 있다. 중심 허브 및 중심 허브로부터 외측으로 뻗어 나가는 복수의 암들을 포함하는 회전 인덱서가 웨이퍼들을 스테이션으로부터 스테이션으로 이동시키도록 사용될 수도 있고; 암들의 단부가 인덱서에 이동될 웨이퍼들을 지지하도록 사용될 수도 있는 어떤 형태의 웨이퍼 지지부를 가질 수도 있다. 이러한 디바이스를 사용하여 웨이퍼들을 스테이션으로부터 스테이션으로 이동시키는 것은 웨이퍼들을 "인덱싱하는 것 (indexing)"으로 지칭된다. 일반적으로, 인덱서 상의 암들의 수 및 각도 간격 (angular spacing) 은 원형 경로의 중심점을 중심으로 프로세싱 스테이션들의 수 및 각도 간격에 대응할 것이다. 예를 들어, 4-스테이션 챔버에서, 인덱서 상에 4 개의 암들이 있을 수도 있고, 암 각각은 인접한 암들로부터 90°로 배향된다 (oriented). 웨이퍼들이 암들 상에 배치될 수도 있고 중심 허브와 중심 허브에 연결된 암들은 원형 경로의 중심점을 중심으로 일 유닛 (unit) 으로 회전될 수도 있어서, 웨이퍼들을 스테이션으로부터 스테이션으로 이동시킨다.
본 명세서에 기술된 주제의 하나 이상의 구현예들의 상세들은 첨부된 도면들 및 이하의 기술로 개진된다. 다른 특징들, 양태들 및 이점들이 이 기술, 도면들 및 청구항들로부터 자명해질 것이다.
일부 구현예들에서, 베이스, 제 1 모터, 제 2 모터, 및 제 1 허브를 포함하는 장치가 제공될 수도 있다. 제 1 모터는 제 1 허브의 중심 축을 중심으로 그리고 베이스에 상대적으로 제 1 허브를 회전시키도록 구성될 수도 있고, 장치는 N 개의 인덱서 암 어셈블리들을 더 포함할 수도 있고, 인덱서 암 어셈블리 각각은 a) 웨이퍼 지지부 및 b) 제 1 허브에 연결된 근위 단부 (proximal end) 및 웨이퍼 지지부에 회전가능하게 연결된 원위 단부 (distal end) 를 갖는 N 개의 인덱서 암을 포함한다. 웨이퍼 지지부 각각은 웨이퍼 지지부의 회전 축에 대해 웨이퍼 지지부를 지지하는 인덱서 암에 상대적으로 회전하도록 구성될 수도 있다. N은 2 이상의 인덱서 암 어셈블리들이 있도록 선택될 수도 있다. 장치는, 제 2 모터에 의해 작동되도록 구성될 수도 있고 인덱서 암들의 웨이퍼 지지부들로 하여금 제 1 모터, 제 2 모터, 또는 제 1 모터와 제 2 모터의 회전에 응답하여 웨이퍼 지지부들의 대응하는 회전 축들을 중심으로 그리고 인덱서 암들에 상대적으로 동시에 회전하게 하도록 더 구성될 수도 있는, 작동 메커니즘을 더 포함할 수도 있다.
장치의 일부 구현예들에서, 작동 메커니즘은 제 2 모터에 의한 작동에 응답하여제 1 허브의 중심 축을 중심으로 회전하도록 구성되는 제 2 허브를 포함할 수도 있고, 그리고 인덱서 암 어셈블리 각각은 제 1 회전가능 인터페이스에 의해 제 2 허브에 회전가능하게 연결되는 근위 단부 및 제 2 회전가능 인터페이스에 의해 인덱서 암 어셈블리의 웨이퍼 지지부와 회전가능하게 연결되는 원위 단부를 갖는 타이-로드를 더 포함할 수도 있다. 이러한 구현예들에서, 작동 메커니즘 및 인덱서 암 어셈블리들은 제 1 중심 축을 중심으로 제 1 허브와 제 2 허브 사이의 상대적인 회전이 타이-로드들로 하여금 제 1 중심 축에 상대적으로 대체로 방사상 방식으로 병진하게 (translate) 하도록, 따라서 타이-로드 각각으로 하여금 타이-로드 각각이 인덱서 암들에 상대적으로 그리고 웨이퍼 지지부의 회전 축을 중심으로 회전하도록 회전가능하게 연결된, 웨이퍼 지지부를 회전시키게 하도록 구성될 수도 있다.
장치의 일부 구현예들에서, 제 1 허브 및 제 2 허브는 제 1 상대적 회전 위치로부터 제 2 상대적 회전 위치로 서로에 대해 상대적으로 회전가능하도록 구성될 수도 있다.
장치의 일부 구현예들에서, 인덱서 암들, 타이-로드들, 및 웨이퍼 지지부들은 세라믹 재료로 이루어질 수도 있다.
장치의 일부 구현예들에서, 인덱서 암 어셈블리 각각은 인덱서 암 어셈블리 각각을 위한 웨이퍼 지지부를 인덱서 암 어셈블리 각각을 위한 인덱서 암과 회전가능하게 연결하는 제 3 회전가능 인터페이스를 포함할 수도 있고, 그리고 제 1 회전가능 인터페이스들, 제 2 회전가능 인터페이스들, 및 제 3 회전가능 인터페이스들은 모두 세라믹 볼 베어링들일 수도 있다.
장치의 일부 구현예들에서, 4 개의 인덱서 암 어셈블리들이 있을 수도 있다. 더욱이, 웨이퍼 지지부들 각각은 제 1 허브 및 제 2 허브가 제 1 상대적 회전 위치에 있을 때 제 1 허브에 대해 제 3 상대적인 회전 위치에 있을 수도 있고 제 1 허브 및 제 2 허브가 제 2 상대적 회전 위치에 있을 때 제 1 허브에 대해 제 4 상대적인 회전 위치에 있을 수도 있고; 제 3 상대적인 회전 위치들 및 제 4 상대적인 회전 위치들은 이러한 구현예에서 서로 위상이 90° 벗어날 수도 있다.
장치의 일부 구현예들에서, 장치는 제 1 허브와 제 2 허브 사이의 상대적인 회전 운동을 90° 내지 95° 로 제한하도록 구성되는 정지 메커니즘을 포함할 수도 있다.
장치의 일부 구현예들에서, 타이-로드 각각의 근위 단부는 타이-로드 각각을 위한 제 1 회전가능 인터페이스 바로 위에 최상위 표면을 가질 수도 있고, 제 1 회전가능 인터페이스 각각은 가장 가까운 다른 제 1 회전가능 인터페이스로부터 제 1 거리만큼 수평으로 이격될 수도 있고, 타이-로드 각각은 타이-로드 각각에 대해 제 1 회전가능 인터페이스의 회전 중심으로부터 제 1 길이방향 거리와 타이-로드 각각에 대해 제 1 회전가능 인터페이스의 회전 중심으로부터 제 2 길이방향 거리 사이에서 연장하는 오프셋 영역을 포함할 수도 있고, 제 1 길이방향 거리들은 제 1 거리들보다 작을 수도 있고, 제 2 길이방향 거리들은 제 1 거리들보다 클 수도 있고, 타이-로드 각각의 오프셋 영역은 인접한 인덱서 암 어셈블리로부터 타이-로드의 원위 단부의 최상위 표면보다 높은 최하위 표면을 가질 수도 있어서, 인접한 인덱서 암 어셈블리로부터 타이-로드의 원위 단부로 하여금 제 1 허브 및 제 2 허브가 제 1 상대적 회전 위치에 있을 때 이 타이-로드 밑으로 통과하게 한다.
장치의 일부 구현예들에서, 웨이퍼 지지부들 각각은 제 1 허브 및 제 2 허브가 제 1 상대적 회전 위치에 있을 때 제 1 허브에 대해 제 3 상대적인 회전 위치에 있을 수도 있고 제 1 허브 및 제 2 허브가 제 2 상대적 회전 위치에 있을 때 제 1 허브에 대해 제 4 상대적인 회전 위치에 있을 수도 있고; 이러한 구현예들에서, 제 3 상대적인 회전 위치들 및 제 4 상대적인 회전 위치들은 서로 위상이 360°/N 벗어날 수도 있다.
장치의 일부 구현예들에서, 허브는 인덱서 암 어셈블리 각각을 위한 독립된 마운팅 인터페이스를 포함할 수도 있고, 마운팅 인터페이스 각각은 신장가능 인터페이스 및 신장가능 인터페이스 둘레에 배열된 3 개의 원뿔형 리세스들을 포함할 수도 있다. 구는 원뿔형 리세스 각각 내에 놓일 (nestle) 수도 있고 인덱서 암 각각은 어퍼처 및 어퍼처에 상대적으로 외측으로 발산하는 (radiating) 3 개의 그루브들을 포함할 수도 있고, 신장가능 인터페이스가 어퍼처를 통해 돌출한다. 대응하는 마운팅 인터페이스의 구 각각은 또한 인덱서 암의 대응하는 그루브 내에 놓일 수도 있고, 그리고 신장가능 인터페이스들 각각은 인덱서 암들 중 대응하는 일 인덱서 암과 마운팅 인터페이스들 중 대응하는 일 마운팅 인터페이스 사이에 구들을 압축하도록 구성될 수도 있다.
장치의 일부 구현예들에서, 인덱서 암 각각과 연관된 신장가능 인터페이스는 스레드된 컴포넌트 및 스레드된 컴포넌트가 팽팽하게 조여지고 배치될 때 인덱서 암 각각과 인덱서 암 각각을 제 1 허브와 연결하는 마운팅 인터페이스 사이에 개재된 (interpose) 구들에 대고 인덱서 암 각각을 압축하도록 구성되는 스프링을 포함할 수도 있다.
장치의 일부 구현예들에서, 장치는 제 1 모터 및 제 2 모터를 담고 제 1 허브 회전 인터페이스를 통해 제 1 허브를 지지하는 모터 하우징을 더 포함할 수도 있고, 그리고 z-축 구동 시스템의 작동에 응답하여 수직 축을 따라 모터 하우징을 병진시키도록 구성된 z-축 구동 시스템을 또한 포함할 수도 있다.
장치의 일부 구현예들에서, 장치는 반도체 프로세싱 챔버 하우징을 더 포함할 수도 있고, 반도체 프로세싱 챔버 하우징은 N 개의 프로세싱 스테이션들을 갖고, 프로세싱 스테이션 각각은 반도체 웨이퍼를 지지하도록 구성된 페데스탈을 포함한다.
장치의 일부 구현예들에서, 프로세싱 스테이션들은 디포지션 동작들, 에칭 동작들, 경화 동작들, 및 열 처리 동작들로 구성된 그룹으로부터 선택된 반도체 프로세싱 동작을 수행하기 위해 구성될 수도 있다.
장치의 일부 구현예들에서, 장치는 메모리 및 하나 이상의 프로세서들을 갖는 제어기를 더 포함할 수도 있다. 메모리 및 하나 이상의 프로세서들은 통신가능하게 연결될 수도 있고, 하나 이상의 프로세서들은 제 1 모터 및 제 2 모터를 제어하도록 구성될 수도 있고, 그리고 메모리는 웨이퍼 지지부 각각이 프로세싱 스테이션들 중 대응하는 일 프로세싱 스테이션으로부터 프로세싱 스테이션들의 이웃하는 프로세싱 스테이션으로 이동하도록, 제 1 허브 및 인덱서 암 어셈블리들로 하여금 회전하게 하고, 프로세싱 스테이션으로부터 프로세싱 스테이션으로의 이동 동안, 또는 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서 (resident), 또는 프로세싱 스테이션으로부터 프로세싱 스테이션으로 이동 동안 그리고 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서, 웨이퍼 지지부 각각이 웨이퍼 지지부 각각의 회전 축을 중심으로 그리고 제 1 방향으로 제 1 양만큼 제 1 허브에 상대적으로 회전하도록, 제 1 허브와 제 2 허브 사이에서 제 1 상대적인 회전 운동을 유발하고, 그리고 프로세싱 스테이션으로부터 프로세싱 스테이션으로의 이동 동안, 또는 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서, 또는 프로세싱 스테이션으로부터 프로세싱 스테이션으로 이동 동안 그리고 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서, 웨이퍼 지지부 각각이 웨이퍼 지지부 각각의 회전 축을 중심으로 그리고 제 1 방향과 반대되는 제 2 방향으로 제 1 양만큼 제 1 허브에 상대적으로 회전하도록, 제 1 허브와 제 2 허브 사이에서 제 2 상대적인 회전 운동을 유발하도록, 제 1 모터, 제 2 모터, 및 제 1 모터와 제 2 모터 중 하나 이상으로 하여금 선택적으로 작동되게 하도록 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현예들에서, N 은 4일 수도 있고 그리고 메모리는 제 2 허브가 고정으로 유지되는 동안 제 1 모터로 하여금 제 1 허브를 제 1 방향으로 90°만큼 회전시키게 하도록 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 더 저장할 수도 있다.
일부 구현예들에서, a) 대응하는 복수의 웨이퍼 지지부들을 갖는 인덱서를 사용하여 대응하는 복수의 페데스탈들로부터 복수의 웨이퍼들을 픽업 (picking up) 하는 단계로서, 웨이퍼 지지부 각각은 인덱서의 인덱서 암의 원위 단부에 회전가능하게 마운팅되고 인덱서 암 각각은 인덱서의 허브에 마운팅되고, 웨이퍼들은 웨이퍼 지지부들에 의해 지지되는, 페데스탈들로부터 웨이퍼들을 픽업하는 단계; b) 웨이퍼 지지부 각각을 페데스탈들 각각의 위의 위치로부터 페데스탈들 중 인접한 일 페데스탈 위의 위치로 이동시키도록 허브 및 인덱서 암들을 제 1 회전 축을 중심으로 회전시키는 단계; c) 단계 (b) 후에 웨이퍼들을 페데스탈들 상에 배치하는 단계; 및 d) 단계 (a) 와 단계 (c) 사이에 웨이퍼 지지부들을 인덱서 암들에 상대적으로 그리고 웨이퍼 지지부들의 회전 축들을 중심으로 회전시키는 단계를 포함하는 방법이 제공될 수도 있다.
이러한 방법의 일부 구현예들에서, 인덱서는 4 개의 인덱서 암들을 가질 수도 있고, 단계 (b) 는 허브 및 인덱서 암들을 90° 만큼 회전시키는 것을 포함할 수도 있고, 단계 (d) 는 인덱서 암들에 상대적으로 웨이퍼 지지부들을 90°만큼 회전시키는 단계를 포함할 수도 있다.
다른 특징들, 양태들 및 이점들은 기술, 도면들 및 청구항들로부터 자명해질 것이다. 이하의 도면들의 상대적인 치수들은 축척대로 도시되지 않을 수도 있다는 것을 주의하라.
본 명세서에 개시된 다양한 구현예들은 유사한 참조번호들이 유사한 참조번호들을 참조하는, 첨부된 도면들의 도면들에서 제한이 아닌 예로서 예시된다.
도 1은 종래의 회전 인덱서에서 웨이퍼 회전을 도시하는 개략도이다.
도 2는 본 명세서에 논의된 바와 같이, 부가적인 회전 축들을 갖는 회전 인덱서에 의해 지지된 웨이퍼 회전을 도시하는 개략도이다.
도 3은 본 명세서에 논의된 회전 인덱서들의 예인, 회전 인덱서를 갖는 예시적인 반도체 프로세싱 툴의 상면도를 도시한다.
도 4는 도 3의 예시적인 회전 인덱서의 부분적으로 펼쳐진 (partially-exploded) 사시도를 도시한다.
도 5는 도 3의 예시적인 회전 인덱서의 또 다른 부분적으로 펼쳐진 사시도를 도시한다.
도 6은 도 3의 예시적인 회전 인덱서의 측면도를 도시한다.
도 7은 일부 부가적인 컴포넌트들이 제거된 도 3의 예시적인 회전 인덱서의 중심의 상세도를 도시한다.
도 8은 대안적인 타이-로드 설계를 도시하는, 다른 예시적인 제 2 허브 및 타이-로드들의 상세한 상면도를 도시한다.
도 9는 특정한 구성의 2 개의 타이-로드들 사이의 오버랩을 도시하는, 예시적인 제 2 허브 및 타이-로드들의 상세한 상면도를 도시한다.
도 10는 예시적인 회전 인덱서의 밑면 (underside) 을 도시하는 도 3의 예시적인 회전 인덱서의 부분적으로 펼쳐진 사시도를 도시한다.
도 11a 내지 도 11e는 인덱서 암들의 원위 단부들에 위치된 회전가능한 웨이퍼 지지부들의 다양한 작동 상태들을 통해 도 3의 예시적인 회전 인덱서를 도시한다.
도 12는 내부 퍼지 가스 플로우 통로들을 갖는 예시적인 회전 인덱서의 부분적인 절단도를 도시한다.
도 13은 본 명세서에 기술된 일부 예들에 따른 회전 인덱서를 동작시키기 위한 예시적인 기법의 흐름도이다.
도 14는 도 3의 회전 인덱서를 위한 예시적인 제어기의 시스템도를 도시한다.
도 3 내지 도 12는 축척이 도면들 사이에서 가변할 수도 있지만, 도면 각각에서 축척대로 도시되었다. 도면들은 본 명세서에서 논의된 개념들의 예만을 도시하고, 본 명세서에 논의된 개념들이 모두 본 개시의 범위 내로 간주되는, 수많은 대안적인 구현예들로 구현될 수도 있다는 것이 용이하게 인식될 것이다.
본 명세서에서 논의된 개념들은 본 명세서에서 논의된 임의의 단일 양태 또는 구현예, 또는 이러한 양태들 및/또는 구현예들의 임의의 조합들 및/또는 치환들로 제한되지 않는다는 것이 중요하다. 더욱이, 본 발명의 양태들 및/또는 이들의 구현예들 각각은 단독으로 또는 다른 양태들 및/또는 이들의 구현예들의 하나 이상의 조합으로 채용될 수도 있다. 간결성을 위해, 많은 이들 치환들 및 조합들은 본 명세서에서 별도로 논의 및/또는 예시되지 않을 것이다.
본 명세서에서 논의된 부가적인 회전 축들을 갖는 회전 인덱서들은 인덱서 암들의 원위 단부들에서 부가적인 회전 자유도를 갖는 종래의 회전 인덱서들과 상이하다. 예를 들어, 종래의 인덱서에서, 제공되는 유일한 회전은 인덱서의 중심 축을 중심으로 전체 허브/암 구조체의 회전이고―그 결과, 허브/암 구조체가 회전될 때, 암 각각의 단부에서 반송되는 (carried) 아이템들은 동일한 회전 축을 중심으로 동일한 방식으로 회전한다. 이는 아이템들, 예를 들어, 반도체 웨이퍼들로 하여금 이 회전 축에 대해 동일한 배향을 유지하게 한다―예를 들어, 아이템 각각의 동일한 부분이 항상 회전가능한 중심 축에 가장 가까울 것이다.
이는 종래의 회전 인덱서에서 웨이퍼 회전을 도시하는 개략도인, 도 1에 도시될 수도 있다. 3 개의 인덱서 위치들이 도시된다―시작 위치는 상단에 있고, 중간 위치는 중앙에 있고, 그리고 최종 위치는 하단에 있다 (이는 상단에 도시된 위치들로부터 하단에 도시된 위치들로의 웨이퍼 이동의 단일 세트이다). 웨이퍼 (108) 각각은 최외곽 에지에 짧은 선/마크를 갖고; 나중에 인덱서 (102) 가 회전되면, 모든 4 개의 웨이퍼들 (108) 이 인덱서 (102) 의 회전 축을 중심으로 회전된다. 그 결과, 선들/마크들을 갖는 웨이퍼들 (108) 의 에지들은 회전 이동 내내 인덱서 (102) 의 회전 축으로부터 가장 먼 웨이퍼들의 에지들로 유지된다. 달리 말하면, 웨이퍼 각각을 지지하는 암에 상대적인 웨이퍼 각각의 배향은 변화되지 않고 유지된다.
그러나, 본 개시에 따른 인덱서들은 암들에 상대적인 웨이퍼들의 배향이 인덱서의 회전 이동 동안, 전에 그리고/또는 후에 변경될 수 있도록 부가적인 회전 운동도를 제공할 수 있다. 그 결과, 인덱서 회전 축에 가장 가까운 웨이퍼들의 에지들 또는 에지들의 부분들은, 웨이퍼들의 상이한 측면들이 스테이션 각각에서 인덱서의 회전 중심에 가장 가깝게 위치될 수도 있도록 변화될 수도 있다. 따라서, 이러한 인덱서들은 상이한 위치들 사이에 웨이퍼를 "인덱싱"할 수도 있을 뿐만 아니라, 이러한 "인덱싱" 동작 동안, 전 또는 후에 웨이퍼들을 "스핀"할 수도 있다.
이는 상기 및 본 명세서의 다른 부분에서 논의된 바와 같이, 부가적인 회전 축들을 갖는 회전 인덱서에 의해 지지된 웨이퍼 회전을 도시하는 개략도인 도 2에 도시될 수도 있다. 도 2는 웨이퍼들 (208) 과 함께 도시된 3 개의 위치들의 회전 인덱서 (202) 를 갖는, 도 1과 유사한 레이아웃을 갖는다. 최상단 위치에 도시된 바와 같이, 웨이퍼들 (208) 의 외측 에지들을 따른 짧은 선들/마크들은 모두 인덱서 (202) 의 회전 중심으로부터 가장 멀고 일반적으로 인덱서 (202) 의 암들과 정렬된다. 중앙 위치에서, 인덱서 (202) 는 시계 방향으로 45° 회전하지만, 웨이퍼들 (208) 은 또한 반시계 방향으로 동일한 양만큼 회전되었다. 그 결과, 웨이퍼를 지지하는 암에 상대적인 웨이퍼 (208) 각각의 배향은 보다 낮은 위치에서 암들에 상대적으로 90°만큼 회전되는 웨이퍼들 (208) 과 함께 변화된다. 이는 웨이퍼들 (208) 의 상이한 에지들로 하여금 도 2의 상단 위치에서보다 인덱서 (202) 의 회전 중심으로부터 가장 멀어지게 한다.
도 3은 본 명세서에서 논의된 회전 인덱서들의 예인 회전 인덱서를 갖는 예시적인 반도체 프로세싱 툴의 상면도를 도시한다. 도 3에서, 4 개의 반도체 프로세싱 스테이션들 (304) 을 포함하는 프로세싱 챔버 (306) 를 갖는 반도체 프로세싱 툴 (300) 이 도시되고, 프로세싱 스테이션 각각은 페데스탈 (310) 을 갖는다. 반도체 프로세싱 스테이션들 (304) 은 중심 축을 중심으로 방사상 또는 원형 어레이로 배열되고, 중심 축을 중심으로 회전하도록 구성된 회전 인덱서 (302) 가 제공된다. 회전 인덱서 (302) 는 이 예에서, 복수의 인덱서 암들 (340), 예를 들어, 4 개의 인덱서 암들을 가질 수도 있고, 인덱서 암들은 제 1 허브 (330) 가 중심 축 (도 10의 중심 축 (352) 참조) 을 중심으로 회전할 때, 암들 (340) 이 허브와 함께 회전하도록 근위 단부 (342) 에서 제 1 허브 (330) 에 부착된다. 인덱서 암들 (340) 의 원위 단부들 (344) 은 웨이퍼 지지부 (338) 를 구비할 수도 있고, 웨이퍼 지지부 각각은 웨이퍼를 지지하는 인덱서 암 (340) 에 상대적으로 그리고 원위 단부 (344) 에 위치된 회전 축 (이러한 회전 축들은 회전 축들 (354) 로서 도 5에 도시됨) 을 중심으로 회전하도록 구성될 수도 있다. 웨이퍼 지지부 (338) 각각은 프로세싱 스테이션들 (304) 간 웨이퍼들 (308) 의 이동 동안 웨이퍼 (308) (웨이퍼들 (308) 은 인덱서 암들의 컴포넌트들로 하여금 보다 용이하게 보이게 하도록 점선 윤곽선으로 도시됨) 를 지지하도록 구성될 수도 있다.
도 4는 도 3의 예시적인 회전 인덱서의 부분적으로 펼쳐진 사시도를 도시한다. 도 4에서 알 수 있는 바와 같이, 인덱서 암 어셈블리들 (336) 중 일 어셈블리는 펼쳐진 방식으로 도시되고; 다른 인덱서 암 어셈블리들 (336) 은 제 1 허브 (330) 에 고정될 때 (일 인덱서 암 어셈블리 (336) 만이 번호가 붙음) 배치되는 것과 같은 이들의 어셈블된 상태들로 도시된다. 참조로, 인덱서 암 어셈블리들 중 일 어셈블리는, 인덱서 암 어셈블리 (336) 의 피처들을 눈에 띄지 않게 하지 않도록 부분적으로 투명하고 점선 에지로 도시된 웨이퍼 (308) 를 지지하는 것으로 도시된다. 인덱서 암 어셈블리 (336) 각각은 제 1 허브 (330) 와 직접적으로 또는 간접적으로 연결된 근위 단부 (342) 를 갖는 인덱서 암 (340) 을 포함할 수도 있다. 인덱서 암 어셈블리 (336) 각각은 또한 인덱서 암 (340) 의 원위 단부 (344) 에 회전가능하게 마운팅된 웨이퍼 지지부 (338) 를 포함할 수도 있다. 예를 들어, 웨이퍼 지지부는 반도체 웨이퍼를 지지하도록 구성된 작은 플레이트 또는 플랫폼일 수도 있다. 웨이퍼 지지부 (338) 는 웨이퍼 지지부의 회전 축, 예를 들어, 웨이퍼 지지부 (338) 가 지지하도록 설계되는 반도체 웨이퍼의 중심을 통과하는 축을 중심으로, 웨이퍼 지지부를 지지하는 인덱서 암 (340) 에 상대적으로 회전할 수도 있다.
일반적으로 말하면, 제 1 허브 (330), 및 제 1 허브에 부착된 인덱서 암 어셈블리들 (336) 은 스테이션으로부터 스테이션으로 웨이퍼들 (308) 을 이동시키기 위해 제 1 허브 (330) 의 회전 축을 중심으로 회전가능할 수도 있다. 이러한 회전에 부가하여, 인덱서 (302) 는 또한 모든 웨이퍼 지지부 (338) 로 하여금 인덱서 암들 (340) 에 상대적으로, 그리고 인덱서 암들 (340) 의 원위 단부들 (344) 에 위치된 각각의 회전 축들을 중심으로 동시에 회전하게 하도록 구성될 수도 있는 작동 메커니즘을 포함할 수도 있다. 작동 메커니즘은 모든 웨이퍼 지지부 (338) 로 하여금 단일 기계적 입력에 응답하여 동시에 회전하게 하도록 더 구성될 수도 있다. 예를 들어, 회전 인덱서 (302) 의 중심에 위치된 공통 회전 드라이브 샤프트는 베벨 또는 다른 타입들의 기어링 (gearing) 을 통해 인덱서 암 (340) 각각을 따라 연장하는 드라이브 샤프트들과 연결될 수도 있고; 드라이브 샤프트 각각은 결국 웨이퍼 지지부 (338) 로 하여금 드라이브 샤프트의 회전에 응답하여 회전하게 할 수도 있다. 대안적인 구현예에서, 플렉서블 벨트들, 예를 들어, 얇은 스테인리스 스틸 벨트들이 인덱서 암들 (340) 에 상대적인 드라이브 샤프트의 회전이 웨이퍼 지지부 (338) 로 하여금 또한 인덱서 암들 (340) 에 상대적으로 회전하게 하도록 웨이퍼 지지부 (338) 각각에 부착된 풀리들 (pulleys) 과 공통 회전 드라이브 샤프트 사이에 루프될 수도 있다.
일부 구현예들에서, 작동 메커니즘은 웨이퍼 지지부 (338) 로 하여금 인덱서 암들 (340) 에 상대적으로 회전하게 하도록 이동가능한 링크부들의 어레이를 활용할 수도 있다. 도 3 및 도 4의 예시적인 회전 인덱서 (302) 는 이러한 메커니즘을 포함한다. 도 4에서 알 수 있는 바와 같이, 회전 인덱서 (302) 의 작동 메커니즘은 제 2 허브 (332) 를 포함한다. 제 2 허브 (332) 는 제 1 허브 (330) 가 회전하도록 구성되는 동일한 회전 축을 중심으로 회전하도록 구성될 수도 있다. 제 1 허브 (330) 및 제 2 허브 (332) 는 제 1 허브 (330) 및 제 2 허브 (332) 가 서로에 대해 다양한 상이한 각도 배향들로 배치될 수도 있도록 독립적으로 회전가능할 수도 있다.
이러한 작동 메커니즘에서, 인덱서 암 어셈블리 (336) 각각은 대응하는 인덱서 암 (340) 의 길이를 따라 연장하는 타이-로드 (346) 를 더 포함할 수도 있다. 타이-로드 (346) 각각은 제 1 회전가능 인터페이스 (356) 을 통해 제 2 허브 (332) 와 회전가능하게 연결되는 근위 단부 (348) 및 제 2 회전가능 인터페이스 (358) 을 통해 대응하는 웨이퍼 지지부 (338) 와 회전가능하게 연결되는 원위 단부 (350) (도 5 참조) 를 가질 수도 있다. 제 1 회전가능 인터페이스 (356) 및 제 2 회전가능 인터페이스들 (358) 은 축 각각을 중심으로 모멘트 암 (moment arm) 을 규정하도록 중심 축 (352) 및 회전 축들 (354) 로부터 각각 일정 거리에 위치될 수도 있다. 회전 축들 (354) 은 예를 들어, 각각의 인덱서 암들 (340) 에 웨이퍼 지지부 (338) 를 회전가능하게 커플링할 수도 있는, 제 3 회전가능 인터페이스들 (360) 을 위한 회전 축들일 수도 있다.
중심 축 (352) 을 중심으로 제 1 허브 (330) 와 제 2 허브 (332) 간 상대적인 회전 운동이 유도될 때, 타이-로드들 (346) 은 일반적으로, 타이-로드들이 회전가능하게 연결되는 웨이퍼 지지부 (338) 로 하여금 웨이퍼 지지부 (338) 가 부착되는 인덱서 암들 (340) 에 상대적인 회전 축들 (354) 을 중심으로 회전하는 방사상 방식으로 이동된다 (타이-로드들 (346) 이 인접한 인덱서 암들 (340) 로부터 멀어지고 나중에 인접한 인덱서 암들 (340) 에 보다 가까워질 뿐만 아니라 일부 접선에 따른 운동이 있음). 제 1 허브 (330) 와 제 2 허브 (332) 간 상대적인 회전 운동이 존재하지 않으면, 웨이퍼 지지부 (338) 는 인덱서 암들 (340) 에 상대적인 위치에 고정된 채로 유지될 것이다.
이러한 회전 인덱서는 따라서 (제 1 허브 (330) 를 정지된 채 유지하는 동안 제 2 허브 (332) 를 회전시킴으로써) 인덱서 암들 (340) 의 어떠한 대응하는 회전 없이 인덱서 암들 (340) 에 상대적인 웨이퍼 지지부 (338) 의 회전, (제 1 허브 (330) 및 제 2 허브 (332) 를 동기하여 (그리고 동일한 양만큼) 회전시킴으로써) 인덱서 암들 (340) 에 상대적인 웨이퍼 지지부 (338) 의 어떠한 대응하는 회전 없이 중심 축 (352) 을 중심으로 인덱서 암들 (340) 의 회전, 및 (제 2 허브 (332) 와 동기하지 않고 제 1 허브 (330) 를 회전시킴으로써, 예를 들어, 제 2 허브 (332) 를 정지된 채 유지하는 동안 중심 축 (352) 을 중심으로 제 1 허브 (330) 를 회전시킴으로써 또는 중심 축 (352) 을 중심으로 제 1 허브 (330) 및 제 2 허브 (332) 를 상이한 레이트로 회전시킴으로써) 인덱서 암들 (340) 에 상대적인 웨이퍼 지지부 (338) 의 동시 회전과 함께 중심 축 (352) 을 중심으로 인덱서 암들 (340) 의 회전을 제공하도록 구동될 수도 있다.
일부 구현예들에서, 도시된 예에서와 같이, 타이-로드들 (346) 에 의해 규정된 모멘트 암들이 동일하도록 제 1 회전가능 인터페이스들 (356) 각각과 중심 축 (352) 사이의 거리들은 제 2 회전가능 인터페이스들 (358) 각각과 대응하는 회전 축들 (354) 사이의 거리들과 같을 수도 있다. 이러한 구현예에서, 웨이퍼 지지부 (338) 와 인덱서 암들 (340) 사이의 상대적인 회전은 제 1 허브 (330) 와 제 2 허브 (332) 사이의 상대적인 회전과 동일할 수도 있다. 이러한 구현예는 일 스테이션으로부터 다음 스테이션으로의 웨이퍼 각각의 이동이 제 2 허브 (332) 를 정지된 채 유지하는 동안 제 1 허브 (330) 만을 회전시킴으로써 달성될 때, 웨이퍼 각각으로 하여금 회전 인덱서 (302) 에 의해 웨이퍼가 이송되는 프로세싱 스테이션과 무관하게 (예를 들어, 반도체 프로세싱 툴에 상대적인) 동일한 절대 배향으로 유지되게 할 수도 있기 때문에 특히 효과적일 수도 있다.
도 6은 도 3의 예시적인 회전 인덱서의 측면도를 도시한다. 도 6에 도시된 바와 같이, 회전 인덱서 (302) 는 반도체 프로세싱 툴 (300) 내에 마운팅될 수도 있는 베이스 (312) 를 포함할 수도 있다. 베이스 (312) 는 회전 인덱서 (302) 를 작동시키도록 사용될 수도 있는 다양한 시스템을 하우징할 수도 있다. 예를 들어, 베이스 (312) 는 제 1 모터 (318) 및 제 2 모터 (320) 를 하우징할 수도 있는 모터 하우징 (322) 을 가질 수도 있다. 제 1 모터 (318) 는 제 1 샤프트 (314) 에 의해 제 1 허브 (330) 와 연결될 수도 있고, 그리고 제 2 모터 (320) 는 제 2 샤프트 (316) 에 의해 제 2 허브 (332) 와 연결될 수도 있다. 따라서, 제 1 모터 (318) 는 제 1 허브 (330) 를 회전시키도록 작동될 수도 있고, 그리고 제 2 모터는 제 2 허브 (332) 를 회전시키도록 작동될 수도 있다.
일부 구현예들에서, 회전 인덱서 (302) 는 또한 수직이동을 위해서도 구성될 수도 있다. 예를 들어, z-축 구동 시스템 (324) 은 모터 하우징 (322), 제 1 모터 (318), 제 2 모터 (320), 제 1 허브 (330), 및 제 2 허브 (332) 를 수직으로 위아래로 드라이빙하도록 제공될 수도 있고, 이에 따라 인덱서 암들 (340) 로 하여금 수직으로 이동하게 한다. z-축 구동 시스템 (324) 은, 일부 구현예들에서, 모터 하우징 (322) 에 부착된 볼-스크루 (326) 을 통과하는 스레드된 샤프트 (328) 를 회전시키도록 구성된 제 3 모터 (3102) 를 포함할 수도 있고, 이에 따라 제 3 모터 (3102) 가 작동될 때 수직 이동을 유발한다.
제 1 허브 (330) 및 제 2 허브 (332) 가 서로에 대해 회전하는 것과 동일한 양만큼 웨이퍼 지지부 (338) 가 축들 (354) 을 중심으로 인덱서 암들 (340) 에 상대적으로 회전하는 상기 논의된 바와 같이 작동 메커니즘을 갖는 구현예들에서, 타이-로드들 (346) 을 제 2 허브 (332) 에 링크하는 제 1 회전가능 인터페이스들 (356) 은 이러한 제 1 허브 (330) 와 제 2 허브 (332) 간의 상대적인 회전 동안, 이러한 회전에 앞서 인접한 제 1 회전가능 인터페이스 (356) 이 있던 것과 동일한 위치에 있도록 이동될 수도 있다. 도 7은, 일부 부가적인 컴포넌트들이 제거된, 예를 들어, 인덱서 암 어셈블리 (336) 를 갖고, 제 1 허브 (330) 가 제거되고, 다양한 다른 컴포넌트들이 생략된 이러한 회전 인덱서의 예인, 도 3의 예시적인 회전 인덱서의 중심의 상세도를 도시한다.
도 7에 도시된 바와 같이, 타이-로드들 (346) 은 오프셋 영역 (364) 을 더 포함할 수도 있다. 오프셋 영역들 (364) 은 타이-로드들 (346) 의 길이를 따라, 즉, 세로 방향으로, 어느 정도 거리로 연장될 수도 있다. 오프셋 영역 (364) 각각은 오프셋 영역 각각의 타이-로드 (346) 에 대한 제 1 회전가능 인터페이스 (356) 의 회전 중심 축으로부터 제 1 길이방향 거리 (368) 인 위치에서 시작하는 것으로 간주될 수도 있고 오프셋 영역 각각의 타이-로드 (346) 에 대한 제 1 회전가능 인터페이스 (356) 의 회전 중심 축으로부터 제 2 길이방향 거리 (370) 인 위치에서 종료되는 것으로 간주될 수도 있다. 일반적으로 말하면, 제 1 길이방향 거리 (368) 및 제 2 길이방향 거리 (370) 는 각각, 인접한 제 1 회전가능 인터페이스들 (356) 의 회전 중심 축들 간 제 1 거리 (366) 보다 작고 보다 크도록 선택될 수도 있다. 도 7에 도시된 바와 같이, 제 1 회전가능 인터페이스들 (356) 은 점선 윤곽선으로 나타내고, 보이지 않는 (missing) 제 1 회전가능 인터페이스 (356) 와 인터페이싱하는 포스트 (3100) 가 도시된다 (이 예의 제 1 회전가능 인터페이스들 (356) 은 회전하는 베어링 어셈블리들, 예를 들어, 볼 베어링들, 롤러 베어링들, 또는 다른 유사한 디바이스들임).
오프셋 영역 (364) 각각은 오프셋 영역 각각의 타이-로드 (346) 가 상기 기술된 바와 같은 회전 운동 동안 인접한 타이-로드 (346) 의 근위 단부 (348) 와 접촉하지 않거나 충돌하지 않는 부분이도록, 즉, 제 1 회전가능 인터페이스 (356) 각각이 인접한 제 1 회전가능 인터페이스 (356) 에 의해 마지막으로 점유된 위치에 앞선 위치에 있는 경우이도록 구성될 수도 있다. 따라서, 오프셋 영역 (364) 의 타이-로드 (346) 는 타이-로드 (346) 의 일반적인 형상으로부터의 조그 (jog) 또는 다른 일탈을 포함할 수도 있다.
도 7에 도시된 구현예에서, 예를 들어, 오프셋 영역 (364) 은 회전 인덱서가 수직 배향의 중심 축 (352) 과 함께, 그리고 인접한 타이-로드 (346) 의 제 1 회전가능 인터페이스 (356) 바로 위 인접한 타이-로드 (346) 의 근위 단부 (348) 의 최상위 표면 (362) 보다 높은, 인덱서 암들 (340) 아래 베이스 (340) 를 갖고 위치되는 높이에 위치된 최하위 표면 (390) (도 7에 도시되지 않고, 도 10을 참조) 을 포함한다. 이는 제 1 회전가능 인터페이스 (356) 각각이 인접한 제 1 회전가능 인터페이스 (356) 에 의해 마지막으로 점유된 위치에 앞선 위치에 있을 때, 타이-로드들 (346) 의 근위 단부들 (348) 로 하여금 (어떠한 타이-로드들 (346) 도 서로 콘택트하지 않고) 인접한 타이-로드들 (346) 의 오프셋 영역들 (364) 의 밑으로 통과하게 한다. 도 9는 타이-로드 (346) 의 근위 단부 (348) 가 또 다른 타이-로드 (346) 의 오프셋 영역 (364) 밑을 통과할 수도 있는 방법을 도시하는 상세도이다.
오프셋 영역 개념은 또한 "수직" 이 아닌 "수평"인 방식으로 채용될 수도 있다. 예를 들어, 도 8은 이러한 구현예를 도시한다. 알 수 있는 바와 같이, 오프셋 영역 (864) 은 타이-로드 (846) 의 명목상 중심선 (이 도면에서 이점-쇄선 (dash-dot-dot line) 으로부터 인접한 타이-로드 (346) 의 근위 단부 (848) 가 오프셋 영역 (864) 을 갖는 것으로 도 8에 도시된 타이-로드 (846) 와 콘택트하지 않는 도시된 위치로 이동될 수도 있도록 선택될 수도 있는 거리 D만큼 오프셋된다.
본 명세서에 개시된 부가적인 회전 축들을 갖는 회전 인덱서들은 특정한 타입들의 반도체 프로세싱 장비에 사용될 때 특히 유리할 수도 있다. 예를 들어, 멀티-스테이션 증착 프로세싱 툴 또는 에칭 프로세싱 툴에서, 프로세싱 스테이션들의 어레이의 중심을 향해, 예를 들어, 중심 축 (352) 을 향해 바이어스되는 웨이퍼들에 프로세스 불균일성이 있을 수도 있다.
이러한 반도체 프로세싱 툴에서 스테이션으로부터 스테이션으로 웨이퍼들을 이동시키기 위해 종래의 회전 인덱서가 사용된다면, 웨이퍼들의 동일한 에지들이 모든 스테이션에서 중심 축 (352) 에 가장 가까울 수도 있기 때문에, 웨이퍼들은 프로세싱 스테이션 각각에서 동일한 방식으로 이러한 불균일성을 겪을 수도 있다. 그러나, 본 명세서에 개시된 바와 같이, 이러한 반도체 프로세싱 툴에서 스테이션으로부터 스테이션으로 웨이퍼들을 이동시키기 위해 부가적인 회전 축들을 갖는 회전 인덱서가 사용된다면, 스테이션 각각에서 웨이퍼들의 상이한 에지 또는 에지의 일부가 중심 축 (352) 에 가장 가까울 수도 있도록 웨이퍼들은 스테이션으로부터 스테이션으로 로테이팅될 수도 있다. 이는 불균일성들을 평균하거나 완화시키게 할 수도 있고, 이에 따라 웨이퍼 프로세싱 품질을 향상시킨다.
본 명세서에서 논의된 부가적인 회전 축들을 갖는 회전 인덱서들이 특정한 배경, 예를 들어, 증착 프로세싱 반도체 프로세싱 툴 또는 에칭 프로세싱 반도체 프로세싱 툴에서 사용될 때, 상기 기술된 특징들 이상의 다른 특징들을 포함하도록 일부 이러한 환경들에서 유리할 수도 있다. 예를 들어, 일부 구현예들에서, 인덱서 암들 (340), 타이-로드들 (346), 및/또는 웨이퍼 지지부 (338) 는, 저 열 팽창 계수, 예를 들어, 대략 8 ㎛/m/C를 갖고 일반적으로 부식 또는 화학적 침식에 내성이 있는, 알루미늄 옥사이드와 같은 세라믹 재료로부터 제조될 수도 있다. 증착 동작 및 에칭 동작은 회전 인덱서로 하여금 가열되고 열 팽창을 경험하게 하는 상승된 온도 또는 큰 온도 변동들을 수반할 수도 있다. 인덱서 암들 (340) 의 길이로 인해, 이러한 열 팽창 (또는 냉각된다면, 수축) 은 웨이퍼들로 하여금 웨이퍼 지지부 (338) 의 회전 축들 (354) 과 약간 어긋나는 인덱서 암들에 의해 지지되게 할 수도 있고, 이는 결국, 웨이퍼들로 하여금 회전 축들 (354) 을 중심으로 회전될 때 더 어긋나게 한다. 어느 정도의 어긋남 (misalignment) 이 용인가능할 수도 있지만, 세라믹 또는 저 열 팽창 계수를 갖는 다른 재료의 사용은 이러한 어긋남으로 하여금 감소되거나 최소화되거나 그렇지 않으면 용인가능한 레벨로 유지되게 할 수도 있다. 일부 경우들에서, 인덱서 암 어셈블리들 (336) 의 부가적인 컴포넌트들은 또한 유사한 재료들로 이루어질 수도 있다. 예를 들어, 제 1 회전가능 인터페이스, 제 2 회전가능 인터페이스 및 제 3 회전가능 인터페이스 (356, 358, 및 360) 는 세라믹 볼 베어링들, 세라믹 롤러 베어링들, 또는 세라믹 스러스트 베어링들 (ceramic thrust bearings), 예를 들어, 내측 레이스 (race) 및 외측 레이스를 갖는 베어링들, 뿐만 아니라 세라믹 재료로 이루어진 롤링 엘리먼트들일 수도 있다. 인덱서 암 어셈블리들 (336) 의 다른 엘리먼트들은 또한 세라믹 재료로 이루어진, 예를 들어, 스크루들, 베어링 캡들, 리테이너 컵들 (retainer cups), 등일 수도 있다. 제 1 허브 (330) 및 제 2 허브 (332) 는 또한 세라믹 재료로 이루어질 수도 있지만, 많은 구현예들에서, 보다 견고하고 제조하기 쉬운 재료, 예를 들어, 스테인리스 스틸 또는 알루미늄으로 이루어질 수도 있다. 이러한 금속-기반 재료들은 화학적 침식에 보다 민감할 수도 있지만, 또한 제 1 허브 (330) 및 제 2 허브 (332) 가 프로세싱 챔버 하우징 (306) 의 중심에 남아 있을 수도 있고 겪고 있는 회전 운동과 무관하게 언제든 프로세싱 스테이션들 (304) 을 통과하지 않는다는 사실로 인해 반도체 프로세싱시 사용된 활성 종으로부터 보다 절연될 수도 있다.
이러한 구현예들에서, 인덱서 암 어셈블리들 (336) 은 대응하는 수의 마운팅 인터페이스들을 통해 제 1 허브 (330) 와 연결될 수도 있다. 이러한 마운팅 인터페이스들은 인덱서 암 어셈블리들 (336) 로 하여금 용이하게 설치되게 하고, 중심 축 (352) 과 정렬되게 하고, 제 1 허브 (330) 에 상대적으로 제자리에 고정되게 할 수도 있다.
도 5는 도 3의 예시적인 회전 인덱서의 부분적으로 펼쳐진 또 다른 사시도를 도시한다. 도 10은 예시적인 회전 인덱서의 밑면을 도시하는, 도 3의 예시적인 회전 인덱서의 부분적으로 펼쳐진 사시도를 도시한다. 도 5 및 도 10에서, 일 예시적인 마운팅 인터페이스의 상세들이 도시된다 (이러한 피처들은 또한 도 4에서도 보인다).
도 5 및 도 10에서, 마운팅 플레이트 (374) 가 제공될 수도 있고, 마운팅 플레이트는 중심 마운팅 위치, 예를 들어, 스레드된 홀을 중심으로 배열된 복수의, 예를 들어, 3 개의, 원뿔형 리세스들 (378) 을 포함한다. 도시된 예에서, 도시된 3 개의 원뿔형 리세스들 (378) 은 중심 마운팅 위치를 중심으로 고르게 이격된 원형 패턴으로 배열된다.
원뿔형 리세스 (378) 각각은 리세스 각각에 놓인 구형 베어링 (380) 을 가질 수도 있고; 구형 베어링들은 인덱서 암들 (340) 또는 마운팅 플레이트 (374) 와 동일한 재료로 이루어질 수도 있고, 또는 원한다면 상이한 재료로 이루어질 수도 있다.
인덱서 암들 (340) 은 마운팅 플레이트 (374) 에 연결될 수도 있는 신장가능 인터페이스 (376) 를 수용하도록 구성될 수도 있는 어퍼처 (382) (도 4 참조) 를 포함할 수도 있다. 신장가능 인터페이스 (376) 는 인덱서 암들 (340) 을 마운팅 플레이트 (374) 에 보다 가깝게 가져가기 위해 조여질 수도 있고, 이에 따라 2 개의 컴포넌트들 사이에 구들 (380) 을 압축한다. 신장가능 인터페이스 (376) 는, 예를 들어, 스레드된 컴포넌트 (386), 예를 들어, 스크루 또는 볼트, 및 스프링 (388), 예를 들어, Belleville 워셔 (washer), 및 스레드된 컴포넌트 (386) 에 의해 생성된 압축력을 스프링 (388) 으로 전달하기 위해, 도시된 바와 같은, 어댑터를 잠재적으로 포함할 수도 있다. 따라서, 스레드된 컴포넌트 (386) 가 마운팅 플레이트 (374) 내로 스크루될 때, 스프링 (388) 은 압축될 수도 있고, 이에 따라, 인덱서 암 (340) 상에 압축력을 가한다. 물론, 스프링 (388) 의 존재가 과도한 조임 (overtightening) 위험을 감소시킬 수도 있고 또한 인덱서 암 상으로 압축 부하를 분산시키는 것을 돕도록 작용할 수도 있지만, 일부 구현예들에서, 사용되지 않을 수도 있다. 세라믹 인덱서 암 (340) 에 대해, 이는 세라믹 컴포넌트들에서 크랙들이 시작될 위험을 감소시킬 수도 있기 때문에 특히 유리할 수도 있다.
마운팅 플레이트에 상대적으로 인덱서 암 어셈블리들 (336) 을 적절히 정력하는 것을 보조하기 위해, 구들 (380) 에 콘택트하는 인덱서 암들 (340) 의 밑면들은 어셈블리가 함께 볼트 접합될 때 구들 (380) 을 수용하도록 구성될 수도 있는 복수의 그루브들 (384) 을 포함할 수도 있다. 특정한 인덱서 암 (340) 을 위한 그루브 (384) 는, 예를 들어, 인덱서 암 (340) 에 상대적인 마운팅 플레이트 (374) 의 열 팽창 또는 수축 동안, 구들 (380) 이 인덱서 암 (340) 을 바인딩하지 않고 그리고 인덱서 암 (340) 으로 하여금 예를 들어, 신장가능 인터페이스의 중심으로부터 중심이 벗어나 이동하게 하지 않고 공통 지점 (어퍼처 (382) 의 중심) 으로부터 그루브들을 따라 방사상 내측으로 또는 방사상 외측으로 슬라이딩할 수도 있도록, 어퍼처 (382) 의 중심으로부터 외측으로 연장하는 방사상 경로를 따를 수도 있다. 마운팅 플레이트 (374) 는 일부 구현예들에서, 예를 들어, 알루미늄 또는 스테인리스 스틸로 이루어질 수도 있고 이러한 구현예들에서, 인덱서 암들 (340) 은 세라믹 재료로 이루어질 수도 있기 때문에, 상기 그루브/구/원뿔형 리세스 인터페이스들과 같은 인터페이스들은 이러한 컴포넌트들로 하여금 어긋남 또는 과도한 (undue) 스트레스를 유발하지 않고 (작용하는 (in play) 상이한 열 팽창 계수로 인해) 상이한 열 팽창 거동 (behavior) 을 경험하게 할 수도 있다. 이러한 동역학적 (kinematic) 마운트는, 구들 (380) 과 그루브들 (384) 사이에 슬라이딩 모션이 있지만, 구들 (380) 과 원뿔형 리세스들 (378) 사이에 모션이 거의 없거나 없다면 (원뿔형 리세스들 (378) 은 그루브들 (384) 보다 구들 (380) 과 보다 큰 콘택트 면적을 가져 그루브들 (384) 보다 원뿔형 리세스들 (378) 에 상대적으로 대체로 보다 높은 마찰 부하 (마찰 계수는 대체로 등가라고 가정함) 를 보임), 이에 따라 세라믹 부품과 비세라믹, 예를 들어, 금속 부품 사이의 슬라이딩/연마 동작을 방지하도록, 세라믹 구들을 사용하여 구성될 수도 있다.
도시된 예에서, 인덱서 암 어셈블리들 (336) 은 마운팅 플레이트들 (374) 에 마운팅될 수도 있고, 발생되는 어셈블리들은 나중에 제 1 허브 (330) 에 마운팅될 수도 있다. 마운팅 플레이트들 (374) 을 제 1 허브 (330) 에 고정하는 볼트들이 조여지기 전에, 픽스처 (fixture) 가 회전 인덱서 (302) 에 마운팅될 수도 있다. 예를 들어, 픽스처는 제 1 허브 (330) 또는 제 2 허브 (332) 상에 센터링되게 하는 센터링 피처, 뿐만 아니라 인덱서 암들 (340) 을 따라 적어도 어느 정도 거리를 연장하는 암들 또는 다른 구조체를 가질 수도 있다. 픽스처는 센터링된 위치의 회전 인덱서 (302) 에 고정될 수도 있고, 이어서 인덱서 암 어셈블리 (336) 각각은 인덱서 암 어셈블리들 (336) 상의 정렬 피처들, 예를 들어, 홀들이 픽스처 상의 대응하는 정렬 피처들과 인터페이싱하도록 조정될 수도 있다. 인덱서 암 어셈블리 (336) 각각이 픽스처와 정렬된 후, 인덱서 암 어셈블리 각각을 위한 마운팅 플레이트 (374) 는 마운팅 플레이트를 제 1 허브 (330) 에 고정하는 패스너들 (fasteners) 을 조임으로써 제자리에 클램핑될 수도 있다. 일단 인덱서 암 어셈블리들 (336) 모두가 제자리에 설치되고 고정되면, 픽스처는 회전 인덱서 (302) 를 사용하기 전에 제거될 수도 있다. 다른 구현예들에서, 다른 타입들의 마운팅 인터페이스들은 인덱서 암 어셈블리들 (336) 을 제 1 허브 (330) 에 고정하도록 사용될 수도 있다.
도 5 및 도 10에는 예를 들어, 정지부 (394) 및 아크-형상 그루브 또는 리세스 (392) 를 포함할 수도 있는 정지 메커니즘이 또한 도시된다. 정지 메커니즘은, 작동 메커니즘으로 하여금 바인딩 또는 손상되게 할 수도 있는 과도한 회전을 방지하기 위해 제 1 허브 (330) 및 제 2 허브 (332) 로 하여금 단지 미리 규정된 양, 예를 들어, 4 개의 암 인덱서의 경우 90° 내지 95°만큼 서로에 상대적으로 회전하게 할 수도 있다.
도 11a 내지 도 11e는 인덱서 암들의 원위 단부들에 위치된 회전가능 웨이퍼 지지부들의 다양한 작동 상태들을 통한 도 3의 예시적인 회전 인덱서를 도시한다. 이 예에서, 제 1 허브 (330) 는 정지된 채 유지되고 제 2 허브 (332) 는 시계 방향으로 (연속적인 도면들의 쌍 각각 사이에서 22.5° 만큼) 회전된다. 앞선 도면 각각에서 이동하는 부품의 위치들의 윤곽선들은 대시선 또는 파선으로 도시된다. 제 1 허브 (330) 가 회전되는 한편, 제 2 허브 (332) 가 정지된 채 홀딩된다면, 웨이퍼 지지부 (338) 는 모든 인덱서 암들 (340) 이 중심 축 (352) 을 중심으로 회전하는 동시에 정확하게 동일한 방식으로 인덱서 암들 (340) 에 상대적으로 회전한다는 것이 이해될 것이다. 따라서, 웨이퍼 지지부 (338) 에 의해 지지되는 웨이퍼들은, 말그대로 좌표 시스템에 상대적인 절대 각도 배향이 동일하게 유지되도록, 웨이퍼들 스테이션으로부터 스테이션으로 동시에 이동될 수도 있고 이러한 이동 각각 동안 회전될 수도 있다.
일부 구현예들에서, 구체적으로 디포지션 동작들을 수행하는 반도체 프로세싱 장비에 사용된 회전 인덱서들에 대해, 부가적인 피처들이 퍼지 가스, 예를 들어, 아르곤, 헬륨 또는 질소를 다양한 회전가능 인터페이스들로 보내도록 (route) 제공될 수도 있다. 퍼지 가스로 하여금 회전가능 인터페이스 각각을 통해 흐르게 함으로써, 베어링들 상에서 증착이 달리 발생하게 할 수도 있는, 프로세싱 가스들은 회전가능 인터페이스들로부터 또는 멀리 플러싱할 수도 있어서, 이러한 컴포넌트들의 표면들 상에서 증착을 방지하고, 회전가능 인터페이스들의 수명을 연장한다.
도 12는 일부 컴포넌트들의 다양한 부분들이 절단된 회전 인덱서의 일부를 도시한다. 도 12에서, 제 2 샤프트 (번호가 붙지 않았지만, 도 6 참조) 는 비어 있을 수도 있고 위치 333에서 제 2 허브 (332) 로 퍼지 가스를 보내기 위한 도관으로서 사용될 수도 있다. 제 2 허브 (332) 는 다양한 포트들 또는 가스 플로우 통로들과 유체로 연통할 수도 있는 (그렇지 않으면 다양한 포트들 또는 가스 플로우 통로들을 향해 흐르도록 퍼지 가스를 바이어스할 수도 있는) 하나 이상의 도관들 또는 가스 경로들 (335, 337) 을 가질 수도 있다. 예를 들어, 제 2 허브 (332) 를 제 1 회전 인터페이스들 (356) 과 연결할 수도 있는 포스트들 (3100) 은 퍼지 가스 플로우 경로들과 유체로 연결되는 홀들을 내부에 가질 수도 있다. 이는 퍼지 가스로 하여금 포스트들 (3100) 통해 위로 그리고 타이-로드들 (346) 의 근위 단부들 (348) 내로 흐르게 할 수도 있고, 퍼지 가스 중 일부는 (베어링들의 볼들 또는 롤러들 사이에서와 같은) 제 1 회전 인터페이스 (356) 를 통해 흐를 수도 있고 포스트들 (3100) 을 통해 흐르는 퍼지 가스의 나머지 부분은 타이-로드들 (336) 내부의 통로들 (331) 내로 흐를 수도 있다. 타이-로드들 (336) 내에 있는 통로들 (331) 은 타이-로드들 (336) 의 원위 단부들 (350) 로 나갈 때까지 타이-로드들 (336) 의 전체 길이를 따라 연장할 수도 있어서, 퍼지 가스로 하여금 또한 제 2 회전가능 인터페이스 (358) 를 통해 흐르게 한다. 도 12에서, 진한 검정색 선들 및 화살표들은 퍼지 가스를 위한 대표적인 플로우 경로들을 도시하도록 사용되고, 점선들은 플로우 경로만이 절단 평면으로부터 벗어나는 상황들에서 일반적인 플로우 경로 방향들을 도시하기 위해 일부 위치들에서 사용될 수도 있다.
인덱서 암들 (340) 은 또한 제 1 허브 (330) 및 제 2 허브 (332) 의 통로들 또는 채널들을 통해 퍼지 가스가 제공될 수도 있는 내부 가스 플로우 통로들 (331') 을 가질 수도 있다. 이러한 퍼지 가스는 또한 원치 않은 증착으로부터 제 3 회전가능 인터페이스들 (360) 을 보호하기 위해 인덱서 암들 (340) 의 원위 단부들 (344) 에 위치된 제 3 회전가능 인터페이스들 (360) 로 흐를 수도 있다.
다른 배열들의 가스 플로우 통로들 및 도관들이 또한 사용될 수도 있고, 본 개시의 범위 내인 것으로 간주된다는 것이 이해된다.
도 13은 부가적인 회전 축들을 갖는 회전 인덱서를 제어하기 위한 일 기법에 대한 예시적인 흐름도를 도시하고; 문제의 회전 인덱서는 N 개의 동일하게-이격된 인덱서 암들을 포함하고, 여기서 N은 1보다 큰 정수이다. 도 13의 기법은 이러한 회전 인덱서를 사용하여 반도체 프로세싱 툴에서 일 스테이션으로부터 다음 스테이션으로 웨이퍼들의 세트를 순차적으로 전진시키도록 (advance) 취해진 동작들을 나타낸다. 이 기법은 다른 웨이퍼 전진을 수행하기 위해 반복될 수도 있다.
블록 1302에서, 페데스탈 각각 상의 웨이퍼들은, 회전 인덱서의 웨이퍼 지지부들로 하여금 웨이퍼들 밑으로 이동되게 하도록 웨이퍼로 하여금 페데스탈 상부 표면으로부터 리프팅되게 하기 위해, 예를 들어, (페데스탈 각각 내에 위치된 핀들이 상향으로 이동하고, 또는 페데스탈이 하향으로 이동하는) 리프트-핀 시스템을 활성화함으로써 각각의 페데스탈들로부터 리프팅될 수도 있다.
블록 1304에서, 제 1 모터 및 제 2 모터는 모두 +180°/N 회전하도록 (또는 제 1 허브로 하여금 이 양으로 회전하게 하도록) 작동될 수도 있고; 이는 인덱서 암 각각이 회전 인덱서가 사용 중이 아닐 때 웨이퍼 프로세싱 동작들을 방해하지 않도록 스테이션 각각 사이의 중간에 넣어진다고 (stow) 가정한다. 이러한 회전은 인덱서 암들 및 이들의 웨이퍼 지지부들로 하여금 웨이퍼 지지부들이 웨이퍼들 아래에 있는 위치들로 이동하게 할 수도 있다.
블록 1306에서, 리프트 핀들은 회전 인덱서의 웨이퍼 지지부들에 의해 웨이퍼들로 하여금 리프트 핀들로부터 리프팅되게 하도록 하강될 수도 있다 (또는 회전 인덱서가 상승됨).
블록 1308에서, 제 1 모터는, 제 2 모터가 비활성이거나 그렇지 않으면 비작동되는 동안, 제 1 허브로 하여금 360°/N 회전하게 하도록 작동될 수도 있다. 그 결과, 인덱서 암들은 자신의 스테이션들로부터 다음 인접한 스테이션들로 웨이퍼들을 이동시키도록 회전될 수도 있지만, 동시에, 웨이퍼 지지부들은 동일한 절대 각도 배향으로 웨이퍼들을 유지하도록 동일한 방향으로 동일한 양만큼 인덱서 암들에 상대적으로 회전할 수도 있다.
블록 1310에서, 리프트 핀들은 웨이퍼 지지부들로부터 웨이퍼들을 리프팅하도록 사용될 수도 있다 (또는 회전 인덱서는 웨이퍼로 하여금 리프트 핀들 상에 놓이게 하도록 하강될 수도 있고 웨이퍼 지지부들로부터 리프팅될 수도 있다).
블록 1312에서, 제 1 모터는 제 1 허브로 하여금 이전의 회전들과 반대되는 방향으로 180°/N 회전하게 하도록 작동될 수도 있지만, 동시에, 제 2 모터는 제 2 허브로 하여금 이전의 회전들과 동일한 방향으로 180°/N 회전하게 하도록 작동될 수도 있다. 따라서, 제 1 허브와 제 2 허브 간의 상대적인 회전 운동은 -360°/N이 되고, 이는 웨이퍼 지지부들로 하여금 블록 1302와 1304 사이에서, 인덱서 암들에 상대적인 동일한 각도 위치로 회전하게 할 수도 있어서, 웨이퍼 지지부들의 포지셔닝을 효과적으로 리셋한다. 동시에, 인덱서 암들은 프로세싱 스테이션들 쌍 각각 사이의 중간의 "넣어진" 위치들로 이동될 수도 있다.
일단 인덱서 암들이 프로세싱 스테이션들을 비우면 (clear), 블록 1314는 다른 반도체 프로세싱 동작을 위해 페데스탈들 상으로 웨이퍼들을 하강시키도록 수행될 수도 있다. 상기 주지된 바와 같이, 이 프로세스는 프로세싱 스테이션들의 어레이를 통해 웨이퍼들을 계속해서 진행시키는 것이 목표됨에 따라, 반복될 수도 있다.
앞서 논의된 바와 같이, 스테이션들 사이에서 웨이퍼 이송을 수행하도록 회전 인덱서를 제어하기 위한 많은 방식들이 있다는 것이 이해될 것이다. 제 1 허브 및 제 2 허브는 동시에 이동하도록, 상이한 레이트들 및/또는 상이한 방향들로 이동하도록, 등을 위해 구동될 수도 있다. 본 명세서에 기술된 회전 인덱서들을 동작시키기 위한 모터들을 작동시키는 방법들의 모든 상이한 조합들이 본 출원의 범위 내에 있는 것이 인식될 것이다.
상기 논의된 바와 같이, 일부 구현예들에서, 제어기는 본 명세서에서 논의된 회전 인덱서 시스템들의 일부일 수도 있다. 도 14는 웨이퍼 이송 동작들 동안 제 1 모터 (318), 제 2 모터 (320), 및 존재한다면, 제 3 모터 (3102) 의 동작을 제어하기 위한 전자제품들과 통합될 수도 있는, 하나 이상의 프로세서들 (14106) 및 메모리 (14108) 를 갖는 예시적인 제어기 (14104) 의 개략도를 도시한다. 프로세싱 요건들 및/또는 시스템 타입에 따라, 제어기는 회전 인덱서를 제어하기 위한 프로세스들과 같은 본 명세서에 개시된 프로세스들 중 임의의 프로세스들, 뿐만 아니라 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 챔버 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들과 같은, 본 명세서에 논의되지 않은 다른 프로세스들 또는 파라미터들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 본 개시에 따른 예시적인 회전 인덱서들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 갖는 반도체 프로세싱 툴들에 마운팅될 수도 있고 또는 반도체 프로세싱 툴들의 일부일 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
용어 "세트 (set)"는 추가로 한정되지 않는 한, 하나 이상의 아이템들의 세트를 지칭하는 것으로 이해된다―복수의 아이템들이 있다고 암시하는 다른 표현이 있지 않는 한, 복수의 아이템들이 존재할 필요는 없다. 예를 들어, "2 이상의 아이템들의 세트"는 최소한, 2 개의 아이템들이 세트 내에 있어야 하는 것으로 이해된다. 반대로, "하나 이상의 아이템들의 세트"는 잠재적으로 세트 내에 하나의 아이템만을 갖는 것으로 이해된다. 유사한 맥락에서, 용어 "각각 (each)"은 세트가 하나의 부재 (member) 만을 포함하더라도, 세트의 부재 각각을 지칭하는 것으로 본 명세서에서 사용될 수도 있다는 것이 이해된다. 용어 "각각"은 또한 묵시적인 세트들, 예를 들어, 용어 세트가 사용되지 않고 다른 표현이 일 세트가 있다는 것을 암시하는 상황들과 동일한 방식으로 사용될 수도 있다. 예를 들어, "하나 이상의 아이템들의 아이템 각각"은 "하나 이상의 아이템들의 세트의 아이템 각각"과 동의로 (equivalent) 이해된다.
특정한 예시적인 구현예 또는 구현예들에 초점을 두지만, 상기 개시는 개시된 예로만 제한되지 않을 뿐만 아니라, 유사한 변형들 및 메커니즘들에도 적용될 수도 있고, 이러한 유사한 변형들 및 메커니즘들은 또한 본 개시의 범위 내에 있는 것으로 간주된다는 것이 이해된다.

Claims (20)

  1. 내부에 위치된 N 개의 프로세싱 스테이션들을 갖는 챔버로서, 상기 N 개의 프로세싱 스테이션들은 중심 축을 중심으로 제 1 원형 어레이로 배열되고 (arrange) N은 2 이상의 개수 (quantity) 인, 상기 챔버;
    상기 중심 축을 중심으로 제 2 원형 어레이로 배열된 복수의 페데스탈들로서, 페데스탈 각각은 상기 N 개의 프로세싱 스테이션들 중 각각의 프로세싱 스테이션에 위치되고 상기 챔버 내에서 수행된 웨이퍼 프로세싱 동작들 동안 반도체 웨이퍼를 지지하도록 구성되는, 상기 복수의 페데스탈들;
    상기 N 개의 프로세싱 스테이션들의 상기 페데스탈들 사이에서 반도체 웨이퍼들을 이송하도록 구성된 회전 인덱서를 포함하고, 상기 회전 인덱서는,
    상기 중심 축을 중심으로 그리고 상기 챔버에 대해 회전 가능하도록 구성된 제 1 허브; 및
    N 개의 인덱서 암 어셈블리로서, 인덱서 암 어셈블리 각각은 a) 웨이퍼 지지부 및 b) 상기 제 1 허브와 고정적으로 연결된 근위 단부 및 상기 웨이퍼 지지부를 지지하는 원위 단부를 갖는 인덱서 암을 포함하고, 인덱서 암 어셈블리 각각의 상기 웨이퍼 지지부는 상기 인덱서 암 어셈블리의 상기 인덱서 암에 대해 그리고 상기 웨이퍼 지지부의 회전 축을 중심으로 회전 가능하도록 구성되고, 상기 웨이퍼 지지부의 상기 회전 축은 상기 인덱서 암 어셈블리의 상기 인덱서 암에 대해 위치가 고정되고 상기 인덱서 암 어셈블리의 상기 인덱서 암의 상기 원위 단부에 위치되는, 장치.
  2. 제 1 항에 있어서,
    상기 제 1 허브를 중심으로 제 3 원형 어레이로 배열된 4 개의 인덱서 암 어셈블리들이 있는, 장치.
  3. 제 1 항에 있어서,
    상기 인덱서 암들은 상기 제 1 허브에 대해 회전 가능하게 고정되는, 장치.
  4. 제 1 항에 있어서,
    상기 N 개의 웨이퍼 지지부들은 상기 N 개의 인덱서 암들에 대해 회전할 때 상기 N 개의 인덱서 암들에 대해 일제히 회전하도록 동역학적으로 링크되는 (kinematically link), 장치.
  5. 제 4 항에 있어서,
    상기 제 1 허브에 대해 상기 중심 축을 중심으로 회전 가능하도록 구성된 제 2 허브를 포함하는 작동 메커니즘을 더 포함하고,
    인덱서 암 어셈블리 각각은 대응하는 링키지 (linkage) 를 더 포함하고, 링키지 각각은 제 1 회전가능 인터페이스에 의해 상기 제 2 허브와 회전 가능하게 연결된 근위 단부 및 제 2 회전가능 인터페이스에 의해 상기 대응하는 인덱서 암 어셈블리의 상기 웨이퍼 지지부와 회전 가능하게 연결된 원위 단부를 갖는 타이-로드를 포함하고, 그리고
    상기 작동 메커니즘 및 상기 인덱서 암 어셈블리들은, 상기 중심 축을 중심으로 상기 제 1 허브와 상기 제 2 허브 사이의 상대적 회전이 상기 타이-로드들로 하여금 상기 중심 축에 상대적으로 우세하게 방사상 방식으로 병진하게 (translate) 하도록, 이에 따라 타이-로드 각각으로 하여금 타이-로드 각각이 상기 인덱서 암들에 상대적으로 그리고 웨이퍼 지지부 각각의 상기 회전 축을 중심으로 회전가능하게 연결된, 상기 웨이퍼 지지부를 회전시키게 하도록 구성되는, 장치.
  6. 제 5 항에 있어서,
    상기 제 1 허브 및 상기 제 2 허브는 제 1 상대적 회전 위치로부터 제 2 상대적 회전 위치로 서로에 상대적으로 회전가능하도록 구성되고,
    상기 웨이퍼 지지부들 각각은, 상기 제 1 허브 및 상기 제 2 허브가 상기 제 1 상대적 회전 위치에 있을 때, 상기 인덱서 암들에 대해 제 3 상대적 회전 위치에 있고,
    상기 웨이퍼 지지부들 각각은, 상기 제 1 허브 및 상기 제 2 허브가 상기 제 2 상대적 회전 위치에 있을 때, 상기 인덱서 암들에 대해 제 4 상대적 회전 위치에 있고,
    상기 제 3 상대적 회전 위치들 및 상기 제 4 상대적 회전 위치들은 서로 360°/N 위상이 벗어나는 (out of phase), 장치.
  7. 제 5 항에 있어서,
    상기 제 1 허브 및 상기 제 2 허브는 제 1 상대적 회전 위치로부터 제 2 상대적 회전 위치로 서로에 상대적으로 회전가능하도록 구성되고,
    N은 4이고,
    상기 웨이퍼 지지부들 각각은, 상기 제 1 허브 및 상기 제 2 허브가 상기 제 1 상대적 회전 위치에 있을 때, 상기 인덱서 암들에 대해 제 3 상대적 회전 위치에 있고,
    상기 웨이퍼 지지부들 각각은, 상기 제 1 허브 및 상기 제 2 허브가 상기 제 2 상대적 회전 위치에 있을 때, 상기 인덱서 암들에 대해 제 4 상대적 회전 위치에 있고,
    상기 제 3 상대적 회전 위치들 및 상기 제 4 상대적 회전 위치들은 서로 90° 위상이 벗어나는, 장치.
  8. 제 7 항에 있어서,
    정지 메커니즘을 더 포함하고, 상기 정지 메커니즘은 상기 제 1 허브와 상기 제 2 허브 사이의 상대적 회전 운동을 90° 내지 95°로 제한하도록 구성되는, 장치.
  9. 제 7 항에 있어서,
    상기 타이-로드 각각의 근위 단부는 타이-로드 각각에 대한 상기 제 1 회전가능 인터페이스 바로 위의 최상위 표면을 갖고,
    제 1 회전가능 인터페이스 각각은 가장 가까운 다른 제 1 회전가능 인터페이스로부터 제 1 거리만큼 수평으로 이격되고,
    타이-로드 각각은 타이-로드 각각을 위한 상기 제 1 회전가능 인터페이스의 회전 중심으로부터의 제 1 길이방향 거리와 타이-로드 각각을 위한 상기 제 1 회전가능 인터페이스의 상기 회전 중심으로부터의 제 2 길이방향 거리 사이에서 연장하는 오프셋 영역을 포함하고,
    상기 제 1 길이방향 거리들은 상기 제 1 거리들보다 더 작고 상기 제 2 길이방향 거리들은 상기 제 1 거리들보다 더 크고, 그리고
    상기 타이-로드 각각의 오프셋 영역은 인접한 인덱서 암 어셈블리로부터 상기 타이-로드의 상기 원위 단부의 상기 최상위 표면보다 더 높은 최하위 표면을 갖고, 이에 따라 상기 제 1 허브 및 상기 제 2 허브가 상기 제 1 상대적 회전 위치에 있을 때 상기 타이-로드의 상기 원위 단부로 하여금 상기 인접한 인덱서 암 어셈블리로부터 타이-로드 아래로 통과하게 하는, 장치.
  10. 제 5 항에 있어서,
    작동될 때 상기 챔버에 대해 상기 제 1 허브로 하여금 회전하게 하도록 구성된 제 1 모터;
    작동될 때 상기 챔버에 대해 상기 제 2 허브로 하여금 회전하게 하도록 구성된 제 2 모터; 및
    메모리 및 하나 이상의 프로세서들을 갖는 제어기를 더 포함하고,
    상기 메모리 및 상기 하나 이상의 프로세서들은 통신가능하게 연결되고,
    상기 하나 이상의 프로세서들은 상기 제 1 모터 및 상기 제 2 모터를 제어하도록 구성되고, 그리고
    상기 메모리는,
    웨이퍼 지지부 각각이 상기 프로세싱 스테이션들 중 대응하는 일 프로세싱 스테이션으로부터 상기 프로세싱 스테이션들 중 이웃하는 프로세싱 스테이션으로 이동하도록, 상기 제 1 허브 및 상기 인덱서 암 어셈블리들로 하여금 상기 챔버에 대해 회전하게 하고,
    프로세싱 스테이션으로부터 프로세싱 스테이션으로의 이동 동안, 또는 상기 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서 (resident), 또는 프로세싱 스테이션으로부터 프로세싱 스테이션으로 이동 동안 그리고 상기 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서, 웨이퍼 지지부 각각이 웨이퍼 지지부 각각의 상기 회전 축을 중심으로 그리고 제 1 방향으로 제 1 양만큼 상기 제 1 허브에 대해 회전하도록, 상기 제 1 허브와 상기 작동 메커니즘 사이에서 제 1 상대적 회전 운동을 유발하고, 그리고
    프로세싱 스테이션으로부터 프로세싱 스테이션으로의 이동 동안, 또는 상기 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서, 또는 프로세싱 스테이션으로부터 프로세싱 스테이션으로 이동 동안 그리고 상기 웨이퍼 지지부들이 프로세싱 스테이션 각각에 상주하면서, 웨이퍼 지지부 각각이 웨이퍼 지지부 각각의 상기 회전 축을 중심으로 그리고 상기 제 1 방향과 반대되는 제 2 방향으로 제 1 양만큼 상기 제 1 허브에 대해 회전하도록, 상기 제 1 허브와 상기 작동 메커니즘 사이에서 제 2 상대적 회전 운동을 유발하도록, 상기 제 1 모터, 상기 제 2 모터, 및 상기 제 1 모터와 상기 제 2 모터 중 하나 이상으로 하여금 선택적으로 작동되게 하도록 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행가능 인스트럭션들을 저장하는, 장치.
  11. 제 10 항에 있어서,
    제 1 모터 및 제 2 모터를 담고 (contain) 제 1 허브 회전 인터페이스를 통해 상기 제 1 허브를 지지하는 모터 하우징; 및
    z-축 구동 시스템으로서, 상기 z-축 구동 시스템의 작동에 응답하여 수직 축을 따라 상기 모터 하우징을 병진시키도록 구성되는, 상기 z-축 구동 시스템을 더 포함하는, 장치.
  12. 제 5 항에 있어서,
    타이 로드 각각은 상기 타이-로드 각각의 근위 단부에서 가스가 도입될 때 상기 타이-로드 각각의 근위 단부로부터 상기 타이-로드 각각의 원위 단부로 가스를 흘리도록 구성되는 내부 가스 플로우 통로를 갖는, 장치.
  13. 제 5 항에 있어서,
    상기 인덱서 암, 상기 타이-로드, 및 상기 웨이퍼 지지부 중 적어도 하나는 세라믹 재료로 이루어지는, 장치.
  14. 제 5 항에 있어서,
    인덱서 암 어셈블리 각각은 인덱서 암 어셈블리 각각에 대해 상기 인덱서 암을 갖는 인덱서 암 어셈블리 각각을 위한 상기 웨이퍼 지지부를 회전가능하게 연결하는 제 3 회전가능 인터페이스를 포함하고, 그리고
    상기 제 1 회전가능 인터페이스들, 상기 제 2 회전가능 인터페이스들, 및 상기 제 3 회전가능 인터페이스들은 모두 세라믹 볼 베어링들인, 장치.
  15. 제 1 항에 있어서,
    상기 제 1 허브는 인덱서 암 어셈블리 각각을 위한 독립된 마운팅 인터페이스를 포함하고,
    마운팅 인터페이스 각각은 신장가능 (tensionable) 인터페이스 및 상기 신장가능 인터페이스 둘레에 배열된 3 개의 원뿔형 리세스들을 포함하고,
    구 (sphere) 가 원뿔형 리세스 각각 내에 놓이고 (nestle); 그리고
    인덱서 암 각각은,
    어퍼처 (aperture) 로서, 상기 마운팅 인터페이스들의 대응하는 마운팅 인터페이스의 상기 신장가능 인터페이스의 일부를 통해 돌출하는, 상기 어퍼처; 및
    상기 어퍼처에 상대적으로 외향으로 발산되는 (radiating) 3 개의 그루브들을 포함하고, 상기 대응하는 마운팅 인터페이스의 상기 구들 각각은 또한 상기 인덱서 암의 대응하는 그루브 내에 놓이고 그리고 상기 대응하는 마운팅 인터페이스의 상기 신장가능 인터페이스는 상기 대응하는 마운팅 인터페이스의 상기 구들을 상기 인덱서 암들 중 대응하는 일 인덱서 암과 상기 대응하는 마운팅 인터페이스 사이에서 압축하도록 구성되는, 장치.
  16. 제 15 항에 있어서,
    마운팅 인터페이스 각각의 하나의 그루브는 상기 중심 축과 교차하고 상기 중심 축에 수직인 축에 평행한 방향으로 연장하는, 장치.
  17. 제 15 항에 있어서,
    상기 인덱서 암 각각과 연관된 상기 신장가능 인터페이스는 스레드된 (threaded) 컴포넌트 및 스프링을 포함하고, 상기 스프링은 상기 스레드된 컴포넌트가 팽팽하게 배치되고 (place) 상기 스프링에 압축 부하를 제공할 때, 인덱서 암 각각과 인덱서 암 각각을 상기 제 1 허브와 연결하는 상기 마운팅 인터페이스 사이에 개재된 (interpose) 상기 구들에 대고 인덱서 암 각각을 압축하도록 구성되는, 장치.
  18. 제 17 항에 있어서,
    상기 신장가능 인터페이스 각각의 스프링은 Belleville 워셔 (washer) 인, 장치.
  19. 제 17 항에 있어서,
    인덱서 암 각각에 대해,
    상기 대응하는 어퍼처는 상기 인덱서 암의 상기 근위 단부에 위치되고,
    상기 인덱서 암은 상기 대응하는 마운팅 인터페이스에 의해 상기 제 1 허브와 고정적으로 연결되고, 그리고
    마운팅 인터페이스 각각은, a) 상기 대응하는 인덱서 암 및 상기 제 1 허브가 상기 인덱서 암과 상기 제 1 허브 사이에 직접적으로 슬라이딩 콘택트 (sliding contact) 하지 않고 상이한 양의 열 팽창을 겪을 수 있도록 그리고 b) 상기 대응하는 인덱서 암이 상기 대응하는 마운팅 인터페이스의 상기 신장가능 인터페이스의 상기 스레드된 컴포넌트 및 상기 스프링에 의해 상기 인덱서 암 상에 가해진 (exert) 압축에 의해, 상기 제 1 허브에 대해, 적어도 부분적으로, 제자리에 고정적으로 홀딩되도록, 상기 대응하는 인덱서 암과 상기 제 1 허브를 고정적으로 연결하는, 장치.
  20. 제 15 항에 있어서,
    상기 인덱서 암들은 세라믹 재료로 제조되고 상기 제 1 허브는 금속으로 제조되는, 장치.
KR1020247009978A 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서 KR20240046277A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/867,599 US10109517B1 (en) 2018-01-10 2018-01-10 Rotational indexer with additional rotational axes
US15/867,599 2018-01-10
PCT/US2019/013000 WO2019140057A1 (en) 2018-01-10 2019-01-10 Rotational indexer with additional rotational axes
KR1020207013032A KR102652423B1 (ko) 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207013032A Division KR102652423B1 (ko) 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서

Publications (1)

Publication Number Publication Date
KR20240046277A true KR20240046277A (ko) 2024-04-08

Family

ID=63833290

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207013032A KR102652423B1 (ko) 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서
KR1020247009978A KR20240046277A (ko) 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서
KR1020197004545A KR102110726B1 (ko) 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207013032A KR102652423B1 (ko) 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197004545A KR102110726B1 (ko) 2018-01-10 2019-01-10 부가적인 회전 축들을 갖는 회전 인덱서

Country Status (7)

Country Link
US (3) US10109517B1 (ko)
EP (1) EP3738142A4 (ko)
JP (3) JP7096540B2 (ko)
KR (3) KR102652423B1 (ko)
CN (1) CN111566796A (ko)
SG (1) SG11202006512TA (ko)
WO (1) WO2019140057A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
EP3672040A1 (en) * 2018-12-17 2020-06-24 Nexperia B.V. Device for enabling a rotating and translating movement by means of a single motor; apparatus and system comprising such a device
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
WO2021011229A1 (en) * 2019-07-12 2021-01-21 Applied Materials, Inc. Robot for simultaneous substrate transfer
JP2022540608A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11443973B2 (en) * 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
CN114207798A (zh) * 2019-08-08 2022-03-18 朗姆研究公司 多站处理模块中用于晶片传送的主轴组件
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
TW202117067A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 用於改善均勻性的抖動或動態偏移
CN113314447B (zh) * 2021-02-01 2024-04-02 中科晶源微电子技术(北京)有限公司 晶片转移装置、腔体装置、晶片处理设备
US20240213070A1 (en) * 2021-04-27 2024-06-27 Lam Research Corporation Rotational indexers with wafer centering capability
JP7399933B2 (ja) * 2021-12-22 2023-12-18 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体製造方法、プログラム
CN114695227B (zh) * 2022-03-29 2023-02-03 苏州矽行半导体技术有限公司 一种载台系统及采用该载台系统的晶圆驱动方法

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5727686A (en) * 1980-07-21 1982-02-15 Hitachi Ltd Industrial articular robot
US4921395A (en) * 1982-04-16 1990-05-01 Sahlin International, Inc. Apparatus for loading and/or unloading industrial presses
FR2527967B1 (fr) * 1982-06-07 1985-07-19 Merlin Gerin Robot industriel perfectionne pilote par un automate programmable
US4551058A (en) * 1983-07-20 1985-11-05 Robotics, Inc. Low cost articulating/articulating and rotating wrist mechanism for automatic machine tool and automatic machine tool employing the same
US4629860A (en) * 1984-10-30 1986-12-16 Lindbom Torsten H Robotic apparatus and method for automatically moving a tool through three dimensions and manually to an extended position
US4787813A (en) * 1987-08-26 1988-11-29 Watkins-Johnson Company Industrial robot for use in clean room environment
JPH0734928Y2 (ja) * 1988-07-19 1995-08-09 日新電機株式会社 処理物保持装置
IT1241621B (it) * 1990-10-04 1994-01-25 Comau Spa Robot articolato
KR0145258B1 (ko) 1993-11-16 1998-08-17 모리시타 요이찌 전자부품의 본딩장치
DE19514037C2 (de) * 1995-04-13 1997-09-04 Leybold Ag Transportvorrichtung
US5804507A (en) * 1995-10-27 1998-09-08 Applied Materials, Inc. Radially oscillating carousel processing system for chemical mechanical polishing
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5795448A (en) * 1995-12-08 1998-08-18 Sony Corporation Magnetic device for rotating a substrate
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US6126381A (en) 1997-04-01 2000-10-03 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable four link robot arm mechanism
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6318957B1 (en) * 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
JP2000042953A (ja) * 1998-07-29 2000-02-15 Janome Sewing Mach Co Ltd 水平多関節ロボット
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6570356B2 (en) * 2000-04-07 2003-05-27 Kawasaki Jukogyo Kabushiki Kaisha Robot system
JP3652211B2 (ja) 2000-04-07 2005-05-25 川崎重工業株式会社 搬送装置
JP2001326201A (ja) * 2000-05-16 2001-11-22 Ebara Corp ポリッシング装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US20020098072A1 (en) * 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method
US6949466B2 (en) * 2001-09-18 2005-09-27 Oriol Inc. CMP apparatus and method for polishing multiple semiconductor wafers on a single polishing pad using multiple slurry delivery lines
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
KR100512179B1 (ko) * 2003-06-19 2005-09-02 삼성전자주식회사 반도체 소자를 제조하기 위한 화학적 기계적 연마장치
JP4951201B2 (ja) * 2004-08-24 2012-06-13 株式会社Sen ビーム照射方法およびビーム照射装置
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
US7374525B2 (en) * 2006-01-25 2008-05-20 Protedyne Corporation SCARA-type robotic system
JP2007242648A (ja) * 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US20070261726A1 (en) * 2006-05-11 2007-11-15 Rye Jason A Multiple workpiece processor
US7166016B1 (en) * 2006-05-18 2007-01-23 Applied Materials, Inc. Six headed carousel
US8137162B2 (en) * 2007-07-25 2012-03-20 Edmond Arzuman Abrahamians Semiconductor wafer polishing machine
US8069322B2 (en) * 2008-08-15 2011-11-29 International Business Machines Corporation Active-active remote configuration of a storage system
US9050623B1 (en) * 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8397785B2 (en) * 2009-11-17 2013-03-19 Asm Assembly Automation Ltd Transfer apparatus for multiple adhesives
JP5463367B2 (ja) * 2009-12-28 2014-04-09 株式会社アルバック 駆動装置及び搬送装置
JP5606279B2 (ja) * 2010-11-08 2014-10-15 株式会社ダイヘン 搬送装置
TWI552824B (zh) * 2011-10-18 2016-10-11 千住金屬工業股份有限公司 焊料凸塊形成方法及裝置
US8961099B2 (en) 2012-01-13 2015-02-24 Novellus Systems, Inc. Dual arm vacuum robot with common drive pulley
US20130210238A1 (en) 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US9190307B2 (en) * 2012-02-16 2015-11-17 Asm Technology Singapore Pte Ltd Apparatus for transferring a solar wafer or solar cell during its fabrication
US9966298B2 (en) * 2013-08-27 2018-05-08 Cleaning Technologies Group, Llc Multiple-stage processing devices
US9190907B2 (en) 2013-08-29 2015-11-17 Intersil Americas LLC System and method of equivalent series inductance cancellation
US9522790B2 (en) * 2013-09-20 2016-12-20 Morrison Timing Screw Co. Rotary orienter
US9299598B2 (en) 2013-12-23 2016-03-29 Lam Research Corp. Robot with integrated aligner
US9511348B2 (en) 2014-01-07 2016-12-06 University Of South Florida Functionalized porous organic polymers for olefin/paraffin separations
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
KR101866625B1 (ko) * 2014-09-03 2018-06-11 가부시키가이샤 알박 반송 장치 및 진공 장치
JP6330623B2 (ja) * 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6330630B2 (ja) * 2014-11-13 2018-05-30 東京エレクトロン株式会社 成膜装置
EP3218926A2 (en) * 2014-11-14 2017-09-20 Mapper Lithography IP B.V. Load lock system and method for transferring substrates in a lithography system
US20170076972A1 (en) * 2015-09-15 2017-03-16 Veeco Instruments Inc. Planetary wafer carriers
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
JP6856752B2 (ja) * 2016-12-06 2021-04-14 ティエムティ − タッピング メジャーリング テクノロジー エスエイアールエル 操作対象物を回動するためのマニピュレータ
KR102030056B1 (ko) 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
CN108217166B (zh) * 2018-01-15 2021-01-08 京东方科技集团股份有限公司 一种uv固化传送方法和系统
KR20200144580A (ko) 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
US20200326627A1 (en) 2019-04-12 2020-10-15 Inpria Corporation Organometallic photoresist developer compositions and processing methods
JP2022540608A (ja) * 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット

Also Published As

Publication number Publication date
JP2023123665A (ja) 2023-09-05
KR102110726B1 (ko) 2020-06-09
US11482436B2 (en) 2022-10-25
US20230063281A1 (en) 2023-03-02
JP7303926B2 (ja) 2023-07-05
WO2019140057A1 (en) 2019-07-18
JP2022123004A (ja) 2022-08-23
KR20200099124A (ko) 2020-08-21
EP3738142A1 (en) 2020-11-18
US10109517B1 (en) 2018-10-23
EP3738142A4 (en) 2021-09-15
JP7096540B2 (ja) 2022-07-06
KR102652423B1 (ko) 2024-03-27
US11699610B2 (en) 2023-07-11
CN111566796A (zh) 2020-08-21
JP2021510237A (ja) 2021-04-15
SG11202006512TA (en) 2020-08-28
US20210118715A1 (en) 2021-04-22
KR20190086657A (ko) 2019-07-23

Similar Documents

Publication Publication Date Title
KR102652423B1 (ko) 부가적인 회전 축들을 갖는 회전 인덱서
US10155309B1 (en) Wafer handling robots with rotational joint encoders
KR102656329B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
CN105051861B (zh) 适合于在电子器件制造中处理基板的处理系统、设备及方法
CN112136207B (zh) 多叶片机器人设备、电子装置制造设备和适于在电子装置制造中运输多个基板的方法
US11590662B2 (en) Robot for simultaneous substrate transfer
KR20220025899A (ko) 동시 기판 이송을 위한 로봇
JP2013541843A (ja) 高さが低い双アーム真空ロボット
KR20200108504A (ko) 다양한 크기의 기판들을 취급하기 위한 장치
CN111742400A (zh) 移动衬底传送室
US20200384636A1 (en) Dual pitch end effector robot apparatus, dual pitch load locks, systems, and methods
US20240213070A1 (en) Rotational indexers with wafer centering capability
US20060045718A1 (en) Hub assembly for robotic arm having pin spacers
WO2023086848A1 (en) Nesting atmospheric robot arms for high throughput

Legal Events

Date Code Title Description
A107 Divisional application of patent