KR20240008251A - Cleaning apparatus - Google Patents

Cleaning apparatus Download PDF

Info

Publication number
KR20240008251A
KR20240008251A KR1020230085441A KR20230085441A KR20240008251A KR 20240008251 A KR20240008251 A KR 20240008251A KR 1020230085441 A KR1020230085441 A KR 1020230085441A KR 20230085441 A KR20230085441 A KR 20230085441A KR 20240008251 A KR20240008251 A KR 20240008251A
Authority
KR
South Korea
Prior art keywords
cleaning
chuck table
workpiece
space
hole
Prior art date
Application number
KR1020230085441A
Other languages
Korean (ko)
Inventor
켄타로 와다
Original Assignee
가부시기가이샤 디스코
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시기가이샤 디스코 filed Critical 가부시기가이샤 디스코
Publication of KR20240008251A publication Critical patent/KR20240008251A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B13/00Accessories or details of general applicability for machines or apparatus for cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • B08B3/022Cleaning travelling work

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

(과제) 척 테이블의 하측에 추가의 구성 요소를 설치하지 않고, 세정실의 천장에 대한 미스트의 부착을 억제하는 것이 가능한 세정 장치를 제공한다.
(해결 수단) 척 테이블의 유지면과 동일하거나 또는 그것보다 하측의 위치에 있어서 하향으로 개구되는 선단부를 갖는 연통 부재를 통해 세정실과 연통하는 흡인원을 동작시키는 것에 의해, 척 테이블의 주위에 위치하는 공간(제1 공간)에 있어서 다운 플로우를 발생시킨다. 이에 의해, 척 테이블의 하측에 추가적인 구성 요소를 설치하지 않고, 세정실의 천장에 대한 미스트의 부착을 억제하는 것이 가능하다.
(Problem) To provide a cleaning device capable of suppressing adhesion of mist to the ceiling of a cleaning room without installing additional components below the chuck table.
(Solution) A suction source located around the chuck table is operated by operating a suction source that communicates with the cleaning chamber through a communication member having a tip opening downward at a position equal to or lower than the holding surface of the chuck table. Downflow occurs in space (first space). Thereby, it is possible to suppress adhesion of mist to the ceiling of the cleaning room without installing additional components on the lower side of the chuck table.

Description

세정 장치{CLEANING APPARATUS} CLEANING APPARATUS}

본 발명은, 피가공물을 세정하기 위한 세정 장치에 관한 것이다.The present invention relates to a cleaning device for cleaning a workpiece.

IC(Integrated Circuit) 등의 디바이스의 칩은, 휴대전화 및 퍼스널 컴퓨터 등의 각종 전자기기에 있어서 불가결한 구성요소이다. 이러한 칩은, 예를 들어, 이하의 순서로 제조된다.Chips of devices such as ICs (Integrated Circuits) are essential components in various electronic devices such as mobile phones and personal computers. These chips are manufactured, for example, in the following sequence.

우선, 포토리소그래피 등을 실시하여 웨이퍼 등의 피가공물의 표면에 다수의 소자를 형성하는 것에 의해 복수의 디바이스를 형성한다. 계속해서, 피가공물의 이면 측을 연삭하여 피가공물을 박화한다. 계속해서, 복수의 디바이스의 경계를 따라 피가공물을 절삭하여 피가공물을 복수의 칩으로 분할한다.First, a plurality of devices are formed by performing photolithography or the like to form a plurality of elements on the surface of a workpiece such as a wafer. Subsequently, the back side of the workpiece is ground to thin the workpiece. Subsequently, the workpiece is cut along the boundaries of the plurality of devices to divide the workpiece into a plurality of chips.

또한, 이들 프로세스의 전후에는, 피가공물에 부착된 가공 부스러기 등을 제거하기 위해서 피가공물의 세정이 실시되는 경우가 많다. 이 세정은, 일반적으로, 유지면에 재치된 피가공물을 유지 가능한 척 테이블과, 척 테이블에 의해 유지된 피가공물을 향하여 세정액(예를 들어, 물 등)을 분사하는 세정 노즐을 갖는 세정 유닛을 구비하는 세정 장치에 있어서 실시된다.Additionally, before and after these processes, the workpiece is often cleaned to remove processing debris, etc. attached to the workpiece. This cleaning generally involves a cleaning unit having a chuck table capable of holding a workpiece placed on a holding surface, and a cleaning nozzle that sprays a cleaning liquid (e.g., water, etc.) toward the workpiece held by the chuck table. This is carried out in a cleaning device provided.

또한, 이 척 테이블은, 그 유지면의 중심을 통과하는 직선을 회전축으로 하여 회전 가능하다. 그리고, 이 세정 장치에 있어서는, 유지면에 있어서 피가공물을 유지하는 척 테이블이 회전한 상태에서, 피가공물을 향하여 세정 노즐로부터 세정액을 분사하는 것에 의해 피가공물이 세정된다.Additionally, this chuck table can be rotated using a straight line passing through the center of its holding surface as a rotation axis. In this cleaning device, the workpiece is cleaned by spraying a cleaning liquid from a cleaning nozzle toward the workpiece while the chuck table holding the workpiece on the holding surface is rotated.

또한, 이와 같이 피가공물의 세정이 실시되면, 가공 부스러기 등을 포함하는 미스트가 척 테이블의 주위로 확산되는 경우가 많다. 그 때문에, 세정 장치에 있어서는, 일반적으로, 척 테이블 및 세정 노즐이 설치된 세정실을 획정하는 세정실 커버가 설치되어 있다(예를 들어, 특허문헌 1 참조). 그리고, 이 세정 장치에 있어서는, 세정실에 있어서 피가공물을 세정하는 것에 의해 미스트가 세정실의 외부에 확산되는 것을 방지할 수 있다.Additionally, when the workpiece is cleaned in this way, mist containing processing debris and the like often spreads around the chuck table. Therefore, in a cleaning device, a cleaning chamber cover is generally provided to define a cleaning chamber in which a chuck table and a cleaning nozzle are installed (see, for example, Patent Document 1). And in this cleaning device, by cleaning the workpiece in the cleaning chamber, mist can be prevented from spreading outside the cleaning chamber.

다만, 세정실에서 피가공물의 세정이 실시되면, 그 천장(세정실 커버의 상부)에 미스트가 부착되는 경우가 있다. 그리고, 이 천장에 부착된 미스트가 많아지면 큰 물방울이 형성되고, 이 물방울이 척 테이블의 유지면에 있어서 유지되어 있는 피가공물을 향하여 적하되는 경우가 있다. 이 경우, 가공 부스러기 등이 피가공물에 재부착될 우려가 있다.However, when the workpiece is cleaned in the cleaning room, mist may adhere to the ceiling (top of the cleaning room cover). When the amount of mist adhering to the ceiling increases, large water droplets are formed, and these water droplets may drop toward the workpiece held on the holding surface of the chuck table. In this case, there is a risk that processing debris, etc. may re-attach to the workpiece.

이 점을 기초로 하여, 세정실의 천장에 대한 미스트의 부착을 억제하기 위하여, 세정실에 다운 플로우를 발생시키는 것이 가능한 세정 장치가 제안되어 있다(예를 들어, 특허문헌 2 참조). 구체적으로는, 이 세정 장치에 있어서는, 복수의 날개를 갖는 다운 플로우 발생용 부재가 척 테이블의 하측에 설치되어 있고, 이 다운 플로우 발생용 부재를 회전시키는 것에 의해 세정실에 다운 플로우를 발생시키고 있다.Based on this point, a cleaning device capable of generating a downflow in the cleaning room in order to suppress adhesion of mist to the ceiling of the cleaning room has been proposed (see, for example, Patent Document 2). Specifically, in this cleaning device, a downflow generating member having a plurality of blades is installed below the chuck table, and by rotating this downflow generating member, a downflow is generated in the cleaning chamber. .

특허문헌 1: 일본 공개특허공보 2009-260094호Patent Document 1: Japanese Patent Publication No. 2009-260094 특허문헌 2: 일본 공개특허공보 2012-94659호Patent Document 2: Japanese Patent Publication No. 2012-94659

그러나, 척 테이블의 하측에는, 일반적으로, 척 테이블을 회전시키기 위한 회전 기구(예를 들어, 모터 등) 및 세정액의 폐기 기구(예를 들어, 배수관 등)가 설치되어 있다. 그 때문에, 다운 플로우 발생용 부재 등의 추가의 구성 요소를 설치하기 위한 공간을 척 테이블의 하측에 확보하는 것은 용이하지 않다.However, generally, a rotation mechanism (for example, a motor, etc.) for rotating the chuck table and a mechanism for disposing of the cleaning liquid (for example, a drain pipe, etc.) are installed below the chuck table. Therefore, it is not easy to secure space below the chuck table for installing additional components such as downflow generation members.

이 점을 감안하여, 본 발명의 목적은, 척 테이블의 하측에 추가의 구성 요소를 설치하지 않고, 세정실의 천장에 대한 미스트의 부착을 억제하는 것이 가능한 세정 장치를 제공하는 것이다.In view of this, an object of the present invention is to provide a cleaning device capable of suppressing adhesion of mist to the ceiling of a cleaning room without installing additional components below the chuck table.

본 발명에 의하면, 피가공물을 세정하기 위한 세정 장치로서, 유지면에 재치된 상기 피가공물을 유지하고, 그 유지면의 중심을 통과하는 직선을 회전축으로 하여 회전 가능한 척 테이블과, 상기 유지면에 있어서 상기 피가공물을 유지하는 상기 척 테이블이 회전한 상태에서, 상기 피가공물을 향하여 세정액을 분사하는 세정 노즐을 갖는 세정 유닛과, 상기 척 테이블 및 상기 세정 노즐이 설치되어 있는 세정실을 획정하는 세정실 커버와, 상기 세정실 내의 유체를 흡인하는 흡인 유닛을 구비하고, 상기 세정실은, 상기 척 테이블의 주위에 위치하는 제1 공간과, 상기 제1 공간보다 외측이며 또한 상기 유지면보다 하측에 위치하는 제2 공간을 포함하고, 상기 흡인 유닛은, 흡인원과, 그 흡인원과 상기 세정실을 연통시키는 연통 부재를 갖고, 상기 연통 부재는, 상기 흡인원에 연결되어 있는 기단부와, 상기 제1 공간보다 외측이며 또한 상기 유지면과 동일하거나 또는 그것보다 하측의 위치에 있어서 하향으로 개구되는 선단부를 갖고, 상기 연통 부재를 통해 상기 세정실과 연통하는 상기 흡인원이 동작하면, 상기 제1 공간에 있어서 다운 플로우가 발생하고, 또한, 상기 제2 공간에 있어서 업 플로우가 발생하는, 세정 장치가 제공된다.According to the present invention, there is a cleaning device for cleaning a workpiece, comprising: a chuck table that holds the workpiece placed on a holding surface and is rotatable with a straight line passing through the center of the holding surface as a rotation axis, and a chuck table on the holding surface. In a state in which the chuck table holding the workpiece rotates, a cleaning unit having a cleaning nozzle that sprays a cleaning liquid toward the workpiece, and a cleaning room in which the chuck table and the cleaning nozzle are installed are defined. It is provided with a seal cover and a suction unit for sucking fluid in the cleaning chamber, wherein the cleaning chamber includes a first space located around the chuck table, and located outside the first space and below the holding surface. It includes a second space, wherein the suction unit has a suction source and a communication member that communicates the suction source with the cleaning chamber, and the communication member has a proximal end connected to the suction source and the first space. When the suction source, which is more outer and has a tip opening downward at a position equal to or lower than the holding surface and communicates with the cleaning chamber through the communication member, operates, a downward movement occurs in the first space. A cleaning device is provided in which a flow occurs and an upflow occurs in the second space.

또한, 본 발명에 있어서는, 상기 척 테이블을 둘러싸도록 링형의 분할판이 설치되고, 상기 분할판은, 복수의 관통 구멍이 형성되어 있는 본체부와, 각각이 상기 복수의 관통 구멍 중 적어도 하나를 덮는 것이 가능한 복수의 조정부를 포함하는 것이 바람직하다.In addition, in the present invention, a ring-shaped partition plate is provided to surround the chuck table, and the partition plate includes a main body portion in which a plurality of through holes are formed, and each of the plurality of through holes covers at least one of the plurality of through holes. It is desirable to include as many adjustment units as possible.

또는, 본 발명에 있어서는, 상기 척 테이블을 둘러싸도록 링형의 분할판이 설치되고, 상기 분할판에는, 복수의 관통 구멍이 형성되고, 상기 복수의 관통 구멍은, 제1 관통 구멍과, 그 제1 관통 구멍보다 상기 연통 부재에 근접하고, 또한, 상기 제1 관통 구멍보다 횡단면이 작은 제2 관통 구멍을 포함하는 것이 바람직하다.Alternatively, in the present invention, a ring-shaped partition plate is provided to surround the chuck table, a plurality of through holes are formed in the partition plate, and the plurality of through holes include a first through hole and the first through hole. It is preferable to include a second through hole that is closer to the communication member than the hole and has a smaller cross section than the first through hole.

본 발명에 있어서는, 척 테이블의 유지면과 동일하거나 또는 그것보다 하측의 위치에 있어서 하향으로 개구되는 선단부를 갖는 연통 부재를 통해 세정실과 연통하는 흡인원을 동작시키는 것에 의해, 척 테이블의 주위에 위치하는 공간(제1 공간)에 있어서 다운 플로우를 발생시킨다. 이에 의해, 본 발명에 있어서는, 척 테이블의 하측에 추가의 구성 요소를 설치하지 않고, 세정실의 천장에 대한 미스트의 부착을 억제하는 것이 가능하다.In the present invention, a suction source communicating with the cleaning chamber is operated through a communication member having a tip opening downward at a position equal to or lower than the holding surface of the chuck table, thereby operating a suction source located around the chuck table. Downflow occurs in the space (first space). Accordingly, in the present invention, it is possible to suppress adhesion of mist to the ceiling of the washing room without installing additional components below the chuck table.

도 1은, 세정 장치의 일례를 모식적으로 도시하는 일부 파단 사시도이다.
도 2는, 세정 장치의 일례를 모식적으로 도시하는 일부 단면 측면도이다.
도 3은, 세정 장치의 다른 예를 모식적으로 도시하는 일부 단면 측면도이다.
도 4는, 세정 장치에 설치되는 분할판을 모식적으로 도시하는 분해 사시도이다.
도 5(A), 도 5(B) 및 도 5(C)의 각각은, 본체부와 조정부의 상대적인 위치를 모식적으로 도시하는 상면도이다.
도 6은, 분할판의 다른 예를 모식적으로 도시하는 사시도이다.
1 is a partially broken perspective view schematically showing an example of a cleaning device.
Figure 2 is a partial cross-sectional side view schematically showing an example of a cleaning device.
Figure 3 is a partial cross-sectional side view schematically showing another example of a cleaning device.
Figure 4 is an exploded perspective view schematically showing a partition plate installed in the cleaning device.
Each of FIGS. 5(A), 5(B), and 5(C) is a top view schematically showing the relative positions of the main body portion and the adjustment portion.
Figure 6 is a perspective view schematically showing another example of a partition plate.

첨부 도면을 참조하여, 본 발명의 실시 형태에 대해 설명한다. 도 1은, 세정 장치의 일례를 모식적으로 도시하는 일부 파단 사시도이며, 도 2는, 세정 장치의 일례를 모식적으로 도시하는 일부 단면 측면도이다. 도 1 및 도 2에 도시되는 세정 장치(2)는, 예를 들어, 프레임 유닛(11)에 포함되는 피가공물(13)을 세정한다.BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described with reference to the accompanying drawings. FIG. 1 is a partially broken perspective view schematically showing an example of a cleaning device, and FIG. 2 is a partial cross-sectional side view schematically showing an example of a cleaning device. The cleaning device 2 shown in FIGS. 1 and 2 cleans the workpiece 13 included in the frame unit 11, for example.

이 피가공물(13)은, 원형의 표면(13a) 및 이면(13b)을 가지며, 예를 들어, 실리콘(Si) 등의 반도체 재료로 이루어지는 웨이퍼이다. 또한, 피가공물(13)은 격자형으로 설정되는 복수의 분할 예정 라인(15)에 의해 복수의 영역으로 구획되어 있고, 각 영역에는 IC 등의 디바이스(17)가 형성되어 있다.This workpiece 13 has a circular surface 13a and a back surface 13b, and is, for example, a wafer made of a semiconductor material such as silicon (Si). Additionally, the workpiece 13 is divided into a plurality of areas by a plurality of division lines 15 set in a grid, and a device 17 such as an IC is formed in each area.

또한, 피가공물(13)의 이면(13b)은, 그 직경이 피가공물(13)의 직경보다 긴 원반 형상의 테이프(19)의 중앙 영역에 부착되어 있다. 이 테이프(19)는, 예를 들어, 가요성을 갖는 필름 형상의 기재층과, 기재층의 일면(피가공물(13) 측의 면)에 형성된 점착층(풀층)을 갖는다.Additionally, the back surface 13b of the workpiece 13 is attached to the central region of a disk-shaped tape 19 whose diameter is longer than the diameter of the workpiece 13. This tape 19 has, for example, a flexible film-shaped base material layer, and an adhesive layer (glue layer) formed on one surface of the base layer (the surface on the side of the workpiece 13).

구체적으로는, 이 기재층은, 폴리올레핀(PO), 폴리프로필렌(PP), 폴리에틸렌테레프탈레이트(PET), 폴리염화비닐(PVC) 또는 폴리스티렌(PS) 등으로 이루어진다. 또한, 이 점착층은, 자외선 경화형의 실리콘 고무, 아크릴계 재료 또는 에폭시계 재료 등으로 이루어진다.Specifically, this base layer is made of polyolefin (PO), polypropylene (PP), polyethylene terephthalate (PET), polyvinyl chloride (PVC), or polystyrene (PS). Additionally, this adhesive layer is made of ultraviolet curing silicone rubber, acrylic material, or epoxy material.

또한, 테이프(19)의 외주 영역에는, 피가공물(13)보다 직경이 큰 원형의 개구(21a)가 형성되어 있는 환형의 프레임(21)이 부착되어 있다. 이 프레임(21)은, 예를 들어, 알루미늄 또는 스테인리스강 등의 금속 재료로 이루어진다.Additionally, an annular frame 21 in which a circular opening 21a with a larger diameter than that of the workpiece 13 is formed is attached to the outer peripheral area of the tape 19. This frame 21 is made of a metal material such as aluminum or stainless steel, for example.

그리고, 세정 장치(2)에 있어서 피가공물(13)을 세정할 때에는, 피가공물(13)이 테이프(19)를 통해 척 테이블(4) 상에 재치된다. 이 척 테이블(4)은, 상면이 노출된 원반 형상의 포러스판(4a)을 가지며, 이 포러스판(4a)에 재치된 프레임 유닛(11)을 흡인 유지하는 기능을 갖는다.Then, when cleaning the workpiece 13 in the cleaning device 2, the workpiece 13 is placed on the chuck table 4 through the tape 19. This chuck table 4 has a disk-shaped porous plate 4a with an exposed upper surface, and has the function of suction-holding the frame unit 11 placed on this porous plate 4a.

즉, 척 테이블(4)에 있어서는, 포러스판(4a)의 상면이 테이프(19)를 통해 피가공물(13)을 유지하는 유지면이 된다. 또한, 척 테이블(4)의 주위에는, 세정 유닛(6) 및 건조 유닛(8)이 설치되어 있다. 세정 유닛(6) 및 건조 유닛(8)의 각각은, 지지축(6a, 8a)을 갖는다.That is, in the chuck table 4, the upper surface of the porous plate 4a becomes a holding surface that holds the workpiece 13 via the tape 19. Additionally, a cleaning unit 6 and a drying unit 8 are installed around the chuck table 4. Each of the cleaning unit 6 and the drying unit 8 has support shafts 6a and 8a.

각 지지축(6a, 8a)은, 연직 방향을 따라 연장되는 파이프 형상의 부재이다. 각 지지축(6a, 8a)의 하단부에는 지지축(6a, 8a)을 회전시키기 위한 모터 등의 회전 구동원(도시하지 않음)이 접속되어 있다. 또한, 각 지지축(6a, 8a)의 상단부에는 아암(6b, 8b)의 기단부가 접속되어 있다.Each support shaft 6a, 8a is a pipe-shaped member extending along the vertical direction. A rotation drive source (not shown) such as a motor for rotating the support shafts 6a and 8a is connected to the lower end of each support shaft 6a and 8a. Additionally, the proximal ends of the arms 6b and 8b are connected to the upper ends of each support shaft 6a and 8a.

각 아암(6b, 8b)은, 평면에서 봤을 때의 지지축(6a, 8a)의 상단부로부터 척 테이블(4)의 중심까지의 거리에 상당하는 길이로, 연직 방향과 직교하는 방향을 따라 연장되는 파이프 형상의 부재이다. 그리고, 각 아암(6b, 8b)의 선단부에는, 하방을 향한 노즐(6c, 8c)이 설치되어 있다.Each arm 6b, 8b has a length corresponding to the distance from the upper end of the support shaft 6a, 8a to the center of the chuck table 4 when viewed in plan, and extends along a direction perpendicular to the vertical direction. It is a pipe-shaped member. And, downward-facing nozzles 6c and 8c are installed at the tip of each arm 6b and 8b.

노즐(세정 노즐)(6c)은, 아암(6b) 및 지지축(6a) 등을 통해, 순수 등의 세정액을 공급하는 세정액 공급원(도시하지 않음)에 연통한다. 또한, 노즐(건조 노즐)(8c)은, 아암(8b) 및 지지축(8a) 등을 통해, 공기, 산소, 질소 또는 아르곤 등의 기체를 공급하는 기체 공급원(도시하지 않음)에 연통한다.The nozzle (cleaning nozzle) 6c communicates with a cleaning fluid supply source (not shown) that supplies a cleaning fluid such as pure water through the arm 6b and the support shaft 6a. Additionally, the nozzle (dry nozzle) 8c communicates with a gas supply source (not shown) that supplies gas such as air, oxygen, nitrogen, or argon through the arm 8b and the support shaft 8a.

그리고, 세정 장치(2)에 있어서는, 척 테이블(4) 및 노즐(6c, 8c)이 설치되어 있는 공간(세정실)에 있어서 피가공물(13)의 세정이 행해진다. 이 세정실은, 세정실 커버(10)에 의해 획정된다. 세정실 커버(10)는, 척 테이블(4) 및 노즐(6c, 8c)의 주위에 설치된 하부(12)와, 분리 가능한 양태로 하부(12)와 연결되는 상부(14)를 갖는다.In the cleaning device 2, the workpiece 13 is cleaned in a space (cleaning room) where the chuck table 4 and the nozzles 6c and 8c are installed. This cleaning chamber is defined by the cleaning chamber cover 10. The cleaning chamber cover 10 has a lower part 12 installed around the chuck table 4 and the nozzles 6c and 8c, and an upper part 14 connected to the lower part 12 in a detachable manner.

세정실 커버(10)의 하부(12)는 원통 형상의 외주벽(12a)과, 외주벽(12a)의 하단부로부터 직경 방향 내측으로 연장되는 환형의 바닥벽(12b)과, 바닥벽(12b)의 내단부로부터 세워 설치되는 원통 형상의 내주벽(12c)을 갖는다. 또한, 세정 유닛(6)의 지지축(6a) 및 건조 유닛(8)의 지지축(8a)의 각각은, 이 바닥벽(12b)을 관통하도록 설치되어 있다.The lower part 12 of the washing chamber cover 10 includes a cylindrical outer peripheral wall 12a, an annular bottom wall 12b extending radially inward from the lower end of the outer peripheral wall 12a, and a bottom wall 12b. It has a cylindrical inner peripheral wall (12c) erected from the inner end of. Additionally, each of the support shaft 6a of the cleaning unit 6 and the support shaft 8a of the drying unit 8 are installed to penetrate this bottom wall 12b.

세정실 커버(10)의 상부(14)는, 직경이 바닥벽(12b)의 외경과 대략 동일한 원반 형상의 정상벽(14a)과, 정상벽(14a)의 외주부로부터 수직으로 설치되는 원통 형상의 측벽(14b)을 갖는다. 또한, 정상벽(14a) 및/또는 측벽(14b)에는, 세정실로의 기체의 유입을 가능하게 하기 위한 관통 구멍이 형성되어 있어도 좋다.The upper part 14 of the washing chamber cover 10 has a top wall 14a whose diameter is approximately the same as the outer diameter of the bottom wall 12b, and a cylindrical top wall 14a installed vertically from the outer periphery of the top wall 14a. It has a side wall (14b). Additionally, a through hole may be formed in the top wall 14a and/or the side wall 14b to allow gas to flow into the cleaning chamber.

또한, 하부(12)의 외주벽(12a)에는, 세정실 내의 유체를 흡인하는 흡인 유닛(16)이 연결되어 있다. 구체적으로는, 흡인 유닛(16)은, 그 선단부가 세정실에 위치하도록 외주벽(12a)을 관통하여 설치되어 있는 연통 부재(16a)를 가진다.Additionally, a suction unit 16 that suctions the fluid in the cleaning chamber is connected to the outer peripheral wall 12a of the lower part 12. Specifically, the suction unit 16 has a communication member 16a installed through the outer peripheral wall 12a so that its tip is located in the washing chamber.

이 연통 부재(16a)는, 파이프 형상의 부재이며, 그 선단부가 척 테이블(4)보다 외측에 위치되어 있다. 또한, 연통 부재(16a)의 선단부는, 척 테이블(4)의 유지면과 동일하거나 또는 그보다 하측의 위치에 있어서 하향으로 개구된다.This communication member 16a is a pipe-shaped member, and its tip is located outside the chuck table 4. Additionally, the distal end of the communication member 16a opens downward at a position equal to or lower than the holding surface of the chuck table 4.

또한, 연통 부재(16a)의 기단부는, 진공 펌프 등의 흡인원(도시하지 않음)에 연결되어 있다. 그리고, 이 흡인원이 동작하면, 연통 부재(16a)의 선단부의 하방의 공간(제2 공간)에 존재하는 유체가 연통 부재(16a)를 통하여 흡인된다.Additionally, the proximal end of the communication member 16a is connected to a suction source (not shown) such as a vacuum pump. Then, when this suction source operates, the fluid existing in the space (second space) below the tip of the communication member 16a is sucked through the communication member 16a.

또한, 제2 공간에 존재하는 유체가 흡인되면, 척 테이블(4)보다 외측의 공간(제1 공간)의 유체가 하방을 향해 흘러 제2 공간에 유입된다. 즉, 이 경우에는, 제1 공간에 있어서 다운 플로우가 발생하고, 또한, 제2 공간에 있어서 업 플로우가 발생한다.Additionally, when the fluid existing in the second space is sucked in, the fluid in the space outside the chuck table 4 (first space) flows downward and flows into the second space. That is, in this case, downflow occurs in the first space, and upflow occurs in the second space.

또한, 바닥벽(12b)에는 배수구(18)가 형성되어 있고, 이 배수구(18)에는 하방으로 연장되는 배수관(도시하지 않음)이 접속되어 있다. 또한, 바닥벽(12b)의 하면에는, 복수(예를 들어, 2개)의 지지 다리(20)가 고정되어 있다. 복수의 지지 다리(20)는 바닥벽(12b)의 둘레 방향을 따라 대략 동일한 각도의 간격으로 설치되고, 세정실 커버(10)의 하부(12)를 지지한다.Additionally, a drain 18 is formed in the bottom wall 12b, and a drain pipe (not shown) extending downward is connected to this drain 18. Additionally, a plurality of (for example, two) support legs 20 are fixed to the lower surface of the bottom wall 12b. The plurality of support legs 20 are installed at approximately equal angular intervals along the circumferential direction of the bottom wall 12b and support the lower portion 12 of the washing room cover 10.

또한, 내주벽(12c)의 내측에 존재하는 원통 형상의 공간에는, 스핀들(22)이 상하로 통과되어 있다. 이 스핀들(22)은, 척 테이블(4)을 지지하도록, 그 상단부가 척 테이블(4)에 연결되어 있다. 또한, 스핀들(22)의 하단부에는, 모터 등의 회전 구동원이 접속되어 있고, 이 회전 구동원은 하우징(24)에 수용되어 있다.Additionally, the spindle 22 passes up and down in the cylindrical space existing inside the inner peripheral wall 12c. The upper end of this spindle 22 is connected to the chuck table 4 so as to support the chuck table 4. Additionally, a rotational drive source such as a motor is connected to the lower end of the spindle 22, and this rotational drive source is accommodated in the housing 24.

그리고, 이 회전 구동원을 동작시키면, 척 테이블(4)의 유지면의 중심을 통과하고, 또한, 연직 방향을 따른 직선을 회전축으로 하여 스핀들(22) 및 척 테이블(4)이 회전한다. 또한, 하우징(24)은, 승강 가능한 양태로 지지 기구(26)에 의해 지지되어 있다.Then, when this rotation drive source is operated, the spindle 22 and the chuck table 4 rotate around a straight line passing through the center of the holding surface of the chuck table 4 and along the vertical direction as the rotation axis. Additionally, the housing 24 is supported by a support mechanism 26 in a manner that allows it to be raised and lowered.

이 지지 기구(26)는, 예를 들어, 하우징(24)에 장착된 복수(예를 들어, 3개)의 에어 실린더(28)를 가지며, 각 에어 실린더(28)의 하부에는 지지 다리(30)가 연결되어 있다. 그리고, 복수의 에어 실린더(28)를 동시에 동작시키면, 하우징(24), 스핀들(22) 및 척 테이블(4)이 승강한다.This support mechanism 26 has, for example, a plurality of (e.g. three) air cylinders 28 mounted on the housing 24, and a support leg 30 at the lower part of each air cylinder 28. ) is connected. Then, when the plurality of air cylinders 28 are operated simultaneously, the housing 24, spindle 22, and chuck table 4 are raised and lowered.

예를 들어, 프레임 유닛(11)을 척 테이블(4)에 반입하고, 또는, 그것으로부터 반출할 때에는, 지지 기구(26)를 동작시켜 척 테이블(4)을 높은 위치(반출입 위치)에 위치시킨다. 또한, 프레임 유닛(11)에 포함되는 피가공물(13)을 세정할 때에는, 지지 기구(26)를 동작시켜 척 테이블(4)을 낮은 위치(세정 위치)에 위치시킨다.For example, when loading the frame unit 11 into or unloading it from the chuck table 4, the support mechanism 26 is operated to position the chuck table 4 at a high position (loading/unloading position). . Additionally, when cleaning the workpiece 13 included in the frame unit 11, the support mechanism 26 is operated to position the chuck table 4 in a low position (cleaning position).

또한, 도 1에 있어서는, 척 테이블(4)이 반출입 위치에 있는 상태의 세정 장치(2)가 모식적으로 도시되어 있다. 또한, 도 2에 있어서는, 척 테이블(4)이 세정 위치에 있는 상태의 세정 장치(2)가 모식적으로 도시되어 있다.1 schematically shows the cleaning device 2 with the chuck table 4 in the loading/unloading position. Additionally, in FIG. 2, the cleaning device 2 is schematically shown with the chuck table 4 in the cleaning position.

또한, 스핀들(22)의 주위에는, 케이스(32)가 설치되어 있다. 이 케이스(32)는 내주벽(12c)의 내경보다 외경이 작은 원통형의 형상을 가지며, 연결 부재(도시하지 않음)를 통해 하우징(24)에 고정되어 있다. 또한, 케이스(32)의 상부의 내주면에는 베어링(34)이 설치되어 있다.Additionally, a case 32 is installed around the spindle 22. This case 32 has a cylindrical shape with an outer diameter smaller than the inner diameter of the inner peripheral wall 12c, and is fixed to the housing 24 through a connecting member (not shown). Additionally, a bearing 34 is installed on the inner peripheral surface of the upper part of the case 32.

그리고, 베어링(34)은 그 내륜이 스핀들(22)과 접촉하여 스핀들(22)을 회전 가능하게 지지한다. 또한, 케이스(32)에는, 직경 방향으로 케이스(32)를 관통하는 3개의 관통 구멍이 상하로 배열되도록 설치되어 있다. 이 3개의 관통 구멍 중 베어링(34)에 가장 근접하는 관통 구멍에는, 파이프 형상의 개방관(36)이 접속되어 있다.And, the inner ring of the bearing 34 contacts the spindle 22 to rotatably support the spindle 22. Additionally, the case 32 is provided with three through holes that penetrate the case 32 in the radial direction and are arranged vertically. Of these three through holes, a pipe-shaped open pipe 36 is connected to the through hole closest to the bearing 34.

이 개방관(36)은, 케이스(32) 및 스핀들(22)의 사이의 환형의 공간(개방부)(A)과, 케이스(32)의 외측의 공간을 연통한다. 또한, 개방관(36)은 없어도 된다. 즉, 개방부(A)는, 케이스(32)를 관통하는 관통 구멍을 통하여 케이스(32)의 외측의 공간과 연통해도 된다.This open pipe 36 communicates with the annular space (opening portion) A between the case 32 and the spindle 22 and the space outside the case 32. Additionally, the open tube 36 may not be present. That is, the opening portion A may communicate with the space outside the case 32 through a through hole penetrating the case 32.

나머지 2개의 관통 구멍에는, 파이프 형상의 부압 공급관(38) 및 정압 공급관(40)이 각각 접속되어 있다. 부압 공급관(38)은, 케이스(32) 및 스핀들(22)의 사이의, 개방부(A)보다 베어링(34)으로부터 먼 환형의 공간(압력 가변부)(B)과, 이젝터 등을 포함하는 부압 공급원을 연통한다. 또한, 정압 공급관(40)은, 압력 가변부(B)와, 에어 봄베 등을 포함하는 정압 공급원을 연통한다.A pipe-shaped negative pressure supply pipe 38 and a positive pressure supply pipe 40 are respectively connected to the remaining two through holes. The negative pressure supply pipe 38 includes an annular space (pressure variable portion) B between the case 32 and the spindle 22, which is farther from the bearing 34 than the opening portion A, and an ejector, etc. Connect to the negative pressure source. In addition, the static pressure supply pipe 40 communicates with the pressure variable part B and a static pressure supply source including an air bomb or the like.

또한, 개방부(A) 및 압력 가변부(B)의 경계와, 압력 가변부(B)의 하방의 외부 공간과 압력 가변부(B)의 경계에는, 환형의 오일 시일(42a, 42b)이 각각 설치되어 있다. 또한, 압력 가변부(B)는 스핀들(22)의 내부에 형성된 부압 또는 정압 공급관(22a)에 연통 가능하다. 부압 또는 정압 공급관(22a)은, 척 테이블(4)의 내부에 형성된 유로(도시하지 않음)를 통해 척 테이블(4)의 유지면 상의 공간에 연통한다.In addition, annular oil seals 42a and 42b are provided at the boundary between the opening portion A and the pressure variable portion B, and at the boundary between the external space below the pressure variable portion B and the pressure variable portion B. Each is installed. Additionally, the pressure variable part (B) can communicate with the negative pressure or positive pressure supply pipe (22a) formed inside the spindle (22). The negative pressure or positive pressure supply pipe 22a communicates with the space on the holding surface of the chuck table 4 through a flow path (not shown) formed inside the chuck table 4.

또한, 케이스(32)의 상단부에는, 커버 부재(44)가 고정되어 있다. 이 커버 부재(44)는 환형의 정상벽(44a)과, 정상벽(44a)의 외단부로부터 하방으로 연장되는 원통 형상의 측벽(44b)을 갖는다. 또한, 정상벽(44a)의 내경은, 베어링(34)의 내경보다 크다. 또한, 정상벽(44a)의 외경 및 측벽(44b)의 내경은 내주벽(12c)의 외경보다 크다.Additionally, a cover member 44 is fixed to the upper end of the case 32. This cover member 44 has an annular top wall 44a and a cylindrical side wall 44b extending downward from the outer end of the top wall 44a. Additionally, the inner diameter of the top wall 44a is larger than the inner diameter of the bearing 34. Additionally, the outer diameter of the top wall 44a and the inner diameter of the side walls 44b are larger than the outer diameter of the inner circumferential wall 12c.

정상벽(44a)의 내측에는 케이스(32)로부터 돌출된 스핀들(22)의 상단부가 삽입되어 있다. 환언하면, 커버 부재(44)에는, 스핀들(22)의 상단부가 삽입되는 관통 구멍이 형성되어 있다. 또한, 커버 부재(44) 및 스핀들(22)의 상단부의 사이에는, 케이스(32) 및 스핀들(22)의 사이의 공간(예를 들어, 개방부(A) 및 압력 가변부(B))과 세정실과의 연통을 차단하는 오일 시일(46)이 설치되어 있다.The upper end of the spindle 22 protruding from the case 32 is inserted inside the top wall 44a. In other words, the cover member 44 is formed with a through hole into which the upper end of the spindle 22 is inserted. In addition, between the cover member 44 and the upper end of the spindle 22, a space (for example, an opening portion A and a pressure variable portion B) between the case 32 and the spindle 22 and An oil seal 46 is installed to block communication with the cleaning chamber.

세정 장치(2)에 있어서의 피가공물(13)의 세정은, 예를 들어, 이하의 순서로 실시된다. 우선, 세정실 커버(10)의 상부(14)가 하부(12)로부터 분리되고, 또한, 척 테이블(4)을 반출입 위치에 위치시킨 상태에서, 테이프(19)를 통해 피가공물(13)이 척 테이블(4)에 재치되도록 프레임 유닛(11)을 세정 장치(2)에 반입한다.Cleaning of the workpiece 13 in the cleaning device 2 is performed, for example, in the following procedure. First, the upper part 14 of the cleaning chamber cover 10 is separated from the lower part 12, and the workpiece 13 is moved through the tape 19 with the chuck table 4 positioned at the loading/unloading position. The frame unit 11 is brought into the cleaning device 2 so that it is placed on the chuck table 4.

계속해서, 압력 가변부(B) 및 부압 또는 정압 공급관(22a)과 연통하는 부압 공급원을 동작시킨다. 이에 의해, 척 테이블(4)에 의해 피가공물(13)이 흡인 유지된다. 계속해서, 에어 실린더(28)를 동작시켜 척 테이블(4)을 세정 위치로 이동시킨다. 계속해서, 세정실 커버(10)에 의해 세정실이 획정되도록, 하부(12)에 상부(14)를 연결한다.Subsequently, the negative pressure supply source communicating with the pressure variable part B and the negative pressure or positive pressure supply pipe 22a is operated. As a result, the workpiece 13 is suction-held by the chuck table 4. Subsequently, the air cylinder 28 is operated to move the chuck table 4 to the cleaning position. Subsequently, the upper part 14 is connected to the lower part 12 so that the cleaning chamber is defined by the cleaning chamber cover 10.

계속해서, 세정 유닛(6)의 지지축(6a)에 접속되어 있는 회전 구동원을 동작시켜 노즐(6c)을 피가공물(13)의 상방에 위치시킨다. 계속해서, 연통 부재(16a)를 통해 세정실과 연통하는 흡인원을 동작시킨다.Subsequently, the rotation drive source connected to the support shaft 6a of the cleaning unit 6 is operated to position the nozzle 6c above the workpiece 13. Subsequently, the suction source that communicates with the cleaning chamber through the communication member 16a is operated.

이에 의해, 세정실의 척 테이블(4)의 주위에 위치하는 제1 공간에 있어서 다운 플로우가 발생하고, 또한, 제1 공간보다 외측이며 또한 척 테이블(4)의 유지면보다 하측에 위치하는 제2 공간에 있어서 업 플로우가 발생한다.As a result, downflow occurs in the first space located around the chuck table 4 in the washing room, and further, the second space located outside the first space and below the holding surface of the chuck table 4 Upflow occurs in space.

계속해서, 이 흡인원을 동작시킨 채로, 하우징(24)에 수용되어 있는 회전 구동원을 동작시켜 척 테이블(4)을 회전시키고, 또한, 지지축(6a) 및 아암(6b)을 통해 노즐(6c)과 연통되는 세정액 공급원을 동작시켜 피가공물(13)의 표면(13a)을 향해 세정액을 분사한다.Subsequently, while operating this suction source, the rotary drive source accommodated in the housing 24 is operated to rotate the chuck table 4, and further the nozzle 6c is driven through the support shaft 6a and the arm 6b. ) is operated to spray the cleaning liquid toward the surface 13a of the workpiece 13.

이 때, 필요하면, 피가공물(13)의 상방에 있어서 노즐(6c)이 선회하도록 지지축(6a)에 접속되어 있는 회전 구동원을 동작시켜도 된다. 이에 의해, 피가공물(13)의 표면(13a)이 세정된다.At this time, if necessary, the rotation drive source connected to the support shaft 6a may be operated so that the nozzle 6c rotates above the workpiece 13. Thereby, the surface 13a of the workpiece 13 is cleaned.

또한, 이 세정에 따라, 가공 부스러기 등을 포함하는 미스트가 척 테이블(4)의 주위에 위치하는 제1 공간으로 확산되는 경우가 있다. 다만, 이 제1 공간에는, 다운 플로우가 생기고 있다. 그 때문에, 세정실의 천장(구체적으로는, 세정실 커버(10)의 상부(14)의 정상벽(14a)의 하면)에 대한 미스트의 부착이 억제된다.Additionally, due to this cleaning, mist containing processing debris and the like may spread into the first space located around the chuck table 4. However, a downflow occurs in this first space. Therefore, adhesion of mist to the ceiling of the washing room (specifically, the lower surface of the top wall 14a of the upper part 14 of the washing room cover 10) is suppressed.

계속해서, 이 흡인원을 동작시킨 채로, 세정 유닛(6)의 지지축(6a)에 접속되어 있는 회전 구동원을 동작시켜 노즐(6c)을 피가공물(13)의 상방으로부터 퇴피시키고, 또한, 건조 유닛(8)의 지지축(8a)에 접속되어 있는 회전 구동원을 동작시켜 노즐(8c)을 피가공물(13)의 상방에 위치시킨다.Subsequently, while operating this suction source, the rotation drive source connected to the support shaft 6a of the cleaning unit 6 is operated to retract the nozzle 6c from above the workpiece 13, and further drying. The rotation drive source connected to the support shaft 8a of the unit 8 is operated to position the nozzle 8c above the workpiece 13.

계속해서, 이 흡인원을 동작시킨 채로, 하우징(24)에 수용되어 있는 회전 구동원을 동작시켜 척 테이블(4)을 회전시키고, 또한, 지지축(8a) 및 아암(8b)을 통해 노즐(8c)과 연통되는 기체 공급원을 동작시켜 피가공물(13)의 표면(13a)을 향해 기체를 분사한다.Subsequently, while operating this suction source, the rotary drive source housed in the housing 24 is operated to rotate the chuck table 4, and further the nozzle 8c is driven through the support shaft 8a and the arm 8b. ) operates a gas supply source in communication with the gas supply source to spray gas toward the surface 13a of the workpiece 13.

이 때, 필요하면, 피가공물(13)의 상방에 있어서 노즐(8c)이 선회하도록 지지축(8a)에 접속되어 있는 회전 구동원을 동작시켜도 좋다. 이에 의해, 피가공물(13)의 표면(13a)에 부착된 세정액이 제거되어, 표면(13a)이 건조된다.At this time, if necessary, the rotation drive source connected to the support shaft 8a may be operated so that the nozzle 8c rotates above the workpiece 13. As a result, the cleaning liquid adhering to the surface 13a of the workpiece 13 is removed, and the surface 13a is dried.

또한, 이 건조에 수반하여, 가공 부스러기 등을 포함하는 미스트가 척 테이블(4)의 주위에 위치하는 제1 공간으로 확산되는 경우가 있다. 다만, 이 제1 공간에는, 다운 플로우가 생기고 있다. 그 때문에, 세정실의 천장(구체적으로는, 세정실 커버(10)의 상부(14)의 정상벽(14a)의 하면)에 대한 미스트의 부착이 억제된다.Additionally, with this drying, mist containing processing debris and the like may spread into the first space located around the chuck table 4. However, a downflow occurs in this first space. Therefore, adhesion of mist to the ceiling of the washing room (specifically, the lower surface of the top wall 14a of the upper part 14 of the washing room cover 10) is suppressed.

계속해서, 건조 유닛(8)의 지지축(8a)에 접속되어 있는 회전 구동원을 동작시켜 노즐(8c)을 피가공물(13)의 상방으로부터 퇴피시킨다. 계속해서, 세정실을 개방하도록, 세정실 커버(10)의 하부(12)로부터 상부(14)를 분리한다. 계속해서, 에어 실린더(28)를 동작시켜 척 테이블(4)을 반출입 위치로 이동시킨다.Subsequently, the rotation drive source connected to the support shaft 8a of the drying unit 8 is operated to retract the nozzle 8c from above the workpiece 13. Subsequently, the upper part 14 of the cleaning chamber cover 10 is separated from the lower part 12 to open the cleaning chamber. Subsequently, the air cylinder 28 is operated to move the chuck table 4 to the loading/unloading position.

계속해서, 압력 가변부(B) 및 부압 또는 정압 공급관(22a)과 연통하는 부압 공급원의 동작을 정지시키고, 또한, 이들과 연통하는 정압 공급원을 동작시킨다. 이에 의해, 척 테이블(4)에 의한 피가공물(13)의 흡인이 정지된다. 계속해서, 척 테이블(42)로부터 프레임 유닛(11)을 반출한다. 이상에 의해, 피가공물(13)의 세정이 완료된다.Subsequently, the operation of the negative pressure supply source in communication with the pressure variable part B and the negative pressure or positive pressure supply pipe 22a is stopped, and the positive pressure supply source in communication with them is operated. As a result, the suction of the workpiece 13 by the chuck table 4 is stopped. Subsequently, the frame unit 11 is unloaded from the chuck table 42. With the above, cleaning of the workpiece 13 is completed.

세정 장치(2)에 있어서는, 유지면과 동일하거나 또는 그것보다 하측의 위치에 있어서 하향으로 개구되는 선단부를 갖는 연통 부재(16a)를 통해 세정실과 연통하는 흡인원을 동작시키는 것에 의해, 척 테이블(4)의 주위에 위치하는 제1 공간에 있어서 다운 플로우를 발생시킨다. 이에 의해, 세정 장치(2)에 있어서는, 척 테이블(4)의 하측에 추가의 구성 요소를 설치하지 않고, 세정실의 천장에 대한 미스트의 부착을 억제하는 것이 가능하다.In the cleaning device 2, a suction source communicating with the cleaning chamber is operated through a communication member 16a having a tip opening downward at a position equal to or lower than the holding surface, thereby operating the chuck table ( A down flow is generated in the first space located around 4). As a result, in the cleaning device 2, it is possible to suppress adhesion of mist to the ceiling of the cleaning room without installing additional components below the chuck table 4.

또한, 상술한 내용은 본 발명의 일 양태이며, 본 발명의 내용은 상술한 내용에 한정되지 않는다. 예를 들면, 본 발명의 세정 장치에 있어서는, 세정실을 분할하는 분할판이 세정실에 설치되어 있어도 된다. 도 3은, 이러한 분할판이 세정실에 설치되어 있는 세정 장치의 일례를 모식적으로 도시하는 일부 단면 측면도이다.In addition, the above-described content is one aspect of the present invention, and the content of the present invention is not limited to the above-described content. For example, in the cleaning device of the present invention, a partition plate that divides the cleaning chamber may be installed in the cleaning chamber. Figure 3 is a partial cross-sectional side view schematically showing an example of a cleaning device in which such a partition plate is installed in a cleaning chamber.

도 3에 도시되는 세정 장치(48)에 있어서는, 척 테이블(4)을 둘러싸도록 링형의 분할판(50)이 설치되어 있다. 도 4는, 세정 장치(48)에 설치되는 분할판(50)을 모식적으로 도시하는 분해 사시도이다. 이 분할판(50)은, 그 외주부가 세정실 커버(10)의 하부(12)의 외주벽(12a)에 고정되어 있는 링형의 본체부(52)를 갖는다.In the cleaning device 48 shown in FIG. 3, a ring-shaped partition plate 50 is provided to surround the chuck table 4. FIG. 4 is an exploded perspective view schematically showing the partition plate 50 installed in the cleaning device 48. This partition plate 50 has a ring-shaped main body portion 52 whose outer peripheral portion is fixed to the outer peripheral wall 12a of the lower portion 12 of the cleaning chamber cover 10.

본체부(52)는, 예를 들어, 알루미늄 또는 스테인리스강 등의 금속 재료로 이루어지고, 서로 평행한 상면 및 하면을 갖는다. 또한, 본체부(52)에는, 흡인 유닛(16)의 연통 부재(16a)의 선단부에 감합하는 절결(52a)과, 세정 유닛(6)의 지지축(6a) 또는 건조 유닛(8)의 지지축(8a)이 통과되는 2개의 관통 구멍(52b)이 형성되어 있다.The main body 52 is made of a metal material such as aluminum or stainless steel, and has upper and lower surfaces parallel to each other. Additionally, the main body portion 52 includes a notch 52a that fits into the distal end of the communication member 16a of the suction unit 16, and supports the support shaft 6a of the cleaning unit 6 or the drying unit 8. Two through holes 52b through which the shaft 8a passes are formed.

또한, 본체부(52)에는, 각각이 본체부(52)의 직경 방향을 따라 연장되고, 또한, 각각의 횡단면의 형상이 대략 동일한 복수의 관통 구멍(52c)이 형성되어 있다. 또한, 본체부(52)의 상면에는, 본체부(52)의 둘레 방향을 따라 대략 동등한 각도의 간격으로 복수의 나사 구멍(52d)이 형성되어 있다.Additionally, a plurality of through holes 52c are formed in the main body 52, each extending along the radial direction of the main body 52, and each having a substantially identical cross-sectional shape. Additionally, on the upper surface of the main body 52, a plurality of screw holes 52d are formed at approximately equal angular intervals along the circumferential direction of the main body 52.

각 나사 구멍(52d)에는, 볼트(54)가 나사 결합되어 있다. 이 볼트(54)는, 연직 방향을 따라 연장되는 원기둥 형상의 축부와, 이 축부보다 직경이 크고, 또한, 연직 방향을 따른 길이가 작은 육각 기둥 형상의 헤드부를 갖는다. 또한, 이 축부는, 나사산이 형성되어 있는 하부(나사부)와, 나사산이 형성되어 있지 않은 상부(원통부)를 갖는다. 그리고, 볼트(54)의 나사부가, 본체부(52)의 상면에 형성되어 있는 나사 구멍(52d)에 나사 결합되어 있다.A bolt 54 is screwed into each screw hole 52d. This bolt 54 has a column-shaped shaft portion extending along the vertical direction, and a hexagonal column-shaped head portion whose diameter is larger than the shaft portion and whose length along the vertical direction is smaller. Additionally, this shaft portion has a lower portion (screw portion) in which threads are formed and an upper portion (cylindrical portion) in which threads are not formed. And the threaded portion of the bolt 54 is screwed into the threaded hole 52d formed on the upper surface of the main body portion 52.

또한, 본체부(52)와 복수의 볼트(54)의 각각의 헤드부의 사이에는, 원호 형상의 조정부(56)가 설치되어 있다. 구체적으로는, 이 조정부(56)의 단부(端部)에는 본체부(52)의 둘레 방향을 따라 연장되는 관통 구멍(56a)이 형성되어 있고, 이 관통 구멍(56a)에 볼트(54)의 원통부가 통과되어 있다.Additionally, an arc-shaped adjusting portion 56 is provided between the main body portion 52 and each head portion of the plurality of bolts 54 . Specifically, a through hole 56a extending along the circumferential direction of the main body 52 is formed at the end of the adjustment portion 56, and a bolt 54 is inserted into the through hole 56a. The cylindrical part is passed through.

이 조정부(56)는, 알루미늄 또는 스테인리스강 등의 금속 재료로 이루어지고, 서로 평행한 상면 및 하면을 갖는다. 또한, 조정부(56)는, 본체부(52)의 직경 방향에 있어서의 폭이 본체부(52)와 대략 동등하고, 또한, 그 곡률이 본체부(52)와 대략 동등하다. 또한, 조정부(56)에는, 각각이 본체부(52)의 직경 방향을 따라 연장되고, 또한 횡단면의 형상이 본체부(52)에 형성되어 있는 관통 구멍(52c)과 대략 동등한 복수의 관통 구멍(56b)이 형성되어 있다.This adjustment portion 56 is made of a metal material such as aluminum or stainless steel, and has upper and lower surfaces parallel to each other. Additionally, the width of the adjustment portion 56 in the radial direction of the main body portion 52 is substantially equal to that of the main body portion 52, and its curvature is approximately equal to the main body portion 52. In addition, the adjustment portion 56 includes a plurality of through holes, each of which extends along the radial direction of the main body 52 and whose cross-sectional shape is approximately equal to the through hole 52c formed in the main body 52 ( 56b) is formed.

또한, 조정부(56)의 단부에 형성되어 있는 관통 구멍(56a)은, 본체부(52)의 직경 방향에 있어서의 폭이 볼트(54)의 헤드부의 폭보다도 작다. 또한, 볼트(54)의 헤드부와 조정부(56)는 이격되어 있다. 그 때문에, 조정부(56)는, 볼트(54)의 원통부가 관통 구멍(56a)이 위치하는 범위에 있어서, 본체부(52)의 둘레 방향을 따라 슬라이드 가능하다.Additionally, the width of the through hole 56a formed at the end of the adjustment portion 56 in the radial direction of the main body portion 52 is smaller than the width of the head portion of the bolt 54. Additionally, the head portion of the bolt 54 and the adjusting portion 56 are spaced apart. Therefore, the adjustment portion 56 can slide along the circumferential direction of the main body portion 52 in the range where the cylindrical portion of the bolt 54 is located where the through hole 56a is located.

도 5(A), 도 5(B) 및 도 5(C)의 각각은, 본체부(52)와 조정부(56)의 상대적인 위치를 모식적으로 도시하는 상면도이다. 구체적으로는, 도 5(A)는, 볼트(54)의 원통부가 관통 구멍(56a)의 일단에 위치된 상태를 도시하고, 도 5(B)는, 볼트(54)의 원통부가 관통 구멍(56a)의 중앙에 위치된 상태를 도시하고, 도 5(C)는, 볼트(54)의 원통부가 관통 구멍(56a)의 타단에 위치된 상태를 도시하고 있다.Each of FIGS. 5(A), 5(B), and 5(C) is a top view schematically showing the relative positions of the main body 52 and the adjustment portion 56. Specifically, Figure 5(A) shows a state in which the cylindrical part of the bolt 54 is located at one end of the through hole 56a, and Figure 5(B) shows a state in which the cylindrical part of the bolt 54 is located at one end of the through hole 56a. 56a), and FIG. 5(C) shows a state in which the cylindrical portion of the bolt 54 is located at the other end of the through hole 56a.

그리고, 볼트(54)의 원통부가 관통 구멍(56a)의 일단에 위치되도록 조정부(56)를 슬라이드시키면(도 5(A) 참조), 본체부(52)에 형성되어 있는 관통 구멍(52c)과 조정부(56)에 형성되어 있는 관통 구멍(56b)이 대략 중첩된다. 그 때문에, 이 경우에는, 분할판(50)에 의해 분할되어 있는 세정실의 상부와 하부의 연통이 원활하게 행해진다.Then, when the adjusting part 56 is slid so that the cylindrical part of the bolt 54 is located at one end of the through hole 56a (see FIG. 5(A)), the through hole 52c formed in the main body 52 and The through holes 56b formed in the adjustment portion 56 substantially overlap. Therefore, in this case, the upper and lower parts of the washing chamber divided by the partition plate 50 are communicated smoothly.

또한, 볼트(54)의 원통부가 관통 구멍(56a)의 중앙에 위치되도록 조정부(56)를 슬라이드시키면(도 5(B) 참조), 본체부(52)에 형성되어 있는 관통 구멍(52c)과 조정부(56)에 형성되어 있는 관통 구멍(56b)이 부분적으로 중첩되는, 즉, 관통 구멍(52c)의 일부가 조정부(56)에 의해 부분적으로 덮인다. 그 때문에, 이 경우에는, 분할판(50)에 의해 분할되어 있는 세정실의 상부와 하부의 연통이 가능하지만 저해된다.In addition, when the adjusting part 56 is slid so that the cylindrical part of the bolt 54 is located at the center of the through hole 56a (see FIG. 5(B)), the through hole 52c formed in the main body 52 and The through hole 56b formed in the adjustment portion 56 partially overlaps, that is, a portion of the through hole 52c is partially covered by the adjustment portion 56. Therefore, in this case, communication between the upper and lower parts of the washing chamber divided by the partition plate 50 is possible but is hindered.

또한, 볼트(54)의 원통부가 관통 구멍(56a)의 타단에 위치하도록 조정부(56)를 슬라이드시키면(도 5(C) 참조), 본체부(52)에 형성되어 있는 관통 구멍(52c)과 조정부(56)에 형성되어 있는 관통 구멍(56b)이 거의 중첩되지 않는, 즉, 관통 구멍(52c)의 거의 전부가 조정부(56)에 의해 덮여진다. 그 때문에, 이 경우에는, 분할판(50)에 의해 분할되어 있는 세정실의 상부와 하부의 연통이 불가능 또는 곤란해진다.In addition, when the adjusting part 56 is slid so that the cylindrical part of the bolt 54 is located at the other end of the through hole 56a (see FIG. 5(C)), the through hole 52c formed in the main body 52 and The through holes 56b formed in the adjustment portion 56 almost do not overlap, that is, almost the entire through hole 52c is covered by the adjustment portion 56. Therefore, in this case, communication between the upper and lower parts of the washing chamber divided by the partition plate 50 becomes impossible or difficult.

세정 장치(48)에 있어서는, 예를 들면, 흡인 유닛(16)의 연통 부재(16a)에 가까워질수록 세정실의 상부와 하부와의 연통이 어려워지도록, 즉, 조정부(56)에 의해 덮이는 관통 구멍(52c)의 비율이 커지도록, 복수의 조정부(56)의 각각을 슬라이드시킨다. 이에 의해, 연통 부재(16a)로부터의 거리에 의존하지 않고, 세정실의 척 테이블(4)의 주위에 위치하는 제1 공간에 균일한 다운 플로우를 발생시킬 수 있다.In the cleaning device 48, for example, as it approaches the communication member 16a of the suction unit 16, communication between the upper and lower parts of the cleaning chamber becomes more difficult, that is, it is covered by the adjustment portion 56. slides each of the plurality of adjustment portions 56 so that the ratio of the through holes 52c increases. As a result, a uniform down flow can be generated in the first space located around the chuck table 4 in the washing room, regardless of the distance from the communication member 16a.

또한, 본 발명의 세정 장치에 있어서는, 조정부를 포함하지 않는 분할판이 세정실에 설치되어 있어도 좋다. 도 6은, 이러한 분할판의 일례를 모식적으로 나타내는 사시도이다. 도 6에 도시되는 분할판(58)은, 링형의 형상을 가지며, 예를 들어, 척 테이블(4)을 둘러싸도록 외주부가 세정실 커버(10)의 하부(12)의 외주벽(12a)에 고정된다.Additionally, in the cleaning device of the present invention, a partition plate that does not include an adjustment unit may be installed in the cleaning chamber. Figure 6 is a perspective view schematically showing an example of such a partition plate. The partition plate 58 shown in FIG. 6 has a ring-shaped shape. For example, the outer peripheral portion is attached to the outer peripheral wall 12a of the lower portion 12 of the cleaning chamber cover 10 so as to surround the chuck table 4. It is fixed.

또한, 분할판(58)은, 예를 들어, 알루미늄 또는 스테인리스강 등의 금속 재료로 이루어지고, 서로 평행한 상면 및 하면을 갖는다. 또한, 분할판(58)에는, 흡인 유닛(16)의 연통 부재(16a)의 선단부에 감합하는 절결(58a)과, 세정 유닛(6)의 지지축(6a) 또는 건조 유닛(8)의 지지축(8a)이 통과되는 2개의 관통 구멍(58b)이 형성되어 있다.Additionally, the partition plate 58 is made of a metal material such as aluminum or stainless steel, and has upper and lower surfaces parallel to each other. Additionally, the partition plate 58 includes a notch 58a that fits into the distal end of the communication member 16a of the suction unit 16, and supports the support shaft 6a of the cleaning unit 6 or the drying unit 8. Two through holes 58b through which the shaft 8a passes are formed.

또한, 분할판(58)에는, 절결(58a)의 근방을 제외하고, 분할판(58)의 둘레 방향을 따라 대략 동등한 각도의 간격으로 복수의 관통 구멍(58c)이 형성되어 있다. 그리고, 분할판(58)의 직경 방향에 있어서의 관통 구멍(58c)의 폭은, 예를 들어, 절결(58a)에 가까워질수록 작아진다.Additionally, a plurality of through holes 58c are formed in the partition plate 58 at approximately equal angular intervals along the circumferential direction of the partition plate 58, except in the vicinity of the notch 58a. And, for example, the width of the through hole 58c in the radial direction of the partition plate 58 becomes smaller as it approaches the notch 58a.

즉, 복수의 관통 구멍(58c)은, 연통 부재(16a)로부터 비교적 먼 위치에 형성되어 있는 관통 구멍(제1 관통 구멍)과, 제1 관통 구멍보다도 연통 부재(16a)에 근접하고, 또한, 제1 관통 구멍보다도 횡단면이 작은 관통 구멍(제2 관통 구멍)을 포함한다. 이에 의해, 연통 부재(16a)로부터의 거리에 의존하지 않고, 세정실의 척 테이블(4)의 주위에 위치하는 제1 공간에 균일한 다운 플로우를 발생시킬 수 있다.That is, the plurality of through holes 58c are closer to the communication member 16a than the through hole (the first through hole) formed at a position relatively distant from the communication member 16a, and the first through hole, and It includes a through hole (second through hole) whose cross section is smaller than that of the first through hole. As a result, a uniform down flow can be generated in the first space located around the chuck table 4 in the washing room, regardless of the distance from the communication member 16a.

그 밖에, 상술한 실시 형태에 관한 구조 및 방법 등은, 본 발명의 목적의 범위를 일탈하지 않는 한에 있어서 적절히 변경하여 실시할 수 있다.In addition, the structures and methods related to the above-described embodiments can be implemented with appropriate changes as long as they do not deviate from the scope of the purpose of the present invention.

2: 세정 장치
4: 척 테이블(4a: 포러스판)
6: 세정 유닛(6a: 지지축, 6b: 아암, 6c: 노즐(세정 노즐))
8: 건조 유닛(8a: 지지축, 8b: 아암, 8c: 노즐(건조 노즐))
10: 세정실 커버
11: 프레임 유닛
12: 하부(12a: 외주벽, 12b: 바닥벽, 12c: 내주벽)
13: 피가공물(13a: 표면, 13b: 이면)
14: 상부(14a: 정상벽, 14b: 측벽)
15: 분할 예정 라인
16: 흡인 유닛(16a: 연통 부재)
17: 디바이스
18: 배수구
19: 테이프
20: 지지 다리
21: 프레임(21a: 개구)
22: 스핀들
24: 하우징
26: 지지 기구
28: 에어 실린더
30: 지지 다리
32: 케이스
34: 베어링
36: 개방관
38: 부압 공급관
40: 정압 공급관
42a, 42b: 오일 시일
44: 커버 부재
46: 오일 시일
48: 세정 장치
50: 분할판
52: 본체부(52a: 절결, 52b, 52c: 관통 구멍, 52d: 나사 구멍)
54: 볼트
56: 조정부(56a, 56b: 관통 구멍)
58: 분할판(58a: 절결, 58b, 58c: 관통 구멍)
2: Cleaning device
4: Chuck table (4a: porous plate)
6: Cleaning unit (6a: support shaft, 6b: arm, 6c: nozzle (cleaning nozzle))
8: drying unit (8a: support shaft, 8b: arm, 8c: nozzle (drying nozzle))
10: Washing room cover
11: frame unit
12: Lower part (12a: outer wall, 12b: bottom wall, 12c: inner wall)
13: Workpiece (13a: surface, 13b: back side)
14: Top (14a: top wall, 14b: side wall)
15: Line scheduled for division
16: suction unit (16a: flue member)
17: Device
18: drain
19: Tape
20: support leg
21: frame (21a: opening)
22: spindle
24: housing
26: support mechanism
28: air cylinder
30: support leg
32: case
34: bearing
36: Open pipe
38: Negative pressure supply pipe
40: Positive pressure supply pipe
42a, 42b: oil seal
44: Cover member
46: oil seal
48: cleaning device
50: Divider plate
52: main body (52a: notch, 52b, 52c: through hole, 52d: screw hole)
54: bolt
56: adjustment unit (56a, 56b: through hole)
58: Divider plate (58a: notch, 58b, 58c: through hole)

Claims (3)

피가공물을 세정하기 위한 세정 장치로서,
유지면에 재치된 상기 피가공물을 유지하고, 그 유지면의 중심을 통과하는 직선을 회전축으로 하여 회전 가능한 척 테이블과,
상기 유지면에 있어서 상기 피가공물을 유지하는 상기 척 테이블이 회전한 상태에서, 상기 피가공물을 향하여 세정액을 분사하는 세정 노즐을 갖는 세정 유닛과,
상기 척 테이블 및 상기 세정 노즐이 설치되어 있는 세정실을 획정하는 세정실 커버와,
상기 세정실 내의 유체를 흡인하는 흡인 유닛을 구비하고,
상기 세정실은, 상기 척 테이블의 주위에 위치하는 제1 공간과, 상기 제1 공간보다 외측이며 또한 상기 유지면보다 하측에 위치하는 제2 공간을 포함하고,
상기 흡인 유닛은, 흡인원과, 그 흡인원과 상기 세정실을 연통시키는 연통 부재를 갖고,
상기 연통 부재는, 상기 흡인원에 연결되어 있는 기단부와, 상기 제1 공간보다 외측이며 또한 상기 유지면과 동일하거나 또는 그것보다 하측의 위치에 있어서 하향으로 개구되는 선단부를 갖고,
상기 연통 부재를 통해 상기 세정실과 연통하는 상기 흡인원이 동작하면, 상기 제1 공간에 있어서 다운 플로우가 발생하고, 또한, 상기 제2 공간에 있어서 업 플로우가 발생하는, 세정 장치.
As a cleaning device for cleaning a workpiece,
a chuck table that holds the workpiece placed on a holding surface and is rotatable using a straight line passing through the center of the holding surface as a rotation axis;
a cleaning unit having a cleaning nozzle that sprays a cleaning liquid toward the workpiece while the chuck table holding the workpiece on the holding surface is rotated;
a cleaning chamber cover defining a cleaning chamber in which the chuck table and the cleaning nozzle are installed;
Provided with a suction unit for suctioning fluid in the cleaning chamber,
The cleaning chamber includes a first space located around the chuck table, and a second space located outside the first space and below the holding surface,
The suction unit has a suction source and a communication member that communicates the suction source with the cleaning chamber,
The communication member has a proximal end connected to the suction source and a distal end that is outer than the first space and opens downward at a position equal to or lower than the holding surface,
When the suction source communicating with the cleaning chamber through the communication member operates, a downflow occurs in the first space and an upflow occurs in the second space.
제1항에 있어서,
상기 척 테이블을 둘러싸도록 링형의 분할판이 설치되고,
상기 분할판은,
복수의 관통 구멍이 형성되어 있는 본체부와,
각각이 상기 복수의 관통 구멍 중 적어도 하나를 덮는 것이 가능한 복수의 조정부를 포함하는, 세정 장치.
According to paragraph 1,
A ring-shaped partition plate is installed to surround the chuck table,
The split plate is,
A main body portion in which a plurality of through holes are formed,
A cleaning device comprising a plurality of adjusting portions each capable of covering at least one of the plurality of through holes.
제1항에 있어서,
상기 척 테이블을 둘러싸도록 링형의 분할판이 설치되고,
상기 분할판에는, 복수의 관통 구멍이 형성되고,
상기 복수의 관통 구멍은, 제1 관통 구멍과, 그 제1 관통 구멍보다 상기 연통 부재에 근접하고, 또한, 상기 제1 관통 구멍보다 횡단면이 작은 제2 관통 구멍을 포함하는, 세정 장치.
According to paragraph 1,
A ring-shaped partition plate is installed to surround the chuck table,
A plurality of through holes are formed in the partition plate,
The plurality of through holes include a first through hole and a second through hole that is closer to the communication member than the first through hole and has a smaller cross section than the first through hole.
KR1020230085441A 2022-07-11 2023-07-03 Cleaning apparatus KR20240008251A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2022-110977 2022-07-11
JP2022110977A JP2024009449A (en) 2022-07-11 2022-07-11 Cleaning device

Publications (1)

Publication Number Publication Date
KR20240008251A true KR20240008251A (en) 2024-01-18

Family

ID=89468978

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230085441A KR20240008251A (en) 2022-07-11 2023-07-03 Cleaning apparatus

Country Status (4)

Country Link
JP (1) JP2024009449A (en)
KR (1) KR20240008251A (en)
CN (1) CN117393461A (en)
TW (1) TW202402414A (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260094A (en) 2008-04-18 2009-11-05 Disco Abrasive Syst Ltd Spinner cleaning device and working device
JP2012094659A (en) 2010-10-26 2012-05-17 Disco Abrasive Syst Ltd Spinner cleaning device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260094A (en) 2008-04-18 2009-11-05 Disco Abrasive Syst Ltd Spinner cleaning device and working device
JP2012094659A (en) 2010-10-26 2012-05-17 Disco Abrasive Syst Ltd Spinner cleaning device

Also Published As

Publication number Publication date
JP2024009449A (en) 2024-01-23
CN117393461A (en) 2024-01-12
TW202402414A (en) 2024-01-16

Similar Documents

Publication Publication Date Title
JP5180661B2 (en) Spinner cleaning device and processing device
US6824621B2 (en) Single wafer type substrate cleaning method and apparatus
US11114316B2 (en) Substrate treating apparatus
KR102018397B1 (en) Method and device for wet treatment of plate-like articles
JP6863691B2 (en) Board processing equipment
TWI544564B (en) Substrate processing apparatus
JP2010207723A (en) Resin film forming apparatus
JP2012094659A (en) Spinner cleaning device
TWI664029B (en) Rotary washing device
JP4805003B2 (en) Liquid processing equipment
JP2011224729A (en) Ball screw protecting bellows mechanism
TWI660823B (en) Cutting device
KR102353654B1 (en) Cleaning apparatus
KR20240008251A (en) Cleaning apparatus
KR20210088644A (en) Substrate processing apparatus and cleaning method of substrate processing apparatus
JP4804407B2 (en) Liquid processing equipment
TW202305909A (en) Washing device that includes a cover arranged on a transportation mechanism and including a porous plate and a base plate
JP4912020B2 (en) Liquid processing equipment
JP6739268B2 (en) Substrate processing equipment
JP4471747B2 (en) Semiconductor device manufacturing equipment
JP6799409B2 (en) Board processing equipment
TWI712095B (en) Substrate bevel and back protection device
CN219203108U (en) Wafer cleaning device
JP4390628B2 (en) Substrate processing apparatus and processing method thereof
JP2022159719A (en) holding table