KR20230117713A - 실리콘 게르마늄 구조체를 형성하는 방법 - Google Patents

실리콘 게르마늄 구조체를 형성하는 방법 Download PDF

Info

Publication number
KR20230117713A
KR20230117713A KR1020230014514A KR20230014514A KR20230117713A KR 20230117713 A KR20230117713 A KR 20230117713A KR 1020230014514 A KR1020230014514 A KR 1020230014514A KR 20230014514 A KR20230014514 A KR 20230014514A KR 20230117713 A KR20230117713 A KR 20230117713A
Authority
KR
South Korea
Prior art keywords
substrate
silicon
silicon precursor
precursor
layer
Prior art date
Application number
KR1020230014514A
Other languages
English (en)
Inventor
김원종
라미 카자카
마이클 유진 기븐스
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20230117713A publication Critical patent/KR20230117713A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02452Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02499Monolayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02513Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors

Abstract

기판 상에 헤테로에피택셜 층을 형성하는 것을 포함하여 구조체를 형성하기 위한 방법이 개시된다. 본 개시의 방법은 기판 상에 버퍼 층을 에피택셜로 형성하는 단계를 포함한다. 기판은 기판 조성물을 갖는다. 버퍼 층은 버퍼 층 조성물을 갖는다. 버퍼 층 조성물은 기판 조성물과 실질적으로 동일하다. 본 개시의 방법은 버퍼 층 상에 헤테로에피택셜 층을 에피택셜로 형성하는 단계를 추가로 포함한다. 헤테로에피택셜 층은 기판 조성물과 상이한 헤테로에피택셜 층 조성물을 갖는다.

Description

실리콘 게르마늄 구조 형성 방법 {Methods of forming silicon germanium structures}
본 개시는 일반적으로 실리콘 - 실리콘 게르마늄 스택을 형성하기 위한 방법 및 이와 관련된 구조체에 관한 것이다. 이러한 구조체는 집적 회로 분야에서, 예를 들어 게이트-올-어라운드 또는 나노시트 전계 효과 트랜지스터의 맥락에서 유용하다.
3차원 트랜지스터 중에서, 나노시트 전계 효과 트랜지스터(FET)는 감소된 누설 전류 및 높은 구동 전류로 인해 유망한 차세대 소자로 간주된다. 나노시트 FET 채널은 먼저 하나 이상의 순차적 SiGe 및 Si 층 쌍을 증착하고, 후속하여 SiGe 층을 제거하여 하나 이상의 Si 나노시트를 형성함으로써 형성된다. 인터페이스의 화학물질 급변은 균일한 소자 성능 측면에서 소자 응용에 매우 중요하다. 확산 계면은 채널 표면을 거칠게 할 수 있으며, 이는 캐리어 산란 및 채널 두께의 변동을 야기할 수 있다.
화학물질 급변을 갖는 양호한 계면을 획득하는 것은, 이전에 Si(001) 기판 상에서 성공적으로 얻었지만, Si(110) 기판 상에서는 여전히 도전적이다. 실제로, Si(110) 기판 상의 SiGe/Si 멀티 스택은 Si(100) 기판 상의 SiGe/Si 멀티 스택에 비해 더 빠른 완화 및 더 확산된 SiGe - Si 계면을 겪는 경향이 있다.
에피택셜 구조체를 형성하는 방법이 본원에 설명된다. 상기 방법은 기판을 반응 챔버에 제공하는 단계를 포함한다. 기판은 단결정질(110) 실리콘 표면을 포함한다. 상기 방법은 기판을 제1 실리콘 전구체에 노출시키는 단계를 추가로 포함한다. 따라서, 단결정질 실리콘 버퍼 층은 (110) 실리콘 표면 상에 에피택셜로 형성된다. 상기 방법은, 그 다음 하나 이상의 증착 사이클을 실행하는 단계를 추가로 포함한다. 증착 사이클은 주어진 순서에 따라, SiGe 펄스 및 Si 펄스를 포함한다. SiGe 펄스는, 기판을 제2 실리콘 전구체 및 게르마늄 전구체에 노출시키는 단계를 포함한다. Si 펄스는 기판을 제3 실리콘 전구체에 노출시키는 단계를 포함한다. 따라서, 하나 이상의 이중 층이 단결정질 실리콘 버퍼 층 위에 형성된다. 이중 층은 SiGe 층 및 Si 층을 포함한다.
일부 구현예에서, 기판을 제1 실리콘 전구체에 노출시키는 단계 및 하나 이상의 증착 사이클을 실행하는 단계는, 임의의 개입된 진공 파괴 없이 동일한 반응 챔버에서 실행된다.
일부 구현예에서, 반응 챔버는 적어도 5 토르 내지 최대 80 토르의 압력에서 유지된다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 제3 실리콘 전구체, 및 게르마늄 전구체 중 적어도 하나는 캐리어 가스 스트림으로 반응 챔버에 연속적으로 제공된다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체는 실란 및 할로실란으로부터 독립적으로 선택된다.
일부 구현예에서, 제1 실리콘 전구체는 할로실란을 포함한다.
일부 구현예에서, 기판을 제1 실리콘 전구체에 노출시키는 단계는 기판을 세정하는 단계가 선행한다.
일부 구현예에서, 기판을 세정하는 단계는, 기판을 수소 가스에 노출시키는 단계, 및 기판을 불소 라디칼에 노출시키는 단계 중 하나 이상을 포함한다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체는 동일하다.
일부 구현예에서, 제1 실리콘 전구체 및 제2 실리콘 전구체는 동일하고, 제1 실리콘 전구체는 제3 실리콘 전구체와 상이하다.
일부 구현예에서, 제1 실리콘 전구체 및 제3 실리콘 전구체는 동일하고, 제1 실리콘 전구체는 제3 실리콘 전구체와 상이하다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체는 상이하다.
일부 구현예에서, 반응 챔버는 적어도 5 토르 내지 최대 760 토르의 압력에서 유지된다.
일부 구현예에서, 게르마늄 전구체는 게르마늄을 포함한다.
기판 상에 헤테로에피택셜 층을 형성하는 방법이 본원에서 추가로 설명된다. 상기 방법은, 주어진 순서에 따라, 기판을 반응 챔버에 제공하는 단계, 기판 상에 버퍼 층을 에피택셜로 형성하는 단계, 및 버퍼 층 상에 헤테로에피택셜 층을 에피택셜로 형성하는 단계를 포함한다. 기판은 기판 조성물을 가지며, 버퍼 층은 버퍼 층 조성물을 갖는다. 버퍼 층 조성물은 기판 조성물과 실질적으로 동일하다. 헤테로에피택셜 층은 기판 조성물과 상이한 헤테로에피택셜 조성물을 갖는다.
일부 구현예에서, 기판은 단결정질 Si(110) 기판이고, 기판 및 버퍼 층은 99 원자% 초과의 실리콘을 포함한다.
일부 구현예에서, 헤테로에피택셜 층은 실리콘과 게르마늄의 합금을 포함한다.
일부 구현예에서, 기판은 최대 700℃까지(at most 700℃)의 온도에서 유지된다.
본원에서 설명된 방법에 따라 형성된 구조체가 본원에서 더 설명된다.
하나 이상의 반응 챔버, 가스 주입 시스템, 및 시스템으로 하여금 본원에 설명된 바와 같은 방법을 수행시키도록 구성된 제어기를 포함하는 시스템이, 본원에 추가로 설명된다.
본 개시 및 그 구현예는 다음의 도면에 의해 예시된다.
도 1은 본원에 설명된 방법에 따라 형성될 수 있는 구조체(100)를 나타낸다.
도 2는, 본 개시의 예시적인 추가 구현예에 따른 시스템(200)을 나타낸다.
도 3은 비교 실험 데이터를 나타낸다.
도 4는 본원에 설명된 방법, 및 기준과의 비교를 사용하여 얻은 실험 결과를 나타낸다.
도 5는 본원에 설명된 방법의 예시적인 구현예의 공정 흐름도를 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로 헤테로에피택시에 관한 것이다. 특히, 본 개시의 방법은 헤테로에피택셜 층을 성장시키기 전에 기판 상에서 버퍼 층을 성장시키는 것을 특징으로 한다. 버퍼 층은 기판의 조성물과 실질적으로 동일한 조성물을 갖는다.
본 개시에서, "가스"는 실온 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 지칭할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 가스 분배 어셈블리, 다른 가스 분배 장치 등을 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 귀 가스와 같은 밀폐 가스를 포함한다. 일부 구현예에서, 용어 "전구체" 및 "반응물"은 상호 교환적으로 사용될 수 있고, 예를 들어 막 또는 층을 생성하는 화학 반응에 참여하는 기상 화합물을 일반적으로 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은, 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료 등과 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 토폴로지, 예컨대 오목부, 라인 등을 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "에피택셜 층"은, 실질적으로 단결정질 층 또는 기판 위의 실질적으로 단결정질인 층을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "실리콘 게르마늄을 포함한 층"또는 "SiGe 층"은 실리콘 및 게르마늄, 예컨대 실리콘 및 게르마늄의 합금을 포함한 층을 지칭한다. 실리콘 게르마늄을 포함한 층은 일반 조성식 Si1-xGex (여기서, x는 0보다 크고 1보다 작음)로 표시할 수 있다. 일부 맥락에서, SiGe를 포함한 층은 SiGe의 벌크 재료를 포함할 수 있다. 실리콘 게르마늄을 포함한 층은, 다른 원소, 예컨대 B, As, Ga, P, Sn, In 등 중 하나 이상을 포함할 수 있다. 예를 들어, 실리콘 게르마늄을 포함한 층은, 이러한 다른 원소 중 하나 이상을 약 제로 또는 최대 약 1.0 원자%까지 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "실리콘을 포함한 층" 또는 "Si 층"은 실리콘을 포함하는 층, 예컨대 단결정질 실리콘 층을 지칭할 수 있다. 실리콘을 포함한 층은 다른 원소, 예를 들어 C, P, As, B, Sb 등을 포함하는 도펀트를-예를 들어 상기 다른 원소 중 하나 이상을 약 0.01 내지 약 95 원자%를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "구조체"는 부분적으로 또는 완전히 제조된 소자 구조체를 지칭할 수 있다. 예로서, 구조체는, 그 위에 형성된 전이 층 및/또는 패시베이션을 갖는 기판을 포함할 수 있다. 구조체는 추가 층, 예컨대 SiGe를 포함한 층 및/또는 Si를 포함한 층을 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "캐리어 가스"는 하나 이상의 전구체와 함께 반응 챔버에 제공되는 가스를 지칭할 수 있다. 예를 들어, 캐리어 가스는 본원에서 사용되는 전구체 중 하나 이상과 함께 반응 챔버에 제공될 수 있다. 예시적인 캐리어 가스는 H2, N2, 및 귀가스, 예컨대 He, Ne, Kr, Ar, 및 Xe을 포함한다.
Si(100), Si(110) 등과 같은 용어는 밀러 지수로 표시된 바와 같이 결정 배향을 지칭하는 것으로 이해될 것이다. 따라서, Si(100) 기판은 (100) 방향을 따라 배향되는 표면 영역을 포함한 기판을 지칭한다. 유사하게, Si(110) 기판은 (110) 방향을 따라 배향되는 표면 영역을 포함한 기판을 지칭한다. 일부 구현예에서, 용어 Si(100) 기판 및 Si(110) 기판은 각각 (100) 평면 또는 (110) 평면을 따라 절단되는 실리콘 웨이퍼를 지칭한다. 각각의 기판 또는 표면 영역의 배향은 완벽할 필요가 없고, 예를 들어 적어도 0.1도 내지 최대 2.0도 만큼 몇 도만큼 차이날 수 있음을 이해해야 한다. 유리하게, 기판은 단결정질 실리콘이다.
기판 상에 헤테로에피택셜 층을 형성하는 방법이 본원에서 설명된다. 방법은, 기판을 반응 챔버에 제공하는 단계를 포함한다. 그 다음, 버퍼 층이 기판 상에 에피택셜로 형성된다. 버퍼 층은 기판의 조성물과 실질적으로 동일한 조성물을 갖는다. 그 다음, 헤테로에피택셜 층이 버퍼 층 상에 형성된다. 헤테로에피택셜 층은 기판의 조성물과 상이한 조성물을 갖는다. 유리하게는, 버퍼 층은 헤테로에피택셜 층의 에피택셜 품질을 개선할 수 있다.
버퍼 층의 조성은 기판의 조성과 동일하거나 실질적으로 동일한 것으로 이해될 것이다. 그럼에도 불구하고, 일부 구현예에서, 상기 버퍼 층은 불순물을 포함할 수 있고, 예를 들어, 0.1 원자% 미만, 또는 1.0x10-2 원자% 미만, 또는 1.0x10-3 원자% 미만, 또는 1.0x10-4 원자% 미만, 또는 1.0x10-5 원자% 미만, 또는 1.0x10-6 원자% 미만, 또는 1.0x10-7 원자% 미만, 또는 1.0x10-8 원자% 미만, 또는 1.0x10-9 원자% 미만, 또는 1.0x10-10 원자% 미만인 농도의 불순물을 포함할 수 있다.
일부 구현예에서, 헤테로에피택셜 층은 복수의 서브 층을 포함할 수 있다. 예를 들어, 복수의 서브 층은 복수의 헤테로에피택셜 층 쌍을 포함할 수 있다. 헤테로에피택셜 층 쌍은 제1 서브 층 및 제2 서브 층을 포함한다. 제1 서브 층과 제2 서브 층 중 적어도 하나는 기판의 조성물과 상이한 조성물을 갖는다. 일부 구현예에서, 기판은 실리콘을 포함하고, 버퍼 층은 실리콘을 포함하고, 제1 서브 층은 적어도 10 원자%의 게르마늄을 포함한 실리콘-제르마늄 합금을 포함하고, 제2 서브 층은 실리콘을 포함한다. 기판은, 예를 들어 단결정질 Si(100) 또는 Si(110) 웨이퍼일 수 있다. 예를 들어, 기판은 초코랄스키 또는 플로팅 존 공정을 사용하여 성장될 수 있다. 일부 구현예에서, 기판은 단결정질 Si(110) 기판이고, 기판 및 버퍼 층은 99 원자% 초과의 실리콘을 포함하고, 헤테로에피택셜 층은 실리콘과 게르마늄의 합금을 포함한다.
따라서, 추가적으로 에피택셜 구조체를 형성하는 방법이 본원에 설명된다. 상기 방법은 기판을 반응 챔버에 제공하는 단계를 포함한다. 기판은 Si(110) 표면으로도 알려진 단결정질 (110) 실리콘 표면을 포함한다. 상기 방법은 기판을 제1 실리콘 전구체에 노출시키는 단계를 추가로 포함한다. 따라서, 단결정질 실리콘 버퍼 층이 (110) 실리콘 기판 상에 형성된다. 상기 방법은, 그 다음 하나 이상의 증착 사이클을 실행하는 단계를 포함한다. 증착 사이클은 다음 순서로 SiGe 펄스 및 Si 펄스를 포함한다. "SiGe 펄스"는 실리콘-게르마늄 펄스를 나타내고, "Si 펄스"는 실리콘 펄스를 나타낸다는 것을 이해해야 한다. SiGe 펄스는, 기판을 제2 실리콘 전구체 및 게르마늄 전구체에 노출시키는 단계를 포함한다. Si 펄스는 기판을 제3 실리콘 전구체에 노출시키는 단계를 포함한다. 따라서, 하나 이상의 이중 층이 단결정질 실리콘 버퍼 층 상에 에피택셜로 형성된다. 이중 층은 SiGe 층 및 Si 층을 포함한다. 유리하게는, 하나 이상의 이중 층 전에 버퍼 층을 성장시키면, 하나 이상의 이중 층이 기판 상에 직접 형성되는 경우와 비교하여 Si 층과 SiGe 층 사이의 계면 두께를 감소시킬 수 있다.
일부 구현예에서, 하나 이상의 Si-SiGe 이중 층은 US20210020429A1에 설명된 방법에 따라 성장될 수 있으며, 이는 그 전체가 참조로서 본원에 통합된다.
일부 구현예에서, 하나 이상의 이중 층에 포함된 Si 및 SiGe 층 중 적어도 하나는 적어도 2 nm 내지 최대 20 nm, 또는 적어도 2 nm 내지 최대 5 nm, 또는 적어도 5 nm 내지 최대 10 nm, 또는 적어도 10 nm 내지 최대 20 nm의 두께를 갖는다.
일부 구현예에서, Si 버퍼 층은 적어도 1 nm 내지 최대 30 nm, 또는 적어도 1 nm 내지 최대 2 nm, 또는 적어도 2 nm 내지 최대 5 nm, 또는 적어도 5 nm 내지 최대 10 nm, 또는 적어도 10 nm 내지 최대 20 nm, 또는 적어도 20 nm 내지 최대 30 nm의 두께를 갖는다.
Si 층은 실질적으로 실리콘으로 이루어진 것으로 이해되어야 한다. 예를 들어, Si 층은 적어도 99 원자%의 Si, 또는 적어도 99.9 원자%의 Si, 또는 적어도 99.99 원자%의 Si, 또는 적어도 99.999 원자%의 Si, 또는 적어도 99.9999 원자%의 Si, 또는 적어도 99.99999 원자%의 Si, 또는 적어도 99.999999 원자%의 Si를 포함할 수 있다. 그럼에도 불구하고, Si 층은 불순물을 포함할 수 있다. 예시적인 불순물은 B, Al, Sn, P, 및 As를 포함한다.
SiGe 층은 실질적으로 실리콘-게르마늄 합금으로 구성되는 것으로 이해되어야 한다. 예를 들어, SiGe 층은 적어도 99 원자%, 또는 적어도 99.9 원자%, 또는 적어도 99.99 원자%, 또는 적어도 99.999 원자%, 또는 적어도 99.9999 원자%, 또는 적어도 99.99999 원자%, 또는 적어도 99.999999 원자%의 실리콘 및 게르마늄의 조합된 농도를 가질 수 있다. 그럼에도 불구하고, SiGe 층은 불순물을 포함할 수 있다. 예시적인 불순물은 B, Al, Sn, P, 및 As를 포함한다.
일부 구현예에서, Si 층은 적어도 50 내지 최대 90 원자%의 Si, 또는 적어도 60 내지 최대 80 원자%의 Si를 포함한다. 일부 구현예에서, SiGe 층은 적어도 10 내지 최대 50 원자%의 Ge, 또는 적어도 20 내지 최대 40 원자%의 Ge를 포함한다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체는 실란 및 할로실란으로부터 독립적으로 선택된다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체 중 하나 이상은 할로실란을 포함한다. 일부 구현예에서, 제1 실리콘 전구체는 할로실란을 포함한다. 일부 구현예에서, 제2 실리콘 전구체는 할로실란을 포함한다. 일부 구현예에서, 제3 실리콘 전구체는 할로실란을 포함한다. 적합한 할로실란은 테트라클로로실란, 트리클로로실란, 디클로로실란, 및 모노클로로실란과 같은 클로로실란을 포함한다. 추가적으로 적합한 할로실란은 클로로펜타메틸디실란(CPMS), 디클로로테트라메틸디실란(DTMS), 헥사클로로실란(HCDS), 펜타클로로실란(PCDS), 및 옥타클로로실란(OCTS)을 포함한다.
일부 구현예에서, 클로로실란은 디클로로실란을 포함한다. 추가적으로 또는 대안적으로, 할로실란은 테트라보로실란, 트리보로실란, 디보로실란, 또는 모노보로실란과 같은 보로실란을 포함할 수 있다. 추가적으로 또는 대안적으로, 할로실란은 테트라요오드실란, 트리요오드실란, 디요오드실란, 또는 모노요오드실란과 같은 요오드실란을 포함할 수 있다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체 중 하나 이상은 실란을 포함한다. 일부 구현예에서, 제1 실리콘 전구체는 실란을 포함한다. 일부 구현예에서, 제2 실리콘 전구체는 실란을 포함한다. 일부 구현예에서, 제3 실리콘 전구체는 실란을 포함한다. 적합한 실란은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8) 및 테트라실란(Si4H10)을 포함한다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체는 동일하다.
일부 구현예에서, 제1 실리콘 전구체 및 제2 실리콘 전구체는 동일하고, 제1 실리콘 전구체는 제3 실리콘 전구체와 상이하다.
일부 구현예에서, 제1 실리콘 전구체 및 제3 실리콘 전구체는 동일하고, 제1 실리콘 전구체는 제3 실리콘 전구체와 상이하다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 및 제3 실리콘 전구체는 상이하다.
일부 구현예에서, 제1, 제2 및 제3 실리콘 전구체 중 하나 이상은 할로실란 및 실란을 포함한다. 즉, 일부 구현예에서, 실리콘 전구체는 할로실란 및 실리콘 수소화물을 포함한다. 적합한 실란은 모노실란, 디실란, 및 트리실란을 포함한다.
일부 구현예에서, 제1, 제2, 및 제3 실리콘 전구체 중 적어도 하나는 실리콘 및/또는 게르마늄 전구체에 더하여 붕소 전구체를 추가로 포함한다. 일부 구현예에서, 붕소 전구체는 보란을 포함한다. 적합한 보란은 B1 내지 B10 보란, 즉 분자 당 1 내지 10개의 붕소 원자를 함유하는 보란, 예컨대 B2H6을 포함한다.
일부 구현예에서, 제1, 제2, 및 제3 실리콘 전구체 중 적어도 하나는 실리콘 및/또는 게르마늄 전구체에 더하여 알루미늄 전구체를 추가로 포함한다. 일부 구현예에서, 알루미늄 전구체는 Al(CH3)3을 포함한다.
일부 구현예에서, 제1, 제2, 및 제3 실리콘 전구체 중 적어도 하나는 실리콘 및/또는 게르마늄 전구체에 더하여 주석 전구체를 추가로 포함한다. 일부 구현예에서, 주석 전구체는 SnCl4를 포함한다.
일부 구현예에서, 제1, 제2, 및 제3 실리콘 전구체 중 적어도 하나는 실리콘 및/또는 게르마늄 전구체에 더하여 인 전구체를 추가로 포함한다. 일부 구현예에서, 인 전구체는 PH3를 포함한다.
일부 구현예에서, 제1, 제2, 및 제3 실리콘 전구체 중 적어도 하나는 실리콘 및/또는 게르마늄 전구체에 더하여 비소 전구체를 추가로 포함한다. 일부 구현예에서, 비소 전구체는 AsH3을 포함한다.
일부 구현예에서, 게르마늄 전구체는 게르마늄을 포함한다. 적절한 저메인은 모노저메인, 디저메인, 트리저메인, 및 테트라저메인을 포함한다.
일부 구현예에서, 게르마늄 전구체는 실리콘 및 게르마늄을 포함한다. 실리콘 및 게르마늄 함유 전구체의 예시는 저밀실란을 포함한다.
일부 구현예에서, 게르마늄 전구체는 할로저메인을 포함한다. 적절한 할로저메인은 모노클로로저메인, 디클로로저메인, 트리클로로저메인과 같은 클로로저메인을 포함한다. 일부 구현예에서, 할로저메인은 염소화된 디저메인, 트리저메인, 또는 테트라저메인과 같은 할로겐화 고차 저메인을 포함한다.
일부 구현예에서, 기판을 제1 실리콘 전구체에 노출시키는 단계는 기판을 세정하는 단계가 선행한다. 기판을 세정하는 단계는, 예를 들어 기판을 수소 가스에 노출시키는 단계, 및 기판을 불소 라디칼에 노출시키는 단계 중 하나 이상을 포함할 수 있다. 기판 세정을 수행한 후, 기판은 임의의 개입된 진공 파괴 없이 제1 실리콘 전구체에 노출되는 것으로 이해될 것이다. 일부 구현예에서, 기판 세정, 및 기판을 제1 실리콘 전구체에 노출시키는 단계는 동일한 반응 챔버에서 수행된다. 일부 구현예에서, 기판 세정, 및 기판을 제1 실리콘 전구체에 노출시키는 단계는 동일한 시스템 내 포함된 상이한 반응 챔버에서 수행된다. 이러한 시스템에서, 반응 챔버는 임의의 개입된 진공 파괴 없이 기판이 이들 사이에서 이동할 수 있도록 작동 가능하게 연결된다.
적절한 기판 세정은, H-종결된 실리콘 표면을 생성하는 기상 사전 세정, 예를 들어 플라즈마 세정을 포함한다. 가능한 사전 세정의 다른 하나는 습식 화학물질을 사용한다. 예를 들어, 다음 시퀀스가 사용될 수 있다: NH4OH, H2O2, 및 H2O로 이루어진 혼합물 중의 표면 산화; 이어서 헹굼; 이어서 HF 침지; 이어서 헹굼. 적절한 HF 침지는, 예를 들어 적어도 0.1 부피% 내지 최대 1.5 부피%의 HF를 물, 예를 들어 증류수 또는 탈이온수에 넣은 수용액으로 이루어진 혼합물 내의 침지를 포함한다.
일부 구현예에서, 기판을 제1 실리콘 전구체에 노출시키는 단계 및 하나 이상의 증착 사이클을 실행하는 단계는, 임의의 개입된 진공 파괴 없이 동일한 반응 챔버에서 실행된다.
일부 구현예에서, 기판은 버퍼 층을 형성하는 동안 적어도 300℃ 내지 최대1000℃의 온도에서 유지된다. 일부 구현예에서, 기판은, 버퍼 층을 형성하는 동안 적어도 300℃ 내지 최대 500℃, 또는 적어도 500℃ 내지 최대 750℃, 또는 적어도 750℃ 내지 최대 1000℃의 온도에서 유지된다.
일부 구현예에서, 그리고 버퍼 층의 형성 동안, 기판은, 헤테로에피택셜층의 형성 동안 기판이 유지되는 헤테로에피택셜 층 온도보다 높은 버퍼 층 온도에서 유지된다. 일부 구현예에서, 버퍼 층 온도는 헤ㅔ테로에피택셜 층 온도보다 적어도 50℃ 내지 최대 100℃, 또는 적어도 100℃ 내지 최대 150℃, 또는 적어도 150℃ 내지 최대 300℃, 또는 적어도 300℃ 내지 최대 600℃ 더 높다.
일부 구현예에서, 기판은, Si-SiGe 멀티스택, 즉 실리콘 - 실리콘 게르마늄 초격자를 형성하는 동안 적어도 300℃ 내지 최대 500℃의 온도로 유지된다. 일부 구현예에서, 기판은, Si-SiGe 멀티스택을 형성하는 동안 적어도 300℃ 내지 최대 425℃의 온도, 또는 적어도 425℃ 내지 최대 500℃의 온도로 유지된다.
일부 구현예에서, 기판은 버퍼 층을 형성하기 전에 세정된다. 세정은, 예를 들어 기판을 불소 라디칼에 노출시키고, 후속하여, 적어도 800℃ 내지 최대 1000℃의 온도에서 H2 함유 분위기에 기판을 노출시킴으로써, 수행될 수 있다.
기판 온도는 반응 챔버 내에서 및 기판 위에 매달린 고온계에 의해 측정될 수 있음을 이해할 것이다.
일부 구현예에서, 반응 챔버는 적어도 5 토르 내지 최대 760 토르의 압력, 예를 들어 적어도 5 토르 내지 최대 80 토르의 압력에서 유지된다. 일부 구현예에서, 반응 챔버는 적어도 5 토르 내지 최대 160 토르의 압력에서 유지된다. 일부 구현예에서, 반응 챔버는 적어도 10 토르 내지 최대 160 토르의 압력에서 유지된다. 일부 구현예에서, 반응기 챔버는 적어도 5 토르 내지 최대 740 토르의 압력에서 유지된다. 일부 구현예에서, 반응 챔버는 적어도 5 토르 내지 최대 160 토르의 압력에서 유지된다. 일부 구현예에서, 반응 챔버는 적어도 40 토르 내지 최대 160 토르의 압력에서 유지된다. 일부 구현예에서, 반응 챔버는 적어도 10 토르 내지 최대 200 토르의 압력, 또는 적어도 10 토르 내지 최대 80 토르의 압력, 또는 적어도 20 토르 내지 최대 80 토르, 또는 적어도 80 토르 내지 최대 180 토르의 압력, 또는 적어도 60 토르 내지 최대 100 토르의 압력, 또는 적어도 40 토르 내지 최대 80 토르, 또는 적어도 80 토르 내지 최대 115 토르의 압력, 또는 적어도 115 토르 내지 최대 150 토르의 압력으로 유지된다. 즉, 일부 구현예에서, 반응 챔버는 본원에 설명된 공정 동안 전술한 압력 중 어느 하나에서 유지된다.
일부 구현예에서, 제1 실리콘 전구체, 제2 실리콘 전구체, 제3 실리콘 전구체, 및 게르마늄 전구체 중 적어도 하나는 캐리어 가스 스트림으로 반응 챔버에 연속적으로 제공된다. 따라서, 일부 구현예에서, 상기 방법은 캐리어 가스를 반응 챔버 내로 도입하는 단계를 추가로 포함한다. 이는, 예를 들어 사용되는 전구체를 휘발시키는 것이 어려운 경우에 특히 유용할 수 있으며, 이 경우 캐리어 가스는 전구체를 반응 챔버로 가져오는 것을 도울 수 있다. 예를 들어, 실란 또는 할로실란과 같은 실리콘 전구체가, 캐리어 가스에 의해 보조되어 반응 챔버에 제공될 수 있다. 예를 들어, 저메인과 같은 게르마늄 전구체가, 캐리어 가스에 의해 보조되어 반응 챔버에 제공될 수 있다. 일부 구현예에서, 캐리어 가스는 본질적으로 하나 이상의 불활성 가스로 구성된다. 일부 구현예에서, 캐리어 가스는 귀가스와 질소로 이루어진 목록으로부터 선택된다. 일부 구현예에서, 캐리어 가스는 H2, N2, He, Ne, Kr, Ar, 및 Xe으로 이루어진 목록으로부터 선택된다. 일부 구현예에서, 캐리어 가스는 H2를 포함한다. 일부 구현예에서, 캐리어 가스는 적어도 1.0 slm 내지 최대 100 slm, 또는 적어도 60 slm 내지 최대 100 slm, 또는 적어도 30 slm 내지 최대 60 slm, 또는 적어도 2.0 slm 내지 최대 30 slm, 또는 적어도 2.0 slm 내지 최대 50 slm, 또는 적어도 5.0 slm 내지 최대 20.0 slm, 또는 적어도 8.0 slm 내지 최대 12.0 slm의 유량으로 반응 챔버에 제공된다. 캐리어 가스는 본원에 설명된 방법 전체에 걸쳐 일정한 유량으로 반응 챔버에 반드시 제공되지는 않으며, 상이한 공정 단계는 상이한 유량으로 캐리어 가스를 제공하는 단계를 포함할 수 있음을 이해해야 한다.
본원에서 설명된 방법에 따라 형성된 구조체가 본원에서 더 설명된다. 적절한 구조체는 도 1에 예시된 바와 같은 Si-SiGe 초격자를 포함한다.
하나 이상의 반응 챔버, 가스 주입 시스템, 및 제어기를 포함한 시스템이 추가로 제공된다. 제어기는, 시스템으로 하여금 본원에 설명된 바와 같은 방법을 수행시키도록 구성된다.
도 1은 본원에 설명된 방법에 따라 형성될 수 있는 구조체(100)를 나타낸다. 구조체(100)는 단결정질 기판(110), 및 기판(110) 상에서 에피택셜 성장되는 버퍼 층(120)을 포함한다. 버퍼 층(120)은 기판(110)의 조성물과 실질적으로 동일한 조성물을 갖는다. 버퍼 층의 상부에서, 복수의 SiGe 층(140) 및 Si 층(130)으로 이루어진 Si-SiGe 초격자가 성장된다.
도 2는, 본 개시의 예시적인 추가 구현예에 따른 시스템(200)을 나타낸다. 시스템(200)은, 본원에 설명된 바와 같은 방법을 수행하고/수행하거나 본원에 설명된 바와 같은 구조체 또는 소자를 형성하기 위해 사용될 수 있다.
나타낸 예시에서, 시스템(200)은, 선택적인 기판 핸들링 시스템(202), 하나 이상의 반응 챔버(204), 가스 주입 시스템(206), 및 반응 챔버(들)(204)와 기판 핸들링 시스템(202) 사이에 배치되는 선택적인 벽(208)을 포함한다. 시스템(200)은 또한, 제1 가스 공급원(210), 제2 가스 공급원(212), 제3 가스 공급원(214), 제4 가스 공급원(216), 배기구(226), 및 제어기(228)를 포함할 수 있다. 제1 내지 제4 가스 공급원 중 적어도 하나는 실란 전구체 공급원을 포함한다. 실리콘 전구체는 디클로로실란일 수 있다. 제1 내지 제4 가스 공급원 중 적어도 하나는 캐리어 가스 공급원, 예를 들어 N2 공급원을 포함한다. 제1 내지 제4 가스 공급원 중 적어도 하나는 게르마늄 전구체 공급원을 포함한다. 게르마늄 전구체는 저메인일 수 있다. 제4 전구체 공급원(216)은 생략될 수 있다. 존재하는 경우, 제4 전구체 공급원은, 예를 들어 주석 전구체 공급원, 탄소 전구체 공급원, 붕소 전구체 공급원, 알루미늄 전구체 공급원, 인 전구체 공급원, 또는 비소 전구체 공급원일 수 있다. 따라서, Si 또는 SiGe 층 중 적어도 하나는 주석, 탄소, 붕소, 알루미늄, 인 및 비소 중 하나 이상으로 도핑될 수 있다.
네 개의 가스 공급원(210-216)으로 나타냈지만, 시스템(200)은 적절한 임의 개수의 가스 공급원을 포함할 수 있다. 가스 공급원(210-216)은, 예를 들어 본원에 언급한 전구체 가스를 각각 포함할 수 있으며, 이러한 전구체의 혼합물 및/또는 하나 이상의 전구체와 캐리어 가스의 혼합물을 포함한다. 추가적으로, 가스 공급원(210-216) 중 하나 또는 다른 가스 공급원은 에천트, 예컨대 할로겐 원소, 예를 들어 염소를 포함할 수 있다. 가스 공급원(210)-(216)은 라인(218)-(224)을 통해 반응 챔버(204)에 결합될 수 있으며, 이들 각각은 흐름 제어기, 밸브, 히터 등을 포함할 수 있다.
시스템(200)은, 적절한 임의 개수의 반응 챔버(204) 및 기판 핸들링 시스템(202)을 포함할 수 있다. 또한, 하나 이상의 반응 챔버(204)는, 교차 흐름의 냉벽 에피택시 반응 챔버일 수 있거나 이를 포함할 수 있다.
진공원(220)은 하나 이상의 진공 펌프를 포함할 수 있다.
제어기(228)는 본원에 설명된 다양한 기능 및/또는 단계를 수행하도록 구성될 수 있다. 특히, 제어기(228)는, 본원에 설명된 바와 같이 Si-SiGe 초격자를 에피택셜 성장하기 위한 방법을 시스템(300)이 수행하게 하도록 구성될 수 있다.
제어기(228)는, 다양한 기능을 수행하기 위해서 하나 이상의 마이크로프로세서, 메모리 요소, 및/또는 스위칭 요소를 포함할 수 있다. 단일 유닛으로 나타냈지만, 제어기(228)는 대안적으로 다수의 장치를 포함할 수 있다. 예로서, 제어기(228)는, (예를 들어, 가스 공급원(210-216)으로부터의 전구체 및/또는 다른 가스의 유량을 모니터링하고/모니터링하거나 밸브, 모터, 히터 등을 제어함으로써) 가스 흐름을 제어하기 위해 사용될 수 있다. 또한, 시스템(200)이 두 개 이상의 반응 챔버를 포함하는 경우, 두 개 이상의 반응 챔버는 동일/공유 제어기에 결합될 수 있다.
반응기 시스템(200)의 작동 중에, 반도체 웨이퍼(미도시)와 같은 기판은, 예를 들어 기판 핸들링 시스템(202)에서 반응 챔버(204)로 이송된다. 일단 기판(들)이 반응 챔버(204)로 이송되면, 전구체, 도펀트, 캐리어 가스, 및/또는 퍼지 가스와 같이, 가스 공급원(210-216)으로부터 하나 이상의 가스가 가스 주입 시스템(206)을 통해 반응 챔버(204) 내로 유입된다. 가스 주입 시스템(206)은 기판 처리 중에 (예를 들어, 하나 이상의 가스 공급원(210-216)으로부터의) 하나 이상의 가스의 가스 유량을 계량하고 제어하며, 이러한 가스(들)의 원하는 흐름을 반응 챔버(204) 내의 여러 위치에 제공하기 위해 사용될 수 있다.
비교예에서, 도 3을 참조한다. 도 3은 두 개의 패널, 패널 a) 및 b)를 갖는다. 도 3, 패널 a)는 버퍼 층 없이 Si(110) 기판 상에서 성장된 Si-SiGe 초격자의 투과 전자 현미경(TEM) 현미경 사진을 나타낸다. 도 3은 패널 버퍼 층이 그 위에 형성되고, b)는 Si(100) 기판 상에서 성장한 Si-SiGe 초격자(검은 데이터 포인트), 및 Si(110) 기판 상에서 성장한 Si-SiGe 초격자(회색 데이터 포인트)에 대한 정규화된 TEM 세기 데이터를 나타내고, 둘 다 버퍼 층은 없다. 본 비교예에서, Si 및 SiGe 층을 650℃의 온도 및 약 40 토르의 압력에서 성장시켰다.
평균적으로, Si(001) 기판에 비해 Si(110) 기판 상에서 Si 및 SiGe 층 사이의 35 내지 40% 더 두꺼운 계면 또는 전이 영역이 관찰되었다. 이는 아래 표 1에 예시된다.
계면 선명도 (16%-84%) [Å]
  Si(001) Si(110)
Si 기판--> SiGe 8.6 11.9
SiGe--> Si 10.3 14
Si --> SiGe 8.7 12
SiGe--> Si 10 14
Si --> SiGe 8.8 12.8
SiGe--> Si 11 15.6
여기에 주어진 계면 두께는 옹스트롬으로 표현되며, 도 3 패널 a)에 나타낸 바와 같이 TEM 현미경 사진에서 16% 내지 84% 세기의 거리에 대응한다.
본 개시의 예시적인 구현예에서, 도 4를 참조한다. 도 4는 두 개의 TEM 현미경 사진을 나타낸다. 특히, 도 4의 패널 a)는 버퍼 층이 없는, Si(110) 기판 상에서 성장된 Si-SiGe 초격자의 TEM 현미경 사진을 나타낸다. 아래 표에서 "패널 a)"로 지정된 열은, 옹스트롬 단위의 해당 계면 두께를 나타내며, 아래 표에서 "시그마 a)"로 지정된 열은 계면 두께의 해당 표준 편차를 나타낸다. 도 4의 패널 a)는 Si(110) 기판 상에서 성장된 Si-SiGe 초격자의 TEM 현미경 사진을 나타낸다. 본원에서 설명된 방법에 따라 기판 상에서 Si 버퍼 층을 성장시켰다. 아래 표2에서 "패널 b)"로 지정된 열은, 옹스트롬 단위의 해당 계면 두께를 나타내며, 아래 표에서 "시그마 b)"로 지정된 열은 계면 두께의 해당 표준 편차를 나타낸다. a) 열과 b) 열을 비교함으로써, Si(110) 기판 상에서 단결정질 Si 버퍼 층을 결정질로 성장시키는 것이, Si-SiGe 초격자에서, Si 및 SiGe 층 사이의 전이 영역의 두께를 유리하게 감소시킨다는 것이 명백해진다. 전이 영역의 두께는, Si에서 SiGe로 전이하는 것 및 SiGe에서 Si로 전이하는 것 모두에 대해 감소하였다.
계면 선명도 (16%-84%) [Å]
  패널 a) 시그마 a) (Å) 패널 b) 시그마 b) (Å)
Si 기판--> SiGe 11.9 0.29 10.4 0.64
SiGe--> Si 14 0.70 12 0.32
Si --> SiGe 12 0.53 10.5 0.50
SiGe--> Si 14 0.44 11.5 0.37
Si --> SiGe 12.8 0.52 10.3 0.26
SiGe--> Si 15.6 0.76 12.1 0.24
모든 샘플에 대해, 먼저 불소 라디칼에 노출시켜 기판을 세정하고, 이어서 H2 함유 분위기에서 적어도 800℃ 내지 최대 1000℃의 온도에서 베이킹하였다. 버퍼 층은 820℃의 기판 온도, 압력 = 5 토르, 30 slm의 H2 캐리어 가스 흐름, 및 60 sccm의 실리콘 전구체 흐름에서 성장시켰다. 디클로로실란을 실리콘 전구체로서 사용하였고, 적어도 50 sccm 내지 최대 300 sccm, 또는 적어도 100 sccm 내지 최대 200 sccm의 유량으로 반응 챔버에 제공할 수 있다. 615℃의 온도 및 40 토르의 압력에서 버퍼 층의 상부 상에서 Si-SiGe 초격자를 성장시켰다. 실리콘 및 게르마늄 전구체로서 디클로로실란 및 저메인을 각각 사용하여 SiGe 층을 성장시켰다. 두 개의 상이한 실리콘 전구체: 디클로로실란과 실란의 혼합물을 사용하여 Si 층을 성장시켰다.
도 5는 본원에 설명된 방법의 예시적인 구현예의 공정 흐름도를 나타낸다. 상기 방법은 버퍼 층을 형성하는 단계(510)를 포함한다. 그 다음, 상기 방법은 SiGe 층을 에피택셜로 형성하는 단계(520)를 포함한다. 그 다음, 상기 방법은 Si 층을 에피택셜로 형성하는 단계(530)를 포함한다. 선택적으로, SiGe 및 Si층을 에피택셜로 형성하는 단계(520,530)는 한 번 이상 반복(540)될 수 있다. 하나 이상의 SiGe-Si 이중 층을 포함한 Si-SiGe 초격자 형성된 후, 상기 방법은 종료한다(550). 유리한 구현예에서, 버퍼 층을 에피택셜로 형성, SiGe 층을 에피택셜로 형성, Si 층을 에피택셜로 형성, 및 SiGe 층 및 Si 층 형성 단계를 반복하는 모든 단계(510-540)가 개입된 진공 파괴 없이 수행될 수 있음을 이해할 것이다. 즉, 이들 모든 단계는 이들 단계를 포함하는 하나의 공정에서, 단일 진공 챔버에서 차례로 유리하게 수행된다. 이렇게 함으로써, 생성된 에피택셜 층의 품질을 유리하게 개선할 수 있다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 개시의 구현예의 예시일 뿐이기 때문이며, 본 발명의 구현예는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같이, 본 개시의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (20)

  1. 에피택셜 구조체를 형성하는 방법으로서, 상기 방법은,
    - 단결정질 (110) 실리콘 표면을 포함한 기판을 반응기 챔버에 제공하는 단계; 및
    - 상기 기판을 제1 실리콘 전구체에 노출시켜, 상기 (110) 실리콘 표면 상에 단결정질 실리콘 버퍼 층을 에피택셜로 형성하는 단계;
    - 하나 이상의 증착 사이클을 실행하는 단계를 포함하되, 상기 증착 사이클은 주어진 순서로,
    - 상기 기판을 제2 실리콘 전구체 및 게르마늄 전구체에 노출시키는 단계를 포함한 SiGe 펄스;
    - 상기 기판을 제3 실리콘 전구체에 노출시키는 단계를 포함한 Si 펄스를 포함하고,
    이에 의해, 상기 단결정질 실리콘 버퍼 층 위에 놓이는 하나 이상의 이중 층을 에피택셜로 형성하며, 상기 이중 층은 SiGe 층 및 Si 층을 포함하는, 방법.
  2. 제1항에 있어서, 상기 기판을 제1 실리콘 전구체에 노출시키는 단계 및 상기 하나 이상의 증착 사이클을 실행하는 단계는, 임의의 개입된 진공 파괴 없이 상기 동일한 반응 챔버에서 실행되는, 방법.
  3. 제2항에 있어서, 상기 반응 챔버는 적어도 5 토르 내지 최대 80 토르의 압력으로 유지되는, 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 제1 실리콘 전구체, 상기 제2 실리콘 전구체, 상기 제3 실리콘 전구체, 및 상기 게르마늄 전구체 중 적어도 하나는 캐리어 가스 스트림으로 상기 반응기 챔버에 제공되는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 실리콘 전구체, 상기 제2 실리콘 전구체, 및 상기 제3 실리콘 전구체는 실란 및 할로실란으로부터 독립적으로 선택되는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제1 실리콘 전구체는 할로실란을 포함하는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 기판을 세정하는 단계가 상기 기판을 상기 제1 실리콘 전구체에 노출시키는 단계 전에 선행하는, 방법.
  8. 제7항에 있어서, 상기 기판을 세정하는 단계는, 상기 기판을 수소 가스에 노출시키는 단계, 및 상기 기판을 불소 라디칼에 노출시키는 단계 중 하나 이상을 포함하는, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 실리콘 전구체, 상기 제2 실리콘 전구체, 및 상기 제3 실리콘 전구체는 동일한, 방법.
  10. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 실리콘 전구체 및 상기 제2 실리콘 전구체는 동일하고, 상기 제1 실리콘 전구체는 상기 제3 실리콘 전구체와 상이한, 방법.
  11. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 실리콘 전구체 및 상기 제3 실리콘 전구체는 동일하고, 상기 제1 실리콘 전구체는 상기 제3 실리콘 전구체와 상이한, 방법.
  12. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 실리콘 전구체, 상기 제2 실리콘 전구체, 및 상기 제3 실리콘 전구체는 상이한, 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 반응 챔버는 적어도 5 토르 내지 최대 760 토르의 압력으로 유지되는, 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 게르마늄 전구체는 저메인(germane)을 포함하는, 방법.
  15. 기판 상에 헤테로에피택셜 층을 형성하는 방법으로서, 상기 방법은 주어진 순서대로,
    - 기판 조성물을 갖는 기판을 상기 반응 챔버에 제공하는 단계;
    - 상기 기판 조성물과 실질적으로 동일한 버퍼층 조성물을 갖는 버퍼 층을, 상기 기판 상에 에피택셜로 형성하는 단계; 및
    - 상기 기판 조성물과 상이한 헤테로에피택셜 층 조성물을 갖는 헤테로에피택셜 층을, 상기 버퍼 층 상에 에피택셜로 형성하는 단계를 포함하는, 방법.
  16. 제15항에 있어서, 상기 기판은 단결정질 Si(110) 기판이고, 상기 기판 및 상기 버퍼 층은 99 원자% 초과의 실리콘을 포함하는, 방법.
  17. 제15항 또는 제16항에 있어서, 상기 헤테로에피택셜 층은 실리콘과 게르마늄의 합금을 포함하는, 방법.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서, 상기 기판은 최대 700℃까지(at most 700℃)의 온도로 유지되는, 방법.
  19. 제1항 내지 제18항 중 어느 한 항의 방법에 따라 형성된 구조체.
  20. 하나 이상의 반응 챔버, 가스 주입 시스템, 및 상기 시스템으로 하여금 제1항 내지 제18항 중 어느 한 항에 따른 방법을 수행시키도록 구성된 제어기를 포함하는 시스템.
KR1020230014514A 2022-02-02 2023-02-02 실리콘 게르마늄 구조체를 형성하는 방법 KR20230117713A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263305926P 2022-02-02 2022-02-02
US63/305,926 2022-02-02

Publications (1)

Publication Number Publication Date
KR20230117713A true KR20230117713A (ko) 2023-08-09

Family

ID=87432553

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230014514A KR20230117713A (ko) 2022-02-02 2023-02-02 실리콘 게르마늄 구조체를 형성하는 방법

Country Status (4)

Country Link
US (1) US20230245888A1 (ko)
KR (1) KR20230117713A (ko)
CN (1) CN116544101A (ko)
TW (1) TW202341242A (ko)

Also Published As

Publication number Publication date
US20230245888A1 (en) 2023-08-03
CN116544101A (zh) 2023-08-04
TW202341242A (zh) 2023-10-16

Similar Documents

Publication Publication Date Title
US11637014B2 (en) Methods for selective deposition of doped semiconductor material
US11594600B2 (en) Structures with doped semiconductor layers and methods and systems for forming same
JP5173140B2 (ja) 電気的に活性なドープト結晶性Si含有膜の堆積方法
KR20210029090A (ko) 희생 캡핑 층을 이용한 선택적 증착 방법
US7651948B2 (en) Pre-cleaning of substrates in epitaxy chambers
JP5075627B2 (ja) Uv線を用いたシリコン含有膜の低温エピタキシャル成長
US8642454B2 (en) Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch
US20150162185A1 (en) Atomic layer deposition of silicon carbon nitride based materials
US20110290176A1 (en) Cluster tool for epitaxial film formation
US7029995B2 (en) Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
JP2009521801A (ja) ドープされた半導体物質のエピタキシャル堆積
JP2009539264A (ja) クロロポリシランを用いてSi含有膜を選択的に堆積させる方法及びシステム
JP2014027294A (ja) シリコン化合物によるシリコン含有層の堆積
JP2007535147A (ja) インサイチュドープトエピタキシャルフィルム
JPH0834190B2 (ja) 低温シリコン・エピタキシアル成長方法
KR102534730B1 (ko) 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
TW202035773A (zh) 用於金屬矽化物沉積的方法及設備
KR20230117713A (ko) 실리콘 게르마늄 구조체를 형성하는 방법
US20210375622A1 (en) Method for depositing boron and gallium containing silicon germanium layers
KR102372135B1 (ko) 실리콘막 또는 게르마늄막 또는 실리콘 게르마늄막을 성막하는 방법 및 장치
US11946157B2 (en) Method for depositing boron containing silicon germanium layers
JP2987926B2 (ja) 気相成長方法
TWI839400B (zh) 沉積硼摻雜矽鍺膜之方法
US20240006176A1 (en) Method of forming p-type doped silicon-germanium layers and system for forming same
TW202414540A (zh) 形成p型摻雜矽鍺層之方法、使用此方法形成源極區及汲極區中之一或多者的方法、包含使用此方法形成源極區及汲極區中之一或多者的結構