KR20220100008A - 기판 처리 방법 및 기판 처리 장치 - Google Patents

기판 처리 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR20220100008A
KR20220100008A KR1020227019524A KR20227019524A KR20220100008A KR 20220100008 A KR20220100008 A KR 20220100008A KR 1020227019524 A KR1020227019524 A KR 1020227019524A KR 20227019524 A KR20227019524 A KR 20227019524A KR 20220100008 A KR20220100008 A KR 20220100008A
Authority
KR
South Korea
Prior art keywords
gas
silicon
substrate processing
adsorption layer
containing film
Prior art date
Application number
KR1020227019524A
Other languages
English (en)
Inventor
무네히토 가가야
다다시 미츠나리
히로유키 오노다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220100008A publication Critical patent/KR20220100008A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

선택적으로 성막하는 기판 처리 방법 및 기판 처리 장치를 제공한다. 오목부의 패턴이 형성된 기판 상에, 실리콘 함유 가스를 공급하여 흡착층을 형성하는 공정과, 반응 가스의 플라스마를 생성하여 상기 흡착층과 반응시키는 공정을 반복하여, 실리콘 함유막을 형성하는 공정과, 상기 실리콘 함유막을 에칭하는 공정을 갖고, 상기 실리콘 함유막을 형성하는 공정은, He를 포함하는 플라스마를 생성하여 상기 흡착층 및/또는 상기 실리콘 함유막을 개질하는 공정을 포함하는, 기판 처리 방법.

Description

기판 처리 방법 및 기판 처리 장치
본 개시는 기판 처리 방법 및 기판 처리 장치에 관한 것이다.
예를 들어, 원하는 부위에 막을 형성하는 기판 처리 방법이 알려져 있다.
특허문헌 1에는, 불소 함유 가스를 Si 함유 하지막에 공급하여 흡착 저해기를 형성하면서, 웨이퍼의 최표면 부근만을 질화하여 흡착 사이트를 형성하고, 그 후에 성막을 행함으로써, 트렌치 등의 파임 형상끼리의 사이의 평탄면 상에 선택적인 성막을 행하는, 보호막 형성 방법이 개시되어 있다.
일본 특허 공개 제2018-117038호 공보
하나의 측면에서는, 본 개시는 선택적으로 성막하는 기판 처리 방법 및 기판 처리 장치를 제공한다.
상기 과제를 해결하기 위해, 하나의 양태에 따르면, 오목부의 패턴이 형성된 기판 상에, 실리콘 함유 가스를 공급하여 흡착층을 형성하는 공정과, 반응 가스의 플라스마를 생성하여 상기 흡착층과 반응시키는 공정을 반복하여, 실리콘 함유막을 형성하는 공정과, 상기 실리콘 함유막을 에칭하는 공정을 갖고, 상기 실리콘 함유막을 형성하는 공정은, He를 포함하는 플라스마를 생성하여 상기 흡착층 및/또는 상기 실리콘 함유막을 개질하는 공정을 포함하는, 기판 처리 방법이 제공된다.
하나의 측면에 따르면, 선택적으로 성막하는 기판 처리 방법 및 기판 처리 장치를 제공할 수 있다.
도 1은 기판 처리 시스템의 구성예를 도시하는 개략도.
도 2는 제1 처리 장치의 구성예를 도시하는 개략도.
도 3은 기판 처리 시스템에 의한 기판 처리의 일례를 도시하는 흐름도.
도 4는 제1 처리 장치에 있어서의 동작의 일례를 도시하는 타임차트.
도 5는 제1 처리 장치에 있어서의 동작의 일례를 도시하는 타임차트.
도 6a는 본 실시예의 SiN막 성막 공정 후의 SiN막을 도시하는 웨이퍼의 단면도의 일례.
도 6b는 본 실시예의 에칭 공정 후의 SiN막을 도시하는 웨이퍼의 단면도의 일례.
도 6c는 참고예의 SiN막 성막 공정 후의 SiN막을 도시하는 웨이퍼의 단면도의 일례.
도 6d는 참고예의 에칭 공정 후의 SiN막을 도시하는 웨이퍼의 단면도의 일례.
이하, 도면을 참조하여 본 개시를 실시하기 위한 형태에 대하여 설명한다. 각 도면에 있어서, 동일 구성 부분에는 동일 부호를 붙여, 중복된 설명을 생략하는 경우가 있다.
〔기판 처리 시스템〕
본 실시 형태에 관한 기판 처리 시스템에 대하여, 도 1을 사용하여 설명한다. 도 1은, 기판 처리 시스템의 구성예를 도시하는 개략도이다.
도 1에 도시되는 바와 같이, 기판 처리 시스템은, 처리 장치(101 내지 104)와, 진공 반송실(200)과, 로드 로크실(301 내지 303)과, 대기 반송실(400)과, 로드 포트(501 내지 503)와, 전체 제어부(600)를 구비한다.
처리 장치(101 내지 104)는, 각각 게이트 밸브(G11 내지 G14)를 통하여 진공 반송실(200)과 접속되어 있다. 처리 장치(101 내지 104) 내는 소정의 진공 분위기로 감압되고, 그 내부에서 웨이퍼(W)에 원하는 처리를 실시한다. 일 실시 형태에서는, 처리 장치(101)는, 웨이퍼(W)에 SiN막을 형성하는 장치이다. 처리 장치(102)는, 처리 장치(101)에서 형성된 SiN막을 에칭하는 장치이다. 처리 장치(103 내지 104)는, 처리 장치(101 내지 102) 중 어느 것과 동일한 장치여도 되고, 다른 처리를 행하는 장치여도 된다.
진공 반송실(200) 내는, 소정의 진공 분위기로 감압되어 있다. 진공 반송실(200)에는, 감압 상태에서 웨이퍼(W)를 반송 가능한 반송 기구(201)가 마련되어 있다. 반송 기구(201)는, 처리 장치(101 내지 104), 로드 로크실(301 내지 303)에 대하여, 웨이퍼(W)를 반송한다. 반송 기구(201)는, 예를 들어 2개의 반송 암(202a, 202b)을 갖는다.
로드 로크실(301 내지 303)은, 각각 게이트 밸브(G21 내지 G23)를 통하여 진공 반송실(200)과 접속되고, 게이트 밸브(G31 내지 G33)를 통하여 대기 반송실(400)과 접속되어 있다. 로드 로크실(301 내지 303) 내는, 대기 분위기와 진공 분위기를 전환할 수 있도록 되어 있다.
대기 반송실(400) 내는, 대기 분위기로 되어 있으며, 예를 들어 청정 공기의 다운플로가 형성되어 있다. 대기 반송실(400) 내에는, 웨이퍼(W)의 얼라인먼트를 행하는 얼라이너(401)가 마련되어 있다. 또한, 대기 반송실(400)에는, 반송 기구(402)가 마련되어 있다. 반송 기구(402)는, 로드 로크실(301 내지 303), 후술하는 로드 포트(501 내지 503)의 캐리어(C), 얼라이너(401)에 대하여, 웨이퍼(W)를 반송한다.
로드 포트(501 내지 503)는, 대기 반송실(400)의 긴 변의 벽면에 마련되어 있다. 로드 포트(501 내지 503)는, 웨이퍼(W)가 수용된 캐리어(C) 또는 빈 캐리어(C)가 설치된다. 캐리어(C)로서는, 예를 들어 FOUP(Front Opening Unified Pod)를 이용할 수 있다.
전체 제어부(600)는, 기판 처리 시스템의 각 부를 제어한다. 예를 들어, 전체 제어부(600)는, 처리 장치(101 내지 104)의 동작, 반송 기구(201, 402)의 동작, 게이트 밸브(G11 내지 G14, G21 내지 G23, G31 내지 G33)의 개폐, 로드 로크실(301 내지 303) 내의 분위기의 전환 등을 실행한다. 전체 제어부(600)는, 예를 들어 컴퓨터여도 된다.
또한, 기판 처리 시스템의 구성은 이것에 한정되는 것은 아니다. 기판 처리 시스템은, 복수의 웨이퍼(W)를 1개의 처리 장치로 처리하는 다매엽 장치를 갖는 구성이어도 되며, 또한 진공 반송실도 다매엽 장치가 게이트 밸브를 통하여 접속되는 구성이어도 되고, 복수의 진공 반송 장치가 접속되는 구성이어도 된다.
다음에, 처리 장치(101)의 구성예에 대하여 설명한다. 처리 장치(101)는, 감압 상태의 처리 용기 내에서 PE-ALD(Plasma Enhanced Atomic Layer Deposition)법에 의해 SiN막을 형성하는 제1 처리 장치의 일례이다. 도 2는, 처리 장치(101)의 구성예를 도시하는 개략도이다.
도 2에 도시되는 바와 같이, 처리 장치(101)는, 처리 용기(1)와, 적재대(2)와, 샤워 헤드(3)와, 배기부(4)와, 가스 공급 기구(5)와, RF 전력 공급부(8)와, 제어부(9)를 갖고 있다.
처리 용기(1)는, 알루미늄 등의 금속에 의해 구성되며, 대략 원통상을 갖고 있다. 처리 용기(1)는, 웨이퍼(W)를 수용한다. 처리 용기(1)의 측벽에는 웨이퍼(W)를 반입 또는 반출하기 위한 반입출구(11)가 형성되고, 반입출구(11)는 게이트 밸브(12)에 의해 개폐된다. 처리 용기(1)의 본체 상에는, 단면이 직사각 형상을 이루는 원환상의 배기 덕트(13)가 마련되어 있다. 배기 덕트(13)에는, 내주면을 따라 슬릿(13a)이 형성되어 있다. 배기 덕트(13)의 외벽에는, 배기구(13b)가 형성되어 있다. 배기 덕트(13)의 상면에는, 절연체 부재(16)를 통하여 처리 용기(1)의 상부 개구를 막도록 천장벽(14)이 마련되어 있다. 배기 덕트(13)와 절연체 부재(16) 사이는 시일 링(15)으로 기밀하게 밀봉되어 있다. 구획 부재(17)는, 적재대(2)(및 커버 부재(22))가 후술하는 처리 위치로 상승하였을 때, 처리 용기(1)의 내부를 상하로 구획한다.
적재대(2)는, 처리 용기(1) 내에서 웨이퍼(W)를 수평으로 지지한다. 적재대(2)는, 웨이퍼(W)에 대응한 크기의 원판상으로 형성되어 있고, 지지 부재(23)에 지지되어 있다. 적재대(2)는, AlN 등의 세라믹스 재료나, 알루미늄이나 니켈 합금 등의 금속 재료로 형성되어 있고, 내부에 웨이퍼(W)를 가열하기 위한 히터(21)가 매립되어 있다. 히터(21)는, 히터 전원(도시하지 않음)으로부터 급전되어 발열한다. 그리고, 적재대(2)의 상면의 근방에 마련된 열전대(도시하지 않음)의 온도 신호에 의해 히터(21)의 출력을 제어함으로써, 웨이퍼(W)가 소정의 온도로 제어된다. 적재대(2)에는, 상면의 외주 영역 및 측면을 덮도록 알루미나 등의 세라믹스에 의해 형성된 커버 부재(22)가 마련되어 있다.
적재대(2)의 바닥면에는, 적재대(2)를 지지하는 지지 부재(23)가 마련되어 있다. 지지 부재(23)는, 적재대(2)의 바닥면의 중앙으로부터 처리 용기(1)의 바닥벽에 형성된 구멍부를 관통하여 처리 용기(1)의 하방으로 연장되고, 그 하단이 승강 기구(24)에 접속되어 있다. 승강 기구(24)에 의해 적재대(2)가 지지 부재(23)를 통하여, 도 2에서 도시하는 처리 위치와, 그 하방의 이점쇄선으로 나타내는 웨이퍼(W)의 반송이 가능한 반송 위치 사이에서 승강한다. 지지 부재(23)의 처리 용기(1)의 하방에는, 플랜지부(25)가 설치되어 있고, 처리 용기(1)의 바닥면과 플랜지부(25)의 사이에는, 처리 용기(1) 내의 분위기를 외기와 구획하고, 적재대(2)의 승강 동작에 수반하여 신축하는 벨로우즈(26)가 마련되어 있다.
처리 용기(1)의 바닥면의 근방에는, 승강판(27a)으로부터 상방으로 돌출되도록 3개(2개만 도시)의 웨이퍼 지지 핀(27)이 마련되어 있다. 웨이퍼 지지 핀(27)은, 처리 용기(1)의 하방에 마련된 승강 기구(28)에 의해 승강판(27a)을 통하여 승강한다. 웨이퍼 지지 핀(27)은, 반송 위치에 있는 적재대(2)에 마련된 관통 구멍(2a)에 삽입 관통되어 적재대(2)의 상면에 대하여 돌출 함몰 가능하게 되어 있다. 웨이퍼 지지 핀(27)을 승강시킴으로써, 반송 기구(도시하지 않음)와 적재대(2) 사이에서 웨이퍼(W)의 전달이 행해진다.
샤워 헤드(3)는, 처리 용기(1) 내에 처리 가스를 샤워상으로 공급한다. 샤워 헤드(3)는 금속제이며, 적재대(2)에 대향하도록 마련되어 있고, 적재대(2)와 거의 동일한 직경을 갖고 있다. 샤워 헤드(3)는, 처리 용기(1)의 천장벽(14)에 고정된 본체부(31)와, 본체부(31)의 아래에 접속된 샤워 플레이트(32)를 갖고 있다. 본체부(31)와 샤워 플레이트(32) 사이에는 가스 확산 공간(33)이 형성되어 있고, 가스 확산 공간(33)에는 처리 용기(1)의 천장벽(14) 및 본체부(31)의 중앙을 관통하도록 가스 도입 구멍(36)이 마련되어 있다. 샤워 플레이트(32)의 주연부에는 하방으로 돌출되는 환상 돌기부(34)가 형성되어 있다. 환상 돌기부(34)의 내측의 평탄면에는, 가스 토출 구멍(35)이 형성되어 있다. 적재대(2)가 처리 위치에 존재한 상태에서는, 적재대(2)와 샤워 플레이트(32) 사이에 처리 공간(38)이 형성되고, 커버 부재(22)의 상면과 환상 돌기부(34)가 근접하여 환상 간극(39)이 형성된다.
배기부(4)는, 처리 용기(1)의 내부를 배기한다. 배기부(4)는, 배기구(13b)에 접속된 배기 배관(41)과, 배기 배관(41)에 접속된 진공 펌프나 압력 제어 밸브 등을 갖는 배기 기구(42)를 갖는다. 처리 시에는, 처리 용기(1) 내의 가스가 슬릿(13a)을 통하여 배기 덕트(13)에 이르고, 배기 덕트(13)로부터 배기 배관(41)을 통하여 배기 기구(42)에 의해 배기된다.
가스 공급 기구(5)는, 처리 용기(1) 내에 처리 가스를 공급한다. 가스 공급 기구(5)는, 전구체 가스 공급원(51a), 반응 가스 공급원(52a), Ar 가스 공급원(53a), Ar 가스 공급원(54a), He 가스 공급원(55a)을 갖는다.
전구체 가스 공급원(51a)은, 가스 공급 라인(51b)을 통하여 전구체 가스를 처리 용기(1) 내에 공급한다. 또한, 도 2에 도시하는 예에 있어서, 전구체 가스로서, DCS(디클로로실란) 가스를 사용한다. 가스 공급 라인(51b)에는, 상류측에서부터 유량 제어기(51c), 저류 탱크(51d) 및 밸브(51e)가 개재 설치되어 있다. 가스 공급 라인(51b)의 밸브(51e)의 하류측은, 가스 공급 라인(56)을 통하여 가스 도입 구멍(36)에 접속되어 있다. 전구체 가스 공급원(51a)으로부터 공급되는 전구체 가스는 처리 용기(1) 내에 공급되기 전에 저류 탱크(51d)에서 일단 저류되고, 저류 탱크(51d) 내에서 소정의 압력으로 승압된 후, 처리 용기(1) 내에 공급된다. 저류 탱크(51d)로부터 처리 용기(1)로의 전구체 가스의 공급 및 정지는, 밸브(51e)의 개폐에 의해 행해진다. 이와 같이 저류 탱크(51d)에 전구체 가스를 일단 저류함으로써, 비교적 큰 유량의 전구체 가스를 처리 용기(1) 내에 안정적으로 공급할 수 있다.
반응 가스 공급원(52a)은, 가스 공급 라인(52b)을 통하여 반응 가스를 처리 용기(1) 내에 공급한다. 또한, 도 2에 도시하는 예에 있어서, 반응 가스로서, NH3 가스를 사용한다. 가스 공급 라인(52b)에는, 상류측에서부터 유량 제어기(52c) 및 밸브(52e)가 개재 설치되어 있다. 가스 공급 라인(52b)의 밸브(52e)의 하류측은, 가스 공급 라인(56)을 통하여 가스 도입 구멍(36)에 접속되어 있다. 반응 가스 공급원(52a)으로부터 공급되는 반응 가스는 처리 용기(1) 내에 공급된다. 처리 용기(1)로의 반응 가스의 공급 및 정지는, 밸브(52e)의 개폐에 의해 행해진다.
Ar 가스 공급원(53a)은, 가스 공급 라인(53b)을 통하여 퍼지 가스로서의 Ar 가스를 처리 용기(1) 내에 공급한다. 가스 공급 라인(53b)에는, 상류측에서부터 유량 제어기(53c) 및 밸브(53e)가 개재 설치되어 있다. 가스 공급 라인(53b)의 밸브(53e)의 하류측은, 가스 공급 라인(51b)에 접속되어 있다. Ar 가스 공급원(53a)으로부터 공급되는 Ar 가스는 처리 용기(1) 내에 공급된다. 처리 용기(1)로의 Ar 가스의 공급 및 정지는, 밸브(53e)의 개폐에 의해 행해진다.
Ar 가스 공급원(54a)은, 가스 공급 라인(54b)을 통하여 퍼지 가스로서의 Ar 가스를 처리 용기(1) 내에 공급한다. 가스 공급 라인(54b)에는, 상류측에서부터 유량 제어기(54c) 및 밸브(54e)가 개재 설치되어 있다. 가스 공급 라인(54b)의 밸브(54e)의 하류측은, 가스 공급 라인(52b)에 접속되어 있다. Ar 가스 공급원(54a)으로부터 공급되는 Ar 가스는 처리 용기(1) 내에 공급된다. 처리 용기(1)로의 Ar 가스의 공급 및 정지는, 밸브(54e)의 개폐에 의해 행해진다.
He 가스 공급원(55a)은, 가스 공급 라인(55b)을 통하여 막을 개질하는 개질 가스로서의 He 가스를 처리 용기(1) 내에 공급한다. 가스 공급 라인(55b)에는, 상류측에서부터 유량 제어기(55c) 및 밸브(55e)가 개재 설치되어 있다. 가스 공급 라인(55b)의 밸브(55e)의 하류측은, 가스 공급 라인(52b)에 접속되어 있다. He 가스 공급원(55a)으로부터 공급되는 He 가스는 처리 용기(1) 내에 공급된다. 처리 용기(1)로의 He 가스의 공급 및 정지는, 밸브(55e)의 개폐에 의해 행해진다.
또한, 처리 장치(101)는, 용량 결합 플라스마 장치로서, 적재대(2)가 하부 전극이 되고, 샤워 헤드(3)가 상부 전극이 된다. 하부 전극이 되는 적재대(2)는, 콘덴서(도시하지 않음)를 통하여 접지되어 있다.
상부 전극이 되는 샤워 헤드(3)는, RF 전력 공급부(8)에 의해 고주파 전력(이하, 「RF 파워」라고도 한다.)이 인가된다. RF 전력 공급부(8)는, 급전 라인(81), 정합기(82) 및 고주파 전원(83)을 갖는다. 고주파 전원(83)은, 고주파 전력을 발생시키는 전원이다. 고주파 전력은, 플라스마의 생성에 적합한 주파수를 갖는다. 고주파 전력의 주파수는, 예를 들어 450KHz 내지 100MHz의 범위 내의 주파수이다. 고주파 전원(83)은, 정합기(82) 및 급전 라인(81)을 통하여 샤워 헤드(3)의 본체부(31)에 접속되어 있다. 정합기(82)는, 고주파 전원(83)의 출력 리액턴스와 부하(상부 전극)의 리액턴스를 정합시키기 위한 회로를 갖는다. 또한, RF 전력 공급부(8)는, 상부 전극이 되는 샤워 헤드(3)에 고주파 전력을 인가하는 것으로서 설명하였지만, 이것에 한정되는 것은 아니다. 하부 전극이 되는 적재대(2)에 고주파 전력을 인가하는 구성이어도 된다.
제어부(9)는, 예를 들어 컴퓨터이며, CPU(Central Processing Unit), RAM(Random Access Memory), ROM(Read Only Memory), 보조 기억 장치 등을 구비한다. CPU는, ROM 또는 보조 기억 장치에 저장된 프로그램에 기초하여 동작하며, 처리 장치(101)의 동작을 제어한다. 제어부(9)는, 처리 장치(101)의 내부에 마련되어 있어도 되고, 외부에 마련되어 있어도 된다. 제어부(9)가 처리 장치(101)의 외부에 마련되어 있는 경우, 제어부(9)는, 유선 또는 무선 등의 통신 수단에 의해, 처리 장치(101)를 제어할 수 있다.
다음에, 도 1로 되돌아가서, 처리 장치(102)에 대하여 설명한다. 처리 장치(102)는, 에칭 처리를 실시하는 제2 처리 장치의 일례이다. 처리 장치(102)는, 예를 들어 건식 에칭 장치로서, 에칭 가스의 플라스마를 사용하여, 웨이퍼(W)에 성막된 SiN막을 에칭한다. 또한, 처리 장치(102)는, ALE(Atomic Layer Etching) 장치여도 되며, 한정되는 것은 아니다. 또한, 처리 장치(102)는, 기판 처리 시스템과는 접속하지 않고 별도의 장치로서 마련되며, 예를 들어 DHF(희불산)를 사용하는 습식 에칭 장치로서, 웨이퍼(W)에 성막된 SiN막을 습식 에칭해도 된다.
다음에, 도 1에 도시하는 기판 처리 시스템에 의한 기판 처리의 일례에 대하여 설명한다. 도 3은, 기판 처리 시스템에 의한 기판 처리의 일례를 도시하는 흐름도이다. 기판 처리 시스템은, 트렌치 등의 요철의 패턴이 형성된 웨이퍼(W)에 있어서, 패턴의 상부에 선택적으로 SiN막을 성막한다.
스텝 S101에 있어서, 트렌치 등의 요철의 패턴이 형성된 웨이퍼(W)에 SiN막을 성막한다(SiN막 성막 공정). 본 공정은, 예를 들어 처리 장치(101)에서 처리된다.
처리 장치(101)의 동작의 일례에 대하여, PE-ALD 프로세스에 의해 SiN막을 성막하는 경우를 예로 들어 도 4를 사용하여 설명한다. 도 4는, 제1 처리 장치(101)에 있어서의 동작의 일례를 도시하는 타임차트이다.
도 4에 도시되는 PE-ALD 프로세스는, 전구체 가스를 공급하는 공정 S201, 퍼지하는 공정 S202, He 가스를 공급하는 공정 S203, RF 파워를 인가하는 공정 S204, 퍼지하는 공정 S205, 반응 가스를 공급하는 공정 S206, RF 파워를 인가하는 공정 S207 및 퍼지하는 공정 S208을 소정 사이클 반복하고, 전구체 가스와 반응 가스를 교호로 공급하여 웨이퍼(W) 상에 원하는 막 두께의 SiN막을 형성하는 프로세스이다. 또한, 도 4에서는 1사이클만을 도시한다.
전구체 가스를 공급하는 공정 S201은, 전구체 가스를 처리 공간(38)에 공급하는 공정이다. 전구체 가스를 공급하는 공정 S201에서는, 우선, 밸브(53e, 54e)를 개방한 상태에서, Ar 가스 공급원(53a, 54a)으로부터 가스 공급 라인(53b, 54b)을 거쳐 Ar 가스를 공급한다. 또한, 밸브(51e)를 개방함으로써, 전구체 가스 공급원(51a)으로부터 가스 공급 라인(51b)을 거쳐 전구체 가스를 처리 용기(1) 내의 처리 공간(38)에 공급한다. 이때, 전구체 가스는, 저류 탱크(51d)에 일단 저류된 후에 처리 용기(1) 내에 공급된다. 이에 의해, 전구체가 웨이퍼(W)의 표면에 흡착되고, 웨이퍼(W)의 표면에 전구체의 흡착층이 형성된다.
퍼지하는 공정 S202는, 처리 공간(38)의 잉여의 전구체 가스 등을 퍼지하는 공정이다. 퍼지하는 공정 S202에서는, 가스 공급 라인(53b, 54b)을 통한 Ar 가스의 공급을 계속한 상태에서, 밸브(51e)를 폐쇄하여 전구체 가스의 공급을 정지한다. 이에 의해, Ar 가스 공급원(53a, 54a)으로부터 가스 공급 라인(53b, 54b)을 거쳐 Ar 가스를 처리 용기(1) 내의 처리 공간(38)에 공급한다. 이에 의해, 처리 공간(38)의 잉여의 전구체 가스 등을 퍼지한다. 또한, 밸브(51e)를 폐쇄함으로써, 저류 탱크(51d)에 전구체 가스가 충전된다.
He 가스를 공급하는 공정 S203은, He 가스를 처리 공간(38)에 공급하는 공정이다. He 가스를 공급하는 공정 S203에서는, 가스 공급 라인(53b, 54b)을 통한 Ar 가스의 공급을 계속한 상태에서, 밸브(55e)를 개방한다. 이에 의해, He 가스 공급원(55a)으로부터 가스 공급 라인(55b)을 거쳐 He 가스를 처리 공간(38)에 공급한다.
RF 파워를 인가하는 공정 S204는, He 가스를 플라스마 여기하는 공정이다. RF 파워를 인가하는 공정 S204에서는, 가스 공급 라인(53b, 54b)을 통한 Ar 가스의 공급 및 가스 공급 라인(55b)을 통한 He 가스의 공급을 계속한 상태에서, 고주파 전원(83)에 의해, 상부 전극에 RF를 인가하여, 처리 공간(38)에 플라스마를 생성한다. 이에 의해, 웨이퍼(W)의 표면의 흡착층이 개질된다.
퍼지하는 공정 S205는, 처리 공간(38)의 He 가스 등을 퍼지하는 공정이다. 퍼지하는 공정 S205에서는, 가스 공급 라인(53b, 54b)을 통한 Ar 가스의 공급을 계속한 상태에서, 밸브(55e)를 폐쇄하여 He 가스의 공급을 정지한다. 또한, 고주파 전원(83)에 의해, 상부 전극에 RF를 인가하는 것을 정지한다. 이에 의해, Ar 가스 공급원(53a, 54a)으로부터 가스 공급 라인(53b, 54b)을 거쳐 Ar 가스를 처리 용기(1) 내의 처리 공간(38)에 공급한다. 이에 의해, 처리 공간(38)의 He 가스 등을 퍼지한다.
반응 가스를 공급하는 공정 S206은, 반응 가스로서의 NH3 가스를 공급하는 공정이다. 반응 가스를 공급하는 공정 S206에서는, 가스 공급 라인(53b, 54b)을 통한 Ar 가스의 공급을 계속한 상태에서, 밸브(55e)를 폐쇄하여 He 가스의 공급을 정지하고, 밸브(52e)를 개방한다. 이에 의해, 반응 가스 공급원(52a)으로부터 가스 공급 라인(52b)을 거쳐 반응 가스를 처리 공간(38)에 공급한다.
RF 파워를 인가하는 공정 S207은, 반응 가스로서 공급되고 있는 NH3 가스를 플라스마 여기하는 공정이다. RF 파워를 인가하는 공정 S207에서는, 가스 공급 라인(53b, 54b)을 통한 Ar 가스의 공급 및 가스 공급 라인(52b)을 통한 반응 가스의 공급을 계속한 상태에서, 고주파 전원(83)에 의해, 상부 전극에 RF를 인가하여, 처리 공간(38)에 플라스마를 생성한다. 이에 의해, 웨이퍼(W)의 표면의 흡착층이 질화되어, SiN막을 생성한다.
퍼지하는 공정 S208은, 처리 공간(38)의 잉여의 반응 가스 등을 퍼지하는 공정이다. 퍼지하는 공정 S208에서는, 가스 공급 라인(53b, 54b)을 통한 Ar 가스의 공급을 계속한 상태에서, 밸브(52e)를 폐쇄하여 반응 가스의 공급을 정지한다. 또한, 고주파 전원(83)에 의해, 상부 전극에 RF를 인가하는 것을 정지한다. 이에 의해, Ar 가스 공급원(53a, 54a)으로부터 가스 공급 라인(53b, 54b)을 거쳐 Ar 가스를 처리 용기(1) 내의 처리 공간(38)에 공급한다. 이에 의해, 처리 공간(38)의 잉여의 반응 가스 등을 퍼지한다.
이상의 사이클을 반복함으로써, 웨이퍼(W)에 형성된 요철의 패턴을 모방하여 컨포멀한 SiN막을 성막한다.
여기서, 스텝 S101에 있어서의 DCS 가스와 NH3 가스를 사용한 SiN막의 성막 조건의 바람직한 범위를 이하에 나타낸다.
온도: 250 내지 600℃
압력: 0.5 내지 10Torr
DCS 가스 유량: 10 내지 100cc/사이클
NH3 가스 유량: 500 내지 10000sccm
He 가스 유량: 100 내지 10000sccm
Ar 가스 유량: 500 내지 10000sccm
공정 S201 시간: 0.05 내지 2.0초
공정 S202 시간: 0.1 내지 2.0초
공정 S203 시간: 0.0 내지 2.0초
공정 S204 시간: 1.0 내지 6.0초
공정 S205 시간: 0.0 내지 2.0초
공정 S206 시간: 0.5 내지 2.0초
공정 S207 시간: 1.0 내지 6.0초
공정 S208 시간: 0.1 내지 2.0초
개질 시(S204)의 RF 파워: 10 내지 1000W
질화 시(S207)의 RF 파워: 50 내지 1000W
또한, 전구체 가스를 퍼지하는 공정 S202는 생략해도 되며, 전구체 가스를 공급하는 공정 S201 후에 He 플라스마에 의한 개질 공정(S203, S204)을 행해도 된다. 또한, He 가스는, 공정 S207 이외의 공정에서는 동시 공급해도 된다.
또한, 스텝 S101에 있어서의 처리는, 도 4에 도시하는 것에 한정되는 것은 아니다. 처리 장치(101)의 동작의 다른 일례에 대하여, PE-ALD 프로세스에 의해 SiN막을 성막하는 경우를 예로 들어 도 5를 사용하여 설명한다. 도 5는, 제1 처리 장치(101)에 있어서의 동작의 다른 일례를 도시하는 타임차트이다.
도 5에 도시되는 PE-ALD 프로세스는, 전구체 가스를 공급하는 공정 S301, 퍼지하는 공정 S302, 반응 가스를 공급하는 공정 S303, RF 파워를 인가하는 공정 S304, 퍼지하는 공정 S305, He 가스를 공급하는 공정 S306, RF 파워를 인가하는 공정 S307 및 He 가스를 퍼지하는 공정 S308을 소정 사이클 반복하고, 전구체 가스와 반응 가스를 교호로 공급하여 웨이퍼(W) 상에 원하는 막 두께의 SiN막을 형성하는 프로세스이다. 또한, 도 5에서는 1사이클만을 도시한다.
즉, 도 4에 도시되는 프로세스에서는, 전구체 가스의 흡착(S201) 후, 질화 처리(S206, S207) 전에, He 가스의 플라스마에 의한 개질 처리(S203, S204)를 행한다.
이에 비해, 도 5에 도시되는 프로세스에서는, 전구체 가스의 흡착(S301) 및 질화 처리(S303, S304) 후에, He 가스의 플라스마에 의한 개질 처리(S306, S307)를 행한다. 또한, 각 공정의 처리는 도 4에 도시되는 프로세스의 경우와 마찬가지이며, 설명은 생략한다.
도 5에 도시되는 프로세스에서는, 이상의 사이클을 반복함으로써, 도 4에 도시되는 프로세스의 경우와 마찬가지로, 웨이퍼(W)에 형성된 요철의 패턴을 모방하여 컨포멀한 SiN막을 성막한다.
또한, 전구체 흡착과 질화를 반복하여, 원하는 막 두께의 SiN막을 성막한 후에, He 플라스마를 사용하여 SiN막을 개질하는 공정을 행하도록 해도 된다. 이 경우, SiN막의 성막과, He 플라스마에 의한 개질을, 동일한 처리 장치에서 행해도 되고, 다른 처리 장치에서 행해도 된다.
또한, 도 4에 도시하는 프로세스에 있어서, 잉여의 He 가스를 퍼지하는 공정 S205는 생략해도 된다. 또한, 도 5에 도시하는 프로세스에 있어서, 잉여의 He 가스를 퍼지하는 공정 S308은 생략해도 된다. 또한, He 가스의 플라스마에 의한 개질은, 전구체 가스의 흡착 후와 질화 처리 후의 양쪽에 행해도 된다.
도 3으로 되돌아가서, 스텝 S102에 있어서, 웨이퍼(W)에 형성된 SiN막을 에칭한다(에칭 공정). 본 공정은, 예를 들어 처리 장치(102)에서 처리된다. 여기서, 후술하는 바와 같이, 패턴의 상부의 SiN막과 비교하여 오목부 내의 SiN막이 우선적으로 에칭된다. 이에 의해, 패턴의 상부의 SiN막이 남는다. 즉, 스텝 S101 및 스텝 S102에 의해, 패턴 상부에 선택적으로 SiN막을 성막한다.
스텝 S103에 있어서, 반복 종료 조건을 충족하였는지 여부를 판정한다. 구체적으로는, 패턴 상부에 성막된 SiN막이 원하는 막 두께로 되도록, 소정의 반복 횟수를 충족할 때까지(S103ㆍ"아니오"), 스텝 S101부터 스텝 S102를 반복한다. 소정의 횟수 반복하면(S103ㆍ"예"), 처리를 종료한다.
도 6a 내지 도 6d는, SiN막 성막 공정 S101 및 에칭 공정 S102가 처리된 상태에 있어서의 웨이퍼(W)의 단면도의 일례이다. 여기서는, 트렌치 등의 요철의 패턴(700)이 형성된 웨이퍼(W)에 대하여, 도 3에 도시하는 SiN막 성막 공정 S101 및 에칭 공정 S102를 행하였다. 도 6a는 본 실시예의 SiN막 성막 공정 S101 후의 SiN막(710)을 도시하는 웨이퍼의 단면도의 일례이다. 도 6b는 본 실시예의 에칭 공정 S102 후의 SiN막(720)을 도시하는 웨이퍼의 단면도의 일례이다.
또한, 참고예로서, 개질 가스를 He 가스로부터 H2 가스로 변경하여, 마찬가지로 SiN막 성막 공정 S101 및 에칭 공정 S102를 행하였다. 도 6c는 참고예의 SiN막 성막 공정 S101 후의 SiN막(730)을 도시하는 웨이퍼의 단면도의 일례이다. 도 6d는 참고예의 에칭 공정 S102 후의 SiN막(740)을 도시하는 웨이퍼의 단면도의 일례이다.
참고예에 있어서, 도 6c에 도시하는 바와 같이, SiN막 성막 공정 S101에 의해 웨이퍼(W)에 형성된 요철의 패턴(700)을 모방하여 컨포멀한 SiN막(730)이 성막된다. 여기서, 참고예에 나타내는 H2 플라스마를 사용한 개질 처리에서는, 수소 이온, 아르곤 이온 및 수소 라디칼에 의해 전체에 걸쳐 개질되어, 에칭 내성이 향상된다.
이에 의해, 도 6d에 도시하는 바와 같이, 에칭 처리 후의 SiN막(740)은 컨포멀한 SiN막의 상태로 된다. 즉, 패턴(700)의 상부뿐만 아니라, 오목부 내에도 SiN막이 잔존해 있다.
이에 비해, 본 실시예에 있어서, 도 6a에 도시하는 바와 같이, SiN막 성막 공정 S101에 의해 웨이퍼(W)에 형성된 요철의 패턴(700)을 모방하여 컨포멀한 SiN막(710)이 성막된다. 여기서, 본 실시예에 나타내는 He 플라스마를 사용한 개질 처리에서는, He가 플라스마화됨으로써, VUV(Vacuum Ultra Violet)를 발광한다. 본 실시예에 나타내는 He 플라스마를 사용한 개질 처리에서는, 주로 VUV가 조사됨으로써 막이 개질된다.
그런데, 웨이퍼(W)에 형성되는 패턴(700)은 미세화되어 있다. 이 때문에, 웨이퍼(W)에 형성된 오목부의 개구 폭은, VUV의 파장보다 짧게 되어 있다. 이 때문에, 개구로부터 입사한 VUV는, 근접장 광으로 되어 개구의 입구 부근에 머무른다. 이 때문에, 개구의 입구 부근의 측벽에 형성된 막은, 근접장 광으로 된 VUV가 입사함으로써 막이 개질된다. 한편, 오목부의 안측 측벽이나 바닥부에 형성된 막에는, VUV가 전반되지 않아, VUV에 의한 막의 개질이 이루어지지 않는다. 또한, 볼록부의 상면에 형성된 막은, VUV가 입사함으로써 막이 개질된다. 즉, SiN막(710)은, 패턴(700)의 상부(볼록부의 상면 및 개구의 입구 부근의 측벽)에 형성된 막이 선택적으로 개질되어, 에칭 내성이 향상된다. 환언하면, 패턴의 상부에 형성된 SiN막의 에칭 레이트는, 오목부의 안측 측벽이나 바닥부에 형성된 SiN막의 에칭 레이트보다 낮게 되어 있다.
이에 의해, 도 6b에 도시하는 바와 같이, 에칭 처리 후의 SiN막(720)은, 오목부의 안측 측벽이나 바닥부에 형성된 SiN막이 우선적으로 에칭되고, 패턴(700)의 상부에 형성된 SiN막이 남는다. 즉, 스텝 S101 및 스텝 S102에 의해, 패턴(700)의 상부에 선택적으로 SiN막을 성막할 수 있다.
여기서, 도 6b에 도시하는 에칭 처리 후의 SiN막(720)의 범위는, 개질된 SiN막의 범위에 대응하며, 나아가 VUV가 조사된 범위에 대응한다. 그런데, 근접장 광의 스며나옴 길이는, 개구 폭의 치수 정도로 되는 것이 알려져 있다. 도 6b에 도시하는 바와 같이, 에칭 처리 후의 개구의 입구 부근의 측벽의 SiN막은 개구 폭의 치수 정도 잔존해 있으며, 환언하면, 근접장 광으로 된 VUV가 머무르는 범위에 있어서 막의 개질이 이루어지고 있는 것을 확인할 수 있다.
이상, 처리 장치(101 내지 102)에 의한 본 실시 형태의 성막 방법에 대하여 설명하였지만, 본 개시는 상기 실시 형태 등에 한정되는 것은 아니며, 특허 청구의 범위에 기재된 본 개시의 요지의 범위 내에 있어서, 다양한 변형, 개량이 가능하다.
처리 장치(101 내지 102)는, 진공 반송실(200)을 통하여 반송되는 것으로서 설명하였지만, 이것에 한정되는 것은 아니다. 처리 장치(101 내지 102) 사이는 대기 반송으로 반송되는 구성이어도 된다.
또한, SiN막의 성막과 He 플라스마에 의한 개질을 행하는 처리 장치(101)와, 에칭을 행하는 처리 장치(102)는, 개별적으로 마련되는 것으로서 설명하였지만, 이것에 한정되는 것은 아니며, 1개의 처리 장치 내에서 행하는 구성으로 해도 된다.
처리 장치(101)에 있어서, 전구체 가스는 DCS로 하고, 반응 가스를 NH3 가스로 하여 설명하였지만, 이것에 한정되는 것은 아니다. 전구체 가스로서, 할로겐을 포함하는 실리콘계 가스, 아미노실란 가스, SiH4 가스, TSA(trisilylamine) 가스 등의 실리콘 함유 가스를 사용해도 된다. 반응 가스로서, NH3 가스, N2 가스 등의 가스를 사용해도 된다. 또한, 전구체 가스로서 SiH4 가스를 사용하는 경우, 반응 가스로서 N2 가스를 사용해도 된다. 또한, 제2 성막 공정 S103에 있어서 플라스마를 사용하지 않고, 열에 의한 ALD에 의해 SiN막을 형성해도 된다. 이 경우, 반응 가스로서 NH3, 히드라진, 히드라진 유도체 등의 가스를 사용해도 된다.
또한, 본원은 2019년 11월 21일에 출원한 일본 특허 출원 제2019-210529호에 기초하는 우선권을 주장하는 것이며, 이러한 일본 특허 출원의 전체 내용을 본원에 참조에 의해 원용한다.
101 내지 104: 처리 장치
200: 진공 반송실
W: 웨이퍼
1: 처리 용기
2: 적재대
3: 샤워 헤드
4: 배기부
5: 가스 공급 기구(가스 공급원)
51a: 전구체 가스 공급원
52a: 반응 가스 공급원
53a: Ar 가스 공급원
54a: Ar 가스 공급원
55a: He 가스 공급원
8: RF 전력 공급부(고주파 전력 공급부)
83: 고주파 전원
9: 제어부

Claims (13)

  1. 오목부의 패턴이 형성된 기판 상에, 실리콘 함유 가스를 공급하여 흡착층을 형성하는 공정과, 반응 가스의 플라스마를 생성하여 상기 흡착층과 반응시키는 공정을 반복하여, 실리콘 함유막을 형성하는 공정과,
    상기 실리콘 함유막을 에칭하는 공정을 갖고,
    상기 실리콘 함유막을 형성하는 공정은, He를 포함하는 플라스마를 생성하여 상기 흡착층 및/또는 상기 실리콘 함유막을 개질하는 공정을 포함하는,
    기판 처리 방법.
  2. 제1항에 있어서, 상기 개질하는 공정은,
    He를 포함하는 플라스마의 발광을 상기 흡착층 및/또는 상기 실리콘 함유막에 조사하여 개질하는,
    기판 처리 방법.
  3. 제1항 또는 제2항에 있어서, 상기 오목부의 개구 폭은 플라스마광의 파장보다 짧은,
    기판 처리 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 개질하는 공정은, 상기 기판에 형성된 상기 패턴의 상부에 형성된 상기 흡착층 및/또는 상기 실리콘 함유막을 개질하는,
    기판 처리 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 개질은, 상기 실리콘 함유막의 에칭 내성을 향상시키는,
    기판 처리 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 개질하는 공정은,
    상기 흡착층을 형성하는 공정 후에 행해지는,
    기판 처리 방법.
  7. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 개질하는 공정은,
    상기 흡착층과 반응시키는 공정 후에 행해지는,
    기판 처리 방법.
  8. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 개질하는 공정은,
    상기 흡착층을 형성하는 공정과 상기 흡착층과 반응시키는 공정을 반복한 후에 행해지는,
    기판 처리 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 실리콘 함유막을 형성하는 공정과,
    상기 에칭하는 공정을 반복하는,
    기판 처리 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 실리콘 함유막은 SiN막인,
    기판 처리 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 실리콘 함유 가스는, 할로겐을 포함하는 실리콘계 가스, 아미노실란 가스, SiH4 가스, TSA(trisilylamine) 가스 중 적어도 어느 하나를 포함하는,
    기판 처리 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 반응 가스는, NH3 가스, N2 가스, 히드라진, 히드라진 유도체 가스 중 적어도 어느 하나를 포함하는,
    기판 처리 방법.
  13. 기판을 적재하는 적재대를 갖는 처리 용기와,
    상기 처리 용기에 가스를 공급하는 가스 공급원과,
    고주파 전력을 인가하여 상기 처리 용기 내에 플라스마를 생성하는 고주파 전력 공급부와,
    제어부를 구비하고,
    상기 제어부는,
    오목부의 패턴이 형성된 기판 상에, 실리콘 함유 가스를 공급하여 흡착층을 형성하는 공정과, 반응 가스의 플라스마를 생성하여 상기 흡착층과 반응시키는 공정을 반복하여, 실리콘 함유막을 형성하는 공정과,
    상기 실리콘 함유막을 에칭하는 공정을 갖고,
    상기 실리콘 함유막을 형성하는 공정은, He를 포함하는 플라스마를 생성하여 상기 흡착층 및/또는 상기 실리콘 함유막을 개질하는 공정을 포함하는,
    기판 처리 장치.
KR1020227019524A 2019-11-21 2020-11-10 기판 처리 방법 및 기판 처리 장치 KR20220100008A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2019-210529 2019-11-21
JP2019210529A JP2021080536A (ja) 2019-11-21 2019-11-21 基板処理方法及び基板処理装置
PCT/JP2020/041952 WO2021100560A1 (ja) 2019-11-21 2020-11-10 基板処理方法及び基板処理装置

Publications (1)

Publication Number Publication Date
KR20220100008A true KR20220100008A (ko) 2022-07-14

Family

ID=75964284

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227019524A KR20220100008A (ko) 2019-11-21 2020-11-10 기판 처리 방법 및 기판 처리 장치

Country Status (4)

Country Link
US (1) US20220411920A1 (ko)
JP (1) JP2021080536A (ko)
KR (1) KR20220100008A (ko)
WO (1) WO2021100560A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023112320A1 (ja) * 2021-12-17 2023-06-22 株式会社日立ハイテク 成膜方法およびプラズマ処理方法
JP2024013097A (ja) * 2022-07-19 2024-01-31 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018117038A (ja) 2017-01-18 2018-07-26 東京エレクトロン株式会社 保護膜形成方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018117038A (ja) 2017-01-18 2018-07-26 東京エレクトロン株式会社 保護膜形成方法

Also Published As

Publication number Publication date
WO2021100560A1 (ja) 2021-05-27
US20220411920A1 (en) 2022-12-29
JP2021080536A (ja) 2021-05-27

Similar Documents

Publication Publication Date Title
KR102387812B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
WO2021100560A1 (ja) 基板処理方法及び基板処理装置
KR102394115B1 (ko) RuSi막의 형성 방법 및 기판 처리 시스템
KR20200097646A (ko) 기판 처리 방법 및 성막 시스템
JP2015084403A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2021100594A1 (ja) 基板処理方法及び基板処理装置
JP6453727B2 (ja) 基板処理装置およびそれを用いた半導体装置の製造方法
US20220372618A1 (en) Method for manufacturing semiconductor device, and film-forming device
JP7257930B2 (ja) 基板処理方法及び基板処理装置
KR102361907B1 (ko) 성막 방법 및 기판 처리 시스템
WO2022185916A1 (ja) 成膜方法、処理装置及び処理システム
US20240087885A1 (en) Method of forming silicon nitride film and film forming apparatus
US20240175121A1 (en) Film forming method, processing apparatus, and processing system
US9355866B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
WO2022059505A1 (ja) SiN膜埋め込み方法及び成膜装置
WO2022224863A1 (ja) 成膜方法及び成膜装置
WO2022065315A1 (ja) 凹部埋め込み方法及び基板処理装置
WO2023157678A1 (ja) シリコン窒化膜の形成方法及び成膜装置
WO2024018968A1 (ja) 基板処理方法及び基板処理装置
WO2022085499A1 (ja) 成膜方法及び成膜装置
KR20230044935A (ko) 기판 처리 방법 및 기판 처리 시스템
KR20230040893A (ko) 성막 방법 및 성막 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal