KR20210089253A - 핀-리프터 (pin-lifter) 테스트 기판 - Google Patents

핀-리프터 (pin-lifter) 테스트 기판 Download PDF

Info

Publication number
KR20210089253A
KR20210089253A KR1020217020699A KR20217020699A KR20210089253A KR 20210089253 A KR20210089253 A KR 20210089253A KR 1020217020699 A KR1020217020699 A KR 1020217020699A KR 20217020699 A KR20217020699 A KR 20217020699A KR 20210089253 A KR20210089253 A KR 20210089253A
Authority
KR
South Korea
Prior art keywords
pin
substrate
lifter test
lifters
lifter
Prior art date
Application number
KR1020217020699A
Other languages
English (en)
Other versions
KR102633264B1 (ko
Inventor
존 이. 도허티
창요우 징
수실 아난드
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210089253A publication Critical patent/KR20210089253A/ko
Application granted granted Critical
Publication of KR102633264B1 publication Critical patent/KR102633264B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

다양한 실시 예들은 기판이 프로세스 툴 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들의 인-시츄 (in-situ), 비 침입적 검증을 제공하기 위한 장치들을 포함한다. 개시된 주제는 또한 기판이 프로세스 툴로부터 제거되기 전 또는 기판이 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다. 예시적인 실시 예에서, 핀-리프터 테스트 기판이 다수의 모션 센서들 및 적어도 하나의 힘 센서를 포함한다. 모션 센서들은 경사계들 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함한다. 핀-리프터 테스트 기판 상의 메모리 디바이스가 모션 센서들로부터 수신된 데이터를 기록한다. 메모리 디바이스 대신 또는 메모리 디바이스에 더하여, 무선 통신 디바이스가 모션 센서들로부터 수신된 데이터를 원격 수신기로 송신한다. 다른 장치들 및 시스템들이 개시된다.

Description

핀-리프터 (PIN-LIFTER) 테스트 기판
본 명세서에 개시된 주제는 반도체 및 관련된 산업계들에 사용된 장비에 관한 것이다. 보다 구체적으로, 개시된 주제는 기판이 프로세스 툴 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들 (pin-lifters) 의 인-시츄 (in-situ), 비 침입적 검증, 뿐만 아니라 기판의 동적 정렬에 대한 오작동하는 기판 핀-리프터들및 관련된 기판-홀딩 디바이스들의 잠재적 효과에 관한 것이다. 따라서, 개시된 주제는 기판 핀-리프터들의 동작들을 검증할 수 있고, 또한 기판이 프로세스 툴로부터 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다.
일반적으로, 반도체 프로세스 장비의 다양한 피스들 (예를 들어, 증착 툴들 또는 에칭 툴들) 은 정전 척 (electrostatic chuck; ESC) 상으로 반도체 기판들 (예를 들어, 실리콘 웨이퍼들) 을 상승 및 하강시키거나 정전 척 (ESC) 으로부터 반도체 기판들을 제거하기 위해 3 개의 압력-구동 핀-리프터들을 사용한다. ESC는 당업자에게 공지되고, 예를 들어, 플라즈마-기반 및 진공-기반 반도체 프로세싱에서 일반적으로 사용된다. ESC는 반도체 프로세싱 동안 기판을 장착하고 정전기적으로 "클램핑"하도록 사용되지만, 또한 기판들을 냉각 또는 가열하고 프로세싱의 균일성을 상승시키기 위해 기판의 평탄화를 제공하도록 사용된다.
통상적인 기판 핀-리프터는 다수의 핀들 (예를 들어, 통상적으로 금속, 사파이어, 또는 사파이어로 티핑된 (tip) 금속을 포함하는 3 개의 핀들), 기판 핀-리프터들을 상승시키기 위한 공압 액추에이터, 및 기판 핀-리프터들의 레벨을 게이징하기 (gauge) 위한 하나 이상의 위치 센서들로 구성된다.
파손되거나 동작 불가능한 리프트 핀, 너무 높거나 너무 낮은 기압, 잘못 정렬되거나 잘못 보정된 핀-위치 센서, 등과 같이 사양을 벗어나는 (out-of-specification) 기판 핀-리프터들 내의 또는 기판 핀-리프터들과 관련된 모든 컴포넌트들은 기판의 핸들링을 방해할 것이다. 기판 핀-리프터들이 올바르게 기능하지 않으면, 기판이 손상될 수 있고, 기판 상의 디바이스들로 인한 재정적 손실뿐만 아니라 수리를 초래하는 프로세스 툴의 정지 시간을 발생시킨다.
통상적으로, 일련의 척킹 (chucking) 및 디척킹 (de-chucking) 동작들은 이하에 기술된 동작들을 포함한다. 기판은 로봇 암의 엔드 이펙터를 갖는 프로세싱 모듈 (PM) 또는 프로세스 챔버 내로 이송된다. 일반적으로, 3 개의 기판 리프트 핀들은 위로 이동하고, 핀들이 상승된 위치 또는 "업" 위치에 있는 동안 로봇 암으로부터 기판을 수용한다. 로봇 암이 프로세스 챔버로부터 철수된 (retract) 후, 기판 리프트 핀들은 하강된 위치 또는 "다운" 위치로 이동한다. 핀들은 ESC의 상단 표면 바로 아래로 (예를 들어, 통상적으로 수십 ㎛만큼만) 철수하여, 기판을 ESC의 상단, 세라믹 표면 상에 랜딩한 (land) 채로 남긴다. ESC는 ESC의 세라믹 표면 내부에 임베딩된 (embed) 전극들에 고 전압을 인가함으로써 기판을 "척킹"하기 시작한다 (도체 쿨롱 ESC들에 대해, 포지티브 전압과 네거티브 전압 모두가 인가된다). 프로세스가 완료되면, ESC에 인가된 고 전압은 모든 전하들을 제거하기 위해 0으로 리셋된다. 핀들은 기판을 리프팅하기 위해 "업" 위치로 상승하고, 로봇 암은 프로세스 챔버로부터 기판을 제거한다.
올바르게 기능하지 않는 기판 핀-리프터들에 더하여, 전하들은 ESC 표면에 또는 ESC 표면 근방에서 빈번하게 트랩되어 (trap), 기판과 ESC 사이에 잔류 척킹력 (chucking forces) 을 생성한다. 핀들이 상승될 때, 기판 디척킹 동작 동안, 잔류 척킹력은 반도체 프로세싱 동작들에 잠재적으로 유해한 벤딩, 틸팅, 점프, 측방향 슬라이딩, 및 다른 이동들과 같은 원치 않은 기판 이동을 유발할 수도 있다. 최악의 시나리오에서, 기판은 ESC로부터 분리되는 동안 파손될 수도 있다.
현재, 기판 핀-리프터들은 프로세스 챔버 (또는 프로세스 모듈) 가 개방될 때 수동으로 체크된다. 프로세스 챔버가 폐쇄되고 시일링된 (seal) 후, 기판 핀-리프터들은 하나 이상의 기판 핀-리프터들 상의 핀 센서를 통해서만 모니터링된다. 핀 센서는 기판 핀-리프터들 중 특정한 기판 핀-리프터가 상승되는지 (업 위치인지) 또는 하강되는지 (다운 위치인지) 여부를 모니터링만 할 수 있다. 핀 센서는 기판 핀-리프터들 중 하나 이상이 파손되었는지 여부, 기압이 정확한지 여부, 또는 고장이 발생한 (또는 곧 발생할) 다수의 다른 시나리오들 중 임의의 시나리오를 결정할 수 없다. 예를 들어, 기판 핀-리프터들 중 하나가 파손되면, 핀 센서는 핀을 작동시키도록 (actuate) 사용된 피스톤의 위치를 센싱함으로써 파손된 핀이 올바른 위치에 있다는 것을 센싱할 수도 있다. 그러나, 파손된 핀은 기판으로 하여금 부정확한 (예를 들어, 일 측면에서 보다 낮은) 위치에 있게 할 수도 있다. 따라서, 기판은 손상될 위험이 있다 (예를 들어, 로봇의 엔드 이펙터에 의해서, 또는 로봇에 의해 철수될 수 없음). 어떤 경우든, 특히 모든 FEOL (Front-End-Of-Line) 프로세스들을 거의 완료한 완전히 채워진 (fully-populate) 기판 상에서 상당한 재정적 손실을 유발할 수 있다.
기압이 부정확할 때, 특히 너무 높을 때, 기판은 또한 거친 핸들링 (예를 들어, 도 1a 내지 도 1c와 관련하여 이하에 논의된 바와 같이, 잠재적으로 기판의 DA (Dynamic Alignment) 문제들을 유발하는, 고 가속력들) 을 겪을 수도 있다. 전반적으로, 현재 기판의 위치에 대한 인-시츄 및 자동 직접 체크가 없다.
따라서, 개시된 주제는 기판이 프로세스 툴 (예를 들어, 기판 프로세싱 시스템) 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들의 인 시츄, 비 침입적 검증을 제공한다. 개시된 주제는 또한 기판이 프로세스 툴로부터 제거되기 전 또는 기판이 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다.
이 섹션에 기술된 정보는 이하의 개시된 주제에 대한 맥락을 당업자에게 제안하도록 제공되고, 인정된 종래 기술로 간주되지 않아야 한다.
도 1a 내지 도 1c는, (1) 디척킹 동작 동안 기판 또는 ESC 중 적어도 하나 상에 남아 있는 전하; 또는 (2) ESC로부터 기판을 제거하기 위해 사용된 하나 이상의 결함이 있는 핀-리프터들 중 적어도 하나로 인한, 정전 척 (ESC) 과 관련한 척킹 동작과 디척킹 동작 및 결과적인 기판 측 방향 이동의 예들을 도시한다.
도 2a는 일 유형의 기판―실리콘 웨이퍼―의 평면도를 도시한다.
도 2b는 본 명세서에 개시된 다양한 실시 예들에 따른, (도 2a의 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는) 핀-리프터 테스트 기판의 전면 상에 배치된 센서들의 예를 도시한다.
도 2c는 본 명세서에 개시된 다양한 실시 예들에 따른, (도 2a의 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는) 핀-리프터 테스트 기판의 후면 상에 배치된 센서들의 예를 도시한다.
도 3은 본 명세서에 개시된 다양한 실시 예들에 따른 프로세싱 툴의 프로세싱 챔버에 배치된, 도 2b 및 도 2c의 핀-리프터 테스트 기판으로부터 데이터를 수신하기 위한 방법의 예를 도시한다.
개시된 주제는 이제 첨부된 도면들 중 다양한 도면들에 예시된 바와 같이 몇몇 일반적이고 특정한 실시 예들을 참조하여 상세히 기술될 것이다. 이하의 기술에서, 개시된 주제의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 개시된 주제가 이들 구체적인 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 예들에서, 공지된 프로세스 단계들 또는 구조체들은 개시된 주제를 모호하게 하지 않도록 상세히 기술되지 않았다.
다양한 실시 예들에서, 핀-리프터 테스트 기판은 기판 자체의 이동뿐만 아니라 기판 핀-리프터들의 다양한 양태들을 모니터링하기 위해 이하에 상세히 기술된 다수의 센서들을 갖는 기판이다. 핀-리프터 테스트 기판은 예를 들어, 반도체 디바이스들을 생산하기 위해 사용된 일반적인 기판과 실질적으로 유사하거나 동일한 전체 형상을 갖는다. 이러한 일반적인 기판은, 특정한 실시 예들에서, 300 ㎜ 또는 450 ㎜ 반도체 (예를 들어, 실리콘) 웨이퍼일 수도 있다. 핀-리프터 테스트 기판은 일반적인 기판과 동일한 트래킹 (예를 들어, 레이저 마킹 및 바코드들) 및 포지셔닝 (예를 들어, 300 ㎜ 웨이퍼 상의 노치) 피처들을 가질 수 있다. 핀-리프터 테스트 기판은 표준 이송 로봇의 로봇 암의 엔드 이펙터에 의해 일반적인 기판과 동일하게 제자리에 (기판 핀-리프터들 위에) 배치된다.
따라서 개시된 주제는 실제 기판 프로세싱 동작들 동안 발생할 기판의 위치 및 직접적인 측정을 제공한다. 따라서 개시된 주제는 기판 손실을 방지하기 위해, 또는 프로세스 툴의 정지 시간을 감소시키거나 최소화하기 위해 기판 핀-리프터들의 인 시츄 (in-situ), 비 침입적 (non-intrusive) 자동 건전성-체크 (health-checking) 를 제공한다. 따라서, 개시된 주제는 기판이 프로세스 툴 상의 기판 프로세싱 위치에 있는 동안 기판 핀-리프터들의 인 시츄, 비 침입적 검증을 제공한다. 개시된 주제는 또한 기판이 프로세스 툴로부터 제거되는 동안 모든 예기치 않은 기판 이동을 검증할 수 있다.
다양한 실시 예들에서, 본 명세서에 개시된 핀-리프터 테스트 기판은 예를 들어, 다양한 유형들의 모션 센서들, 힘 센서들, 및 데이터 획득 시스템들을 포함할 수도 있다. 이하에 보다 상세히 기술된 바와 같이, 이들 컴포넌트들 각각은 핀-리프터 테스트 기판 상에 장착된다.
핀-리프터 테스트 기판 상의 모션 센서들의 일 기능의 예로서, 도 1a 내지 도 1c는 디척킹 (de-chuck) 동작 동안 가능한 기판 이동의 예들을 도시한다. 이러한 기판 이동은 개시된 핀-리프터 테스트 기판의 다양한 실시 예들을 사용하여 모니터링되고 기록될 수 있다. 예를 들어, 이제 도 1a 내지 도 1c을 참조하면, (1) 디척킹 (de-chucking) 동작 동안 기판 또는 ESC 중 적어도 하나 상에 남아 있는 전하; 또는 (2) ESC로부터 기판을 제거하기 위해 사용된 하나 이상의 결함이 있는 핀-리프터들 중 적어도 하나로 인한, 정전 척 (electrostatic chuck; ESC) 과 관련한 척킹 (chucking) 동작과 디척킹 동작 및 결과적인 기판 측 방향 이동의 예들이 도시된다.
도 1a의 척킹 동작을 참조하면, 실리콘 웨이퍼 (101) (또는 이하에 기술된 핀-리프터 테스트 기판) 가 정전 척 (ESC) (103) 상에 배치된다. ESC (103) 는 ESC (103) 에 전압을 인가하기 위한 적어도 하나의 전극 (105), 및 하강된 위치 (111A) 에 도시된 복수의 기판 핀-리프터들 (핀들) 을 갖는다. 하강된 위치 (111A) 에서, 핀들은 일반적으로 ESC (103) 의 최상부 표면 아래로 수십 ㎛이다. 그러나, 최상부 표면 아래로의 정확한 거리는 실리콘 웨이퍼 (101) 가 척킹 동작 동안 ESC (103) 의 최상부 표면과 콘택트하거나 거의 콘택트한다는 것을 제공하는 개시된 주제의 성능 또는 기능에 영향을 주지 않는다. 당업자는 본 명세서에 제공된 개시를 읽고 이해하는 것에 기초하여, 개시된 주제가 반도체 및 관련된 산업계들에서 사용되는 임의의 유형의 기판에 동일하게 적용될 수도 있다는 것을 인식할 것이다. 따라서, 기판은 실리콘 웨이퍼들로만 제한될 필요는 없다. 그러나, 용어 "실리콘 웨이퍼"는 개시된 주제의 다양한 양태를 기술하기 위해 단지 명확성을 위해 본 명세서에서 사용될 것이다.
고 전압이 전극 (105) 에 인가되고, 이는 결국 고 전압을 ESC (103) 로 전달한다. 인가된 고 전압은 실리콘 웨이퍼 (101) 와 ESC (103) 사이에 반대 부호 전하들을 생성한다. 이 예에서, 음전하 (109) 가 ESC (103) 상에 형성되고, 양전하 (107) 가 ESC (103) 에 근접한 실리콘 웨이퍼 (101) 의 표면 상에 형성된다 (웨이퍼 전하들은 주로 ESC (103) 에 근접한 실리콘 웨이퍼 (101) 의 최하부 부분에 재분배된다). 결과적으로, 전극 (105) 으로부터 인가된 고 전압은 ESC (103) 상에 실리콘 웨이퍼 (101) 를 홀딩하는 정전기력을 생성한다.
통상적인 프로세스 플로우에서, 실리콘 웨이퍼 (101) 가 정전기력에 의해 ESC (103) 에 척킹된 후, 예를 들어, 프로세스 툴 내의 제어기에 의해 실행되는, 목표된 프로세스 레시피의 시작 전 헬륨 가스가 (예를 들어, 실리콘 웨이퍼 (101) 의 가열 및 냉각을 위해 열전도도를 증가시키기 위해) 실리콘 웨이퍼 (101) 의 후면 (즉, ESC (103) 에 근접한 웨이퍼의 측면) 에 전달된다. 당업자에 의해 이해되고 이하에 보다 상세히 기술된 바와 같이, 핀-리프터 테스트 기판은 또한 헬륨 가스의 압력 및 플로우를 인식하도록 구성될 수 있다. 프로세스 레시피가 완료된 후, 헬륨 가스 플로우는 중단되고, 이어서 헬륨은 펌핑 아웃된다 (배기된다). 전극 (105) 의 고 전압은 이상적으로, 모든 전하들을 제거하기 위해 0으로 리셋된다.
이제 도 1b를 참조하면, 헬륨이 배기되고 전극 (105) 상의 고 전압이 0 V로 리셋된 후, 핀들은 하강된 위치 (111A) 로부터 상승된 위치 (111B) 로 이동한다. 상승된 위치 (111B) 에서, 핀들은 실리콘 웨이퍼 (101) 를 고정된 "업" 위치로 리프팅한다. 업 위치에서, 로봇 암은 실리콘 웨이퍼 (101) 를 픽업하고 (pick up) 제거하기 위해 프로세스 챔버 내로 다시 이동할 수 있다.
그러나, 도 1b에 언급된 바와 같이, 실리콘 웨이퍼 (101) 또는 ESC (103) 의 부분들 상에 여전히 남아 있는 전하가 있다면, 실리콘 웨이퍼 (101) 는 예를 들어, 전하 트래핑, 및 전하들의 이동을 포함하는, 잔류 인력들로 인해 핀들이 상승된 위치 (111B) 에 있을 때 ESC (103) 위로 적절히 리프팅되지 않을 수도 있다. 결과적으로, 인력들로 인해, 실리콘 웨이퍼 (101) 는 도 1c에 도시된 바와 같이 ESC (103) 를 참조하여 측방향으로 그리고/또는 회전하여 이동할 수도 있다. 측방향 및/또는 회전 시프트는 동적 정렬 (Dynamic-Alignment; DA) 오프셋 (113) 을 유발한다. 전반적으로, 동적 정렬은 실리콘 웨이퍼 (101) 가 프로세스 챔버 내로 또는 밖으로 이동할 때 실리콘 웨이퍼 (101) 의 위치를 측정한다. DA 오프셋 (113) 은 프로세스가 시작되기 전과 프로세스가 완료된 후 실리콘 웨이퍼 (101) 사이의 차 (즉, 프로세스 전 DA - 프로세스 후 DA) 이다. DA 오프셋 (113) 은 웨이퍼 디척킹의 품질을 모니터링한다.
상기 간략히 논의된 바와 같이, 수백 ℃일 수도 있는 ESC 동작 온도들에서, 전하는 웨이퍼 척킹 동작 동안 ESC (103) 의 최상부 표면에 트랩핑될 수 있다. 트랩핑된 전하들은 또한 잔류 전하들로 지칭된다. 게다가, 실리콘 웨이퍼 (101) 로부터의 다양한 방출들은 또한 실리콘 웨이퍼 (101) 와 ESC (103) 사이에서 발생하는 잔류 힘들의 요인 (factor) 일 수도 있다. 이들 잔류 힘들은 웨이퍼의 벤딩, 틸팅, 점핑, 슬라이딩, 또는 심지어 파손과 같은 원치 않은 웨이퍼 이동을 유발할 수 있다.
특정한 디척킹 고장-근본 원인 (failure-root-cause) 분석은 프로세스, 웨이퍼 유형, ESC 세라믹 재료, 세라믹 온도, 프로세스 시간, 바이어스 전압, 프로세스 화학 물질들, 및 다른 요인들에 따라 복잡할 수 있다. 예를 들어, 당업자에게 공지된 바와 같이, 반도체 및 관련된 산업계들에서 사용되는 2 개의 주요 유형들의 ESC―쿨롱-유형 척 및 Johnsen-Rahbek 유형의 척―가 있다. 2 개의 척 유형들 간의 일 중요한 차이는 디척킹 동작들과 관련된다. 쿨롱-유형 척에서, 일단 전극 (105) 상의 고 전압이 0 V로 리셋되면, 거의 즉각적이고 큰 단락 전류가 흐르지만, 짧은 시간 상수로 (대략 밀리초) 지수적으로 감소한다. 그러나, Johnsen-Rahbek 유형의 척에서, 비 지수적으로 감쇠하는 작은 전류가 훨씬 더 긴 시간 동안 (대략 초) 지속되고, 이에 따라 잔류 전하가 소산되기 위해 필요한 시간으로 인해 훨씬 더 긴 디척킹 시간을 유발할 수 있다.
도 2a는 일 유형의 기판―실리콘 웨이퍼 (200)―의 평면도를 도시한다. 실리콘 웨이퍼 (200) 는 상기 ESC 디척킹 프로세스의 일부로서 기술된 실리콘 웨이퍼 (101) 와 동일하거나 유사할 수도 있다. 이 특정한 경우에, 실리콘 웨이퍼 (200) 는 300 ‡o 웨이퍼인 것으로 간주될 수도 있다. 실리콘 웨이퍼 (200) 는 노치 (203) 를 포함하는 것으로 도시된다. 특정한 예시적인 실시 예에서, 실리콘 웨이퍼 (200) 및 노치 (203) 모두는 국제 웨이퍼 표준 SEMI M1-1107, SPECIFICATIONS FOR POLISHED SINGLE CRYSTAL SILICON WAFERS (www.semi.org에서 Semiconductor Equipment and Materials International (SEMITM) 으로부터 입수 가능) 에 따라 형성된다.
실리콘 웨이퍼 (200) 는 또한 웨이퍼의 하단 측면 상의 실리콘 웨이퍼 (200) 와 콘택트하는 3 개의 기판 핀-리프터들의 상대적인 위치들의 예시적인 실시 예를 도시한다. 이 예시적인 실시 예에서, 3 개의 기판 핀-리프터들은 실리콘 웨이퍼 (200) 의 정중앙 부분으로부터 각각 거리 "r"로 서로로부터 120°에 위치된다. 그러나, 당업자는 4 개 이상의 기판 핀-리프터들이 도 2a에 도시된 위치들과 다른 위치들에서 사용될 수도 있다는 것을 인식할 것이다.
도 2b는 본 명세서에 개시된 다양한 실시 예들에 따른 핀-리프터 테스트 기판 (210) 의 전면 상에 배치된 센서들의 예를 도시한다. 이 실시 예에서, 핀-리프터 테스트 기판 (210) 은 도 2a의 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는다. 예를 들어, SEMITM 표준 사양들에 따라, 300 ㎜ 실리콘 웨이퍼는 300 ㎜ + 0.2 ㎜의 직경, 775 + 25 ㎛의 두께, 및 웨이퍼 노치에 대한 특정한 치수들을 갖는다 (SEMI M1-1107 참조).
300 ㎜ 실리콘 웨이퍼에 대한 SEMI 표준의 최대 두께가 800 ㎛이지만, 많은 프로세스 챔버들은 적어도 2 ㎜ 두께까지의 기판을 수용할 수 있는 한편 일부 프로세스 챔버들은 최대 5 ㎜의 기판 두께를 허용한다. 따라서, 본 명세서에 기술된 다양한 실시 예들에서, 핀-리프터 테스트 기판의 두께는 핀-리프터 테스트 기판이 설계되는 특정한 프로세스 챔버에 따라 적어도 2 ㎜ 또는 심지어 5 ㎜까지일 수 있다. 또한, 표준 300 ㎜ 웨이퍼는 (실리콘 웨이퍼의 정확한 직경 및 두께에 따라) 약 90 g의 질량을 갖는다. 핀-리프터 테스트 기판이 표준 실리콘 웨이퍼보다 실질적으로 무거우면 (이 예를 위해, 300 ㎜ 웨이퍼의 90 g), 실질적으로 약 90 g 이상의 핀-리프터 테스트 기판의 질량은 기판 핀-리프터들의 거동을 방해하거나 변화시킬 수도 있다. 따라서, 핀-리프터 테스트 기판의 질량은 표준 기판의 질량 (예를 들어, 300 ㎜ 실리콘 웨이퍼의 90 g) 에 가깝게 선택될 수도 있다. 그러나 당업자에게 공지된 바와 같이, 핀-리프터 테스트 기판의 질량이 테스트 중인 특정한 툴에 대해 보정될 수 있도록, 질량의 차가 용인 가능하고 기판 핀-리프터들은 추가된 질량에 대해 캘리브레이팅될 수 있다.
그러나, 본 명세서에 제공된 개시를 읽고 이해하면, 숙련된 기술자는 도 2b의 핀-리프터 테스트 기판 (210) 이 제조 설비에서 사용된 실제 기판들과 동일하거나 유사한 임의의 형태를 따르도록 형성될 수도 있다는 것을 인식할 것이다. 예를 들어, 도 2b의 핀-리프터 테스트 기판 (210) 은 200 ㎜ 웨이퍼, 450 ㎜ 웨이퍼, 150 ㎜ x 6.35 ㎜ (대략 6 인치 x 0.25 인치) (펠리클 (pellicle) 이 있거나 없는) 포토마스크, (다양한 사이즈들의) 평판 디스플레이 또는 당업계에 공지된 임의의 다른 유형의 기판의 형태를 취할 수도 있다.
도 2b의 핀-리프터 테스트 기판 (210) 은 예를 들어, 스테인리스 스틸, 알루미늄 및 이들의 합금들, 다양한 유형들의 세라믹들 (예를 들어, 알루미늄 옥사이드, Al2O3), 또는 실질적으로 본 명세서에 기술된 물리적 특성들에 따라 형성될 수 있는 임의의 다른 유형의 재료를 포함하는 다양한 재료들로부터 형성될 수도 있다. 특정한 예시적인 실시 예에서, 도 2b의 핀-리프터 테스트 기판은 이하에 기술된 다양한 센서들 중 적어도 일부를 포함하는 300 ㎜ 실리콘 웨이퍼일 수 있다. 센서들 중 적어도 일부를 포함하는 이러한 웨이퍼는 계측된 (instrumented) 웨이퍼로 간주될 수도 있다.
일 실시 예에서, 핀-리프터 테스트 기판 (210) 은 핀-리프터 테스트 기판 (210) 의 상단면 (201) 상에 형성된 다수의 상이한 유형들의 센서들을 포함한다. 예를 들어, 핀-리프터 테스트 기판 (210) 은 다양한 유형들의 모션 센서들 (205A, 205B, 205C), 메모리 디바이스 (207), 무선 통신 디바이스 (209), 전력-관리 디바이스 (211), 및 전력 공급부 (213) 를 포함하는 것으로 도시된다.
일 실시 예에서, 모션 센서들 (205A, 205B, 205C) 은 기판 핀-리프터들의 위치에 또는 위치 근방에 배치된다. 모션 센서들 (205A, 205B, 205C) 은 핀-리프터 테스트 기판 (210) 의 상단면 (201) 및/또는 하단면 (221) 상에 배치될 수 있다. 이 특정한 실시 예에서, 반도체 웨이퍼와 함께 사용되는 통상적으로 3 개의 기판 핀-리프터들이 있기 때문에, 3 개의 모션 센서들 (205A, 205B, 205C) 이 있다. 그러나 예를 들어, 4 개 이상의 기판 핀-리프터들을 사용하는 평판 디스플레이와 함께 사용될 때 4 개 이상의 기판 핀-리프터들이 있을 수도 있다.
모션 센서들 (205A, 205B, 205C) 중 적어도 하나는 경사계들 및 가속도계들을 포함하는 하나 이상의 유형들의 센서들을 포함할 수도 있다. 숙련된 기술자에게 공지된 바와 같이, 경사계는 핀-리프터 테스트 기판 (210) 이 수평인지 여부, 핀-리프터 테스트 기판 (210) 의 경사 (slope) 또는 틸팅 (tilt), 또는 핀-리프터 테스트 기판 (210) 의 국부적인 강하 (예를 들어, 보우 (bow) 또는 뒤틀림 (warp)) 를 결정하도록 사용될 수 있다. 가속도계는 핀-리프터 테스트 기판 (210) 의 가속도 (예를 들어, 선형 및/또는 각도) 를 결정하도록 사용될 수도 있다. 예를 들어, 가속도계는 핀-리프터 테스트 기판 (210) 이 기판 핀-리프터들 상에 얼마나 빨리 적용되는지, 또는 핀-리프터 테스트 기판 (210) 이 ESC로부터의 인력들로 인해 핀-리프터 테스트 기판 (210) 의 해제 (release) 를 실패할 것으로 예상될 때 기판 핀-리프터들로부터 얼마나 빨리 해제되는지를 결정하도록 사용될 수 있다. 예를 들어, 기판 핀-리프터들이 상승된 웨이퍼 위치 ("업" 위치) 또는 하강된 위치 ("다운" 위치) 로 이동하는 동안, 리프트 핀들의 최대 가속도는 1 "G" (9.8 m/sec²) 만큼 클 수 있다. 이 큰 가속도는 도 1a 내지 도 1c를 참조하여 상기 기술된 바와 같이 DA 오프셋을 발생시킬 수 있다.
가속도계는 또한 핀-리프터 테스트 기판 (210) 상의 진동들을 측정하도록 사용될 수 있다. 특정한 예시적인 실시 예에서, 모션 센서들 (205A, 205B, 205C) 중 적어도 하나는 예를 들어, 도 1a 내지 도 1c를 참조하여 상기 기술된 바와 같이 디척킹 동작들을 테스트하기 위한 압전-구동 다이어프램 (diaphragm) 을 포함할 수도 있고, 정전 척에 의해 인가된 힘을 체크하기 위해 MEMS-기반 힘 센서들 (또는 예를 들어, 스트레인 게이지 (strain gauge) 와 같은, 관련 분야에 공지된 다른 유형의 힘 센서들) 을 포함할 수도 있다.
다양한 실시 예들에서, 메모리 디바이스 (207) 는 비 휘발성 메모리 디바이스 (예를 들어, 플래시 메모리, 상 변화 메모리, 등) 를 포함할 수도 있다. 다른 실시 예들에서, 메모리 디바이스 (207) 는 휘발성 메모리 디바이스일 수도 있고, 전력 공급부 (213) 에 의해 전력 공급될 수도 있다.
무선 통신 디바이스 (209) 는 예를 들어, 무선 주파수 트랜시버들 (transcivers), Bluetooth® 트랜시버들, 적외선 (IR) 및 다른 유형들의 광-통신 트랜시버들, 등을 포함하는, 당업계에 공지된 다양한 유형들의 무선 통신 디바이스들을 포함할 수도 있다. 본 명세서에 제공된 개시를 읽고 이해하면 당업자가 인식할 수 있을 바와 같이, 트랜시버들은 송신 기능만을 가질 수도 있다. 이 경우에, 무선 통신 디바이스 (209) 는 송신기로만 간주될 수도 있다.
특정한 실시 예들에서, 핀-리프터 테스트 기판 (210) 은 무선 통신 디바이스 (209) 또는 메모리 디바이스 (207) 중 하나를 가질 수도 있지만, 둘 다 갖지 않을 수도 있다. 다른 실시 예들에서, 핀-리프터 테스트 기판 (210) 은 무선 통신 디바이스 (209) 및 메모리 디바이스 (207) 모두를 포함할 수도 있다. 이하에 보다 상세히 기술된 바와 같이, 핀-리프터 테스트 기판 (210) 의 특정한 적용 예들에서, 무선 통신 디바이스 (209) 는 핀-리프터 테스트 기판 (210) 이 프로세스 챔버 내에 배치되고 프로세스 챔버 액세스 도어를 폐쇄한 후 (완전히 폐쇄된 프로세스 챔버의 전자기 차폐 효과로 인해) 로봇으로부터 제거된다면 기능하지 않을 수도 있다. 이 경우에, 메모리 디바이스 (207) 는 나중 프로세싱을 위해 핀-리프터 테스트 기판 (210) 으로부터 이용 가능한 모든 데이터를 기록하도록 사용된다.
전력-관리 디바이스 (211) 는 예를 들어, 다양한 유형들의 집적 회로 (Integrated Circuit; IC) 전력-관리 디바이스들을 포함할 수도 있다. 전력-관리 디바이스 (211) 는 (예를 들어, 핀-리프터 테스트 기판 (210) 상에 장착된 다양한 디바이스들에 대해 다양한 바이어스 전압들을 공급하기 위한) DC-DC 변환 회로들, 전력 공급부 (213) 를 위한 배터리 충전 기능들, 전압-스케일링 기능들 (예를 들어, 메모리 디바이스 (207) 를 위한 전하 펌프들을 포함함), 및 관련 분야에 공지된 다른 기능들과 같은 기능들을 포함할 수 있다.
전력 공급부 (213) 는 다양한 컴포넌트들 (예를 들어, 무선 통신 디바이스 (209), (예를 들어, 휘발성 메모리 디바이스들에 대해) 필요하다면 데이터를 유지하기 위한 메모리 디바이스 (207), 메모리 디바이스 (207) 로부터 판독 및 기록하기 위한 감지 증폭기들 (sense amps), 등) 에 전력을 전달하기 위한 다양한 유형들의 배터리들 또는 관련된 에너지 저장 기술들을 포함할 수도 있다.
이제 도 2c를 참조하면, 본 명세서에 개시된 다양한 실시 예들에 따른, 핀-리프터 테스트 기판 (220) 의 하단면 (221) 상에 형성된 센서들의 예가 도시된다. 핀-리프터 테스트 기판 (220) 은 힘 센서들 (223A, 223B, 223C) 뿐만 아니라 제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 를 포함하는 것으로 도시된다. 이하에 기술된 바와 같이, 일 실시 예에서, 제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 는 동일한 유형의 센서를 포함할 수도 있다. 다른 실시 예들에서, 제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 는 상이한 유형들의 센서들을 포함할 수도 있다.
일 실시 예에서, 힘 센서들 (223A, 223B, 223C) 은 기판 핀-리프터들의 위치에 또는 위치 근방에 배치된다. 힘 센서들 (223A, 223B, 223C) 은 핀-리프터 테스트 기판 (210, 220) 의 상단면 (201) 및/또는 하단면 (221) 상에 배치될 수 있다. 이 특정한 실시 예에서, 반도체 웨이퍼와 함께 사용되는 통상적으로 3 개의 기판 핀-리프터들이 있기 때문에, 3 개의 힘 센서들 (223A, 223B, 223C) 이 있다. 그러나 예를 들어, 평판 디스플레이와 함께 사용될 때 4 개 이상의 기판 핀-리프터들이 있을 수도 있다. 결과적으로, 4 개 이상의 힘 센서들이 있을 수도 있다.
힘 센서들 (223A, 223B, 223C) 중 적어도 하나는 도 2b를 참조하여 상기 기술된 MEMS-기반 스트레인 게이지 (또는 관련 분야에 공지된 다른 유형의 스트레인 게이지) 와 같은 스트레인 게이지들을 포함할 수도 있다.
제 1 부가적인 센서 (225A) 및 제 2 부가적인 센서 (225B) 는 예를 들어, 온도 센서, 압력 센서, 및 플로우 센서를 포함하는 하나 이상의 센서들을 포함할 수도 있다. 온도 센서는 핀-리프터 테스트 기판 (220) 의 다양한 위치들에서 온도 균일성을 체크하도록 사용될 수 있다. 압력 센서는 예를 들어, 압력-트랜스듀서 어레이들, 및 당업계에 공지된 피에조미터들 (piezometers) 을 포함하는 다양한 유형들의 디지털 압력 트랜스듀서들을 포함할 수도 있고, 예를 들어, 일단 ESC에 부착되면 기판의 후면 상에 인가된 헬륨 압력을 모니터링할 수 있다. 유사하게, 플로우 센서는 예를 들어, 층류 계량기 또는 열선 풍속계를 포함할 수도 있고, 핀-리프터 테스트 기판 (210, 220) 의 후면 또는 전면 상의 가스 플로우를 모니터링하도록 사용될 수 있다.
2 개의 부가적인 센서들만이 도시되지만, 숙련된 기술자는 임의의 수의 부가적인 센서들이 포함될 수도 있다는 것을 이해할 것이다. 예를 들어, 온도 센서 각각은 핀-리프터 테스트 기판 (220) 의 하단면 (221) 에 임베딩된 다수의 열전대들 또는 저항-온도 검출기들 (Resistance-Temperature Detectors; RTD들, 박막 RTD들을 포함함) 을 포함할 수도 있다.
다양한 실시 예들에서, 그리고 명백하게 도시되지 않지만 본 명세서에 제공된 개시를 읽고 이해하면 당업자에게 쉽게 이해될 수 있는 도 2a 및 도 2b의 핀-리프터 테스트 기판 (210, 220) 은, 또한 핀-리프터 테스트 기판 (210, 220) 상에 장착된 센서들 및 다른 디바이스들 각각에 다수의 제어 기능들을 제공하기 위한 마이크로프로세서를 포함할 수도 있다. 예를 들어, 마이크로프로세서는 메모리의 인코딩 및 디코딩, 메모리의 패리티 체크, 데이터 관리 및 통신 관리, 체적-플로우 레이트들의 질량-플로우 레이트들로의 변환, 및 당업자에게 공지된 다른 기능들을 제공하도록 사용될 수도 있다.
이제 도 3을 참조하면, 본 명세서에 개시된 다양한 실시 예들에 따른 프로세싱 툴의 프로세스 챔버 내에 배치된 도 2b 및 도 2c의 핀-리프터 테스트 기판으로부터 데이터를 수신하기 위한 방법 (300) 의 예가 도시된다. 당업자에게 이해될 수 있을 바와 같이, 본 명세서에 기술된 임의의 또는 모든 방법 단계들은 예를 들어, 프로세스 툴의 제어기에 의해 실행될 수도 있다.
동작 301에서, 핀-리프터 테스트 기판은 로봇의 엔드 이펙터에 의해 프로세스 챔버 내로 로딩된다. 핀-리프터 테스트 기판은 예를 들어, 제품 기판들의 실제 보트 (boat) 또는 FOUP 전에 또는 후에 프로세스 챔버 (또는 프로세스 모듈) 내로 로딩될 수도 있다. 핀-리프터 테스트 기판은 상기 기술된 바와 같이 주기적으로 (예를 들어, 정상적인 예방적 유지 보수 스케줄의 일부로서, 시프트 당 1 회, 주 당 1 회, 등) 프로세스 툴의 상태를 체크하도록 사용될 수도 있다.
이 특정한 실시 예에서, 일단 엔드 이펙터가 프로세스 챔버 내의 기판-홀딩 디바이스 (예를 들어, ESC) 상에 핀-리프터 테스트 기판을 배치하면, 로봇 암은 프로세스 챔버에 머무른다. 따라서 로봇은 철수하지 (retract) 않는다.
동작 303에서, 기판 핀-리프터들은 (프로세스 툴의 사용자 인터페이스를 통해) 미리 결정된 패턴 당 미리 결정된 수의 사이클들 동안 위로 (상승된, 핀-업 위치로) 그리고 아래로 (하강된, 핀-다운 위치로) 이동하도록 지시된다. 예를 들어, 미리 결정된 패턴은 핀들 각각을 하나씩, 순차적으로 이동시키고, 이어서 2 또는 3 개의 핀들의 그룹들로 이동시킬 수도 있다.
동작 305에서, 핀-리프터 테스트 기판 상의 센서들의 다양한 센서들, 예를 들어, 모션 센서들 및 힘 센서들은 메모리 디바이스 (207) 에 기록하고 그리고/또는 모션 데이터 (예를 들어, 업/다운 가속도, 경사 각들, 등) 및 힘 데이터를 포함하는 무선 통신 디바이스 (209) (도 2 참조) 를 통해 데이터를 원격 수신기 (remote receiver) 로 송신한다. 원격 수신기는 예를 들어, 로봇 암 상에 또는 프로세스 챔버 외부의 또 다른 위치에 위치될 수도 있다.
동작 307에서, 모든 기판 핀-리프터들이 다운 위치 또는 하강된 위치로 된 후에, 로봇은 핀-리프터 테스트 기판을 철수시키고 핀-리프터 테스트 기판을 프로세스 챔버 밖으로 이동시킨다. 이 실시 예에서, 로봇은 테스트 동안 프로세스 챔버 내에 머무른다는 것을 주의한다. 따라서, 로봇의 엔드 이펙터는 항상 핀-리프터 테스트 기판 아래에 있다. 결과적으로, 예를 들어, 기판 핀-리프터들 중 하나 이상이 파손되더라도, 프로세스 챔버로부터 핀-리프터 테스트 기판을 제거 못 할 위험이 없다. 핀-리프터 테스트 기판으로부터 (예를 들어, 메모리 디바이스 (207) 에서) 데이터가 검색되고, 기판 핀-리프터들 및 관련된 컴포넌트들 (예를 들어, ESC) 을 사용하여 문제들을 식별하도록 프로세싱될 수 있다.
예를 들어, 방법 (300) 은 적어도 다음의 문제들을 식별하도록 사용될 수 있다:
핀-리프터 테스트 기판이 ESC 상에 배치될 때 또는 ESC로부터 제거될 때 핀-리프터 테스트 기판의 측방향 및/또는 회전 이동에 기초하여 임의의 DA 문제들을 나타내는지 여부;
하나 이상의 기판 핀-리프터들이 파손되었는지 여부;
핀-리프터에 커플링된 공기 호스 (air hose) 가 파손될 수도 있는지 여부;
핀-리프터 테스트 기판으로부터 기판 홀더 (예를 들어, ESC) 로의 콘택트하는 힘이 없는지 여부;
기판 핀-리프터들에 피딩하는 (feeding) 기압이 너무 높은지 여부 (이에 따라 예상된 상한 범위에 대한 사양 이상으로 가속도를 증가시키고, 아마도 진동을 또한 증가시킨다);
가속도가 예상된 하한 범위에 대한 사양을 벗어나면 기압이 너무 낮은지 여부;
경사 각들이 사양 내에 있지 않거나 상이한 위치들로부터의 각들이 사양을 넘어서 가변한다면 기판 핀-리프터들이 평탄화되지 않을 수도 있는지 여부;
상이한 위치들로부터의 가속도들이 너무 많이 가변한다는 결정에 기초하여 (예를 들어, 미리 결정된 허용 오차 값 또는 사양 정량기 (quantifier) 에 따라) 기판 핀-리프터들이 모두 유사하게 가속하지 않는지 여부; 및/또는
핀-리프터 테스트 기판 모션 데이터로부터 검색된 (그리고/또는 이에 의해 송신된) 데이터에 기초하여 재구성된 이동 시퀀스와 비교하여, 위치 센서로부터의 데이터가 예를 들어, 동작 303에서 적용된 바와 같은, 미리 결정된 패턴의 핀 사이클링과 매칭되지 않는다는 결정에 기초하여 기판 핀-리프터들 중 하나 이상에 장착된 위치 센서들이 적절하게 기능하지 않는지 여부.
도 3의 방법에 대한 대안적인 실시 예들은 예를 들어, 테스트 동안 프로세스 챔버 내에 머물도록 로봇을 프로그래밍하는 대신, 사용자들의 편의를 위해 일반적인 웨이퍼-핸들링 로봇 프로그램이 사용될 수 있다. 따라서, 이 실시 예에서 로봇은 도 2a 및 도 2b의 핀-리프터 테스트 기판을 사용한 테스트 동안 프로세스 챔버로부터 철수된다. 그러나, 로봇을 철수시키는 것은 예를 들어, 핀-리프터 테스트 기판 중 하나 이상이 적절하게 기능하지 않는다면, 예를 들어, 프로세스 챔버로부터 핀-리프터 테스트 기판을 제거 못 할 위험을 제기할 수도 있다. 또한 이 실시 예에서, (도 2b의 메모리 디바이스 (207) 로부터의) 오프라인 데이터 검색 및 프로세싱 또는 (예를 들어, 여전히 프로세스 챔버 내에 있는 동안 로봇 상에 장착된) 무선 수신기에 송신될 무선 데이터에 의존하는 대신, 핀-리프터 테스트 기판이 프로세스 챔버 내부에 있는 동안 폐쇄되는 프로세스 챔버로의 액세스 도어와 함께 프로세스 챔버의 패러데이 케이지 (faraday-cage) 효과 (예를 들어, 전자기 차폐) 를 극복할 수 있다면, 실시간 무선 데이터 스트림이 가능할 수도 있다.
다양한 실시 예들에서, 도 3의 방법 (300) 은 또한 핀-리프터 테스트 기판을 제거 못 할 위험이 거의 없다는 것을 검증하기 위해 기판 핀-리프터들의 "건전성 테스트 (health test)"를 수행하도록 로봇의 엔드 이펙터가 초기에 프로세스 챔버 내에 남아 있도록 프로그래밍하는 것을 포함할 수 있다. 기판 핀-리프터들의 양호한 건전성을 확인한 후, 방법 (300) 의 이 실시 예는 프로세스 챔버로부터 철수하도록 로봇을 프로그래밍하는 단계, 프로세스 챔버 내에 핀-리프터 테스트 기판을 남기는 단계, 프로세스 챔버에 진공을 인가하는 단계, 및 부가적인 테스트를 수행하는 단계를 포함한다. 부가적인 테스트는 예를 들어, 헬륨-플로우 테스트, 헬륨-압력 테스트, 또는 프로세스 챔버 내의 진공 상태들, 또는 로봇으로 하여금 프로세스 챔버 내에 남아 있지 않게 할 상태들을 필요로 하는 다른 테스트들을 포함할 수도 있다.
전반적으로, 본 명세서에 포함된 개시된 주제는 반도체 제조 환경 (fab) 에서 "툴들"의 동작들을 기술하거나 일반적으로 관련된다. 이러한 툴들은 다양한 유형들의 증착 (ALD (Atomic Layer Deposition), CVD (Chemical Vapor Deposition), PECVD (Plasma-Enhanced CVD), 등과 같은 플라즈마-기반 툴들을 포함하는) 및 에칭 툴들 (예를 들어, RIE (Reactive-Ion Etching) 툴들), 뿐만 아니라 다양한 유형들의 열적 퍼니스들 (furnaces) (예를 들어, 예컨대 고속 열 어닐링 및 산화), 이온 주입, 및 다양한 다양한 공장들에서 발견되고 당업자에게 공지된 다른 프로세스들 및 계측 툴들을 포함할 수 있다. 그러나, 개시된 주제는 반도체 환경들에 한정되지 않고, 로봇 어셈블리, 제작, 및 머시닝 분위기들과 같은 다수의 머신-툴 분위기들에서 사용될 수 있다.
본 명세서에 제공된 개시를 읽고 이해하면, 당업자는 개시된 주제의 다양한 실시 예들이 ESC에 더하여, 다른 유형들의 기판-홀딩 디바이스들과 함께 사용될 수도 있다는 것을 인식할 것이다. 예를 들어, 반도체 및 관련된 산업계들에서 사용되는 다양한 유형들의 세정, 계측, 및 프로세스 툴들은 예를 들어, 진공-제어된 기판-홀딩 디바이스들을 사용한다. 예를 들어, 다양한 유형들의 기판-홀딩 디바이스들은 분자 접착력, 반 데르 발스 (Van der Waal) 힘들, 정전기력들, 및 다른 근접장 (near-field) 콘택트 힘들과 같은 힘들로 인해 기판을 기판 홀딩 디바이스들에 접착하거나 달리 부착하는 문제들을 가질 수도 있다. 따라서 기술된 바와 같이, 개시된 주제의 다양한 실시 예들은 본 명세서에 기술된 바와 같이 다양한 유형들의 프로세스 툴들 및 다른 기판 핸들링 툴들을 모니터링하도록 사용될 수 있는 핀-리프터 테스트 기판을 제공한다.
본 명세서 전반에서, 복수의 예들이 단일 예로서 기술된 컴포넌트들, 동작들, 또는 구조체들을 구현할 수도 있다. 하나 이상의 방법들의 개별 동작들이 별도의 동작들로 예시되고 기술되었지만, 개별 동작들 중 하나 이상은 동시에 수행될 수도 있고, 동작들이 예시된 순서로 수행될 것을 요구하지 않는다. 예시적인 구성들에서 별도의 컴포넌트들로서 제시된 구조체들 및 기능성은 결합된 구조체 또는 컴포넌트로서 구현될 수도 있다. 유사하게, 단일 컴포넌트로서 제시된 구조체들 및 기능성은 별도의 컴포넌트들로서 구현될 수도 있다. 이들 및 다른 변동들, 수정들, 부가들, 및 개선들이 본 명세서의 주제 범위 내에 속한다.
본 명세서에 사용된 바와 같이, 용어 "또는"은 포괄적이거나 배타적인 의미로 해석될 수도 있다. 또한, 다른 실시 예들은 제공된 개시를 읽고 이해하면 당업자에 의해 이해될 것이다. 또한, 본 명세서에 제공된 개시를 읽고 이해하면, 당업자는 본 명세서에 제공된 기법들 및 예들의 다양한 조합들이 모두 다양한 조합들에서 적용될 수도 있다는 것을 쉽게 이해할 것이다.
다양한 실시 예들이 개별적으로 논의되었지만, 이들 개별적인 실시 예들은 독립적인 기법들 또는 설계들로 고려되도록 의도되지 않았다. 상기 나타낸 바와 같이, 다양한 부분들 각각은 상호 연관될 수도 있고, 각각은 개별적으로 또는 본 명세서에 논의된 다른 실시 예들과 조합하여 사용될 수도 있다. 예를 들어, 방법들, 동작들, 및 프로세스들의 다양한 실시 예들이 기술되었지만, 이들 방법들, 동작들, 및 프로세스들은 다양한 조합들로 또는 개별적으로 사용될 수도 있다.
결과적으로, 본 명세서에 제공된 개시를 읽고 이해하면 당업자에게 명백할 바와 같이, 많은 수정들 및 변동들이 이루어질 수 있다. 예를 들어, 다양한 실시 예들에서, 그리고 도 2a 및 도 2b를 참조하면, 다양한 모션 센서들, 힘 센서들, 메모리 디바이스, 및 통신 디바이스 각각은 핀-리프터 테스트 기판 상에 직접 조립될 수도 있다. 다른 실시 예들에서, 다양한 모션 센서들, 힘 센서들, 메모리 디바이스, 및 통신 디바이스 각각은 조립될 수도 있고, 또는 그렇지 않으면 나중에 핀-리프터 테스트 기판 상에 장착되는 인쇄 회로 기판 상에 직접 형성될 수도 있다. 또 다른 실시 예들에서, 다양한 모션 센서들, 힘 센서들, 메모리 디바이스, 및 통신 디바이스 중 일부는 핀-리프터 테스트 기판 상에 직접 조립될 수도 있는 한편, 다른 컴포넌트들은 나중에 핀-리프터 테스트 기판 상에 장착되는 인쇄 회로 기판 상에 직접 조립된다.
또한, 본 명세서에 열거된 것들에 더하여, 본 개시의 범위 내의 기능적으로 동등한 방법들 및 디바이스들은 전술한 기술들로부터 당업자에게 분명할 것이다. 일부 실시 예들의 부분들 및 특징들은 다른 실시 예들의 부분들 및 특징들에 포함될 수도 있고, 또는 이들을 대체할 수도 있다. 이러한 수정들 및 변동들은 첨부한 청구항들의 범위 내에 속하도록 의도된다. 따라서, 본 개시는 이러한 청구항들이 자격을 주는 등가물들의 전체 범위에 따라, 첨부된 청구항들의 조건들에 의해서만 제한되는 것이다. 본 명세서에 사용된 용어는 특정한 실시 예들만을 기술할 목적을 위한 것이고, 제한하는 것으로 의도되지 않았다는 것이 또한 이해된다.
본 개시의 요약은 독자로 하여금 기술 개시의 본질을 빠르게 확인하게 하도록 제공된다. 요약은 이것이 청구항들을 해석하거나 제한하도록 사용되지 않을 것이라는 이해와 함께 제출된다. 또한, 전술한 발명을 실시하기 위한 구체적인 내용에서, 다양한 특징들이 본 개시를 간소화할 목적을 위해 단일 실시 예에서 함께 그룹화될 수도 있다는 것을 알 수도 있다. 개시의 이 방법은 청구항들을 제한하는 것으로 해석되지 않는다. 따라서, 이하의 청구항들은 본 명세서에서 발명을 실시하기 위한 구체적인 내용에 통합되고, 청구항 각각은 개별적인 실시 예로서 독립된다.

Claims (28)

  1. 복수의 모션 센서들로서, 상기 모션 센서들은 경사계들 (inclinometers) 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함하는, 상기 복수의 모션 센서들;
    핀-리프터 (pin-lifter) 테스트 기판이 기판-홀딩 디바이스 상에 배치될 때 복수의 기판 핀-리프터들의 대응하는 위치들에 근접하게 위치된 하나 이상의 힘 센서들;
    상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 송신하도록 구성된 통신 디바이스; 및
    상기 통신 디바이스에 통신 가능하게 커플링되고 상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 기록하도록 구성된 메모리 디바이스를 포함하는, 핀-리프터 테스트 기판 시스템.
  2. 제 1 항에 있어서,
    상기 핀-리프터 테스트 기판은 실리콘 웨이퍼와 동일하거나 유사한 치수들을 갖는, 핀-리프터 테스트 기판 시스템.
  3. 제 1 항에 있어서,
    상기 핀-리프터 테스트 기판은 스테인리스 스틸, 알루미늄과 이들의 합금들, 및 다양한 유형들의 세라믹들을 포함하는 재료들로부터 선택된 적어도 하나의 재료로부터 형성되는, 핀-리프터 테스트 기판 시스템.
  4. 제 1 항에 있어서,
    상기 경사계들은 상기 핀-리프터 테스트 기판의 경사 (slope) 또는 틸팅 (tilt) 을 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  5. 제 1 항에 있어서,
    상기 경사계들은 상기 핀-리프터 테스트 기판의 국부적인 강하 (depression) 를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  6. 제 1 항에 있어서,
    상기 경사계들은 기판-홀딩 디바이스 상의 복수의 기판 핀-리프터들 중 하나 이상이 파손되었는지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  7. 제 1 항에 있어서,
    상기 하나 이상의 힘 센서들은 상기 핀-리프터 테스트 기판으로부터 상기 기판-홀딩 디바이스로 콘택트하는 힘이 있는지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  8. 제 1 항에 있어서,
    상기 가속도계들은 상기 복수의 기판 핀-리프터들에 피딩하는 (feeding) 기압이 너무 높은지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  9. 제 1 항에 있어서,
    상기 가속도계들은 상기 복수의 기판 핀-리프터들에 피딩하는 기압이 너무 낮은지 여부를 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  10. 제 1 항에 있어서,
    상기 가속도계들은 상기 핀-리프터 테스트 기판 상의 진동들을 측정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  11. 제 1 항에 있어서,
    상기 통신 디바이스는 상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 원격 수신기 (remote receiver) 로 송신하도록 구성된 무선 통신 디바이스인, 핀-리프터 테스트 기판 시스템.
  12. 제 11 항에 있어서,
    상기 무선 통신 디바이스는 무선 주파수 송신기들, 블루투스 송신기들, 적외선 (IR) 송신기들, 및 광-통신 송신기들을 포함하는 적어도 하나의 유형의 무선 통신 디바이스로부터 선택되는, 핀-리프터 테스트 기판 시스템.
  13. 제 1 항에 있어서,
    적어도 온도 센서, 압력 센서, 및 플로우 센서로부터 선택된 센서 유형을 포함하는 적어도 하나의 부가적인 센서를 더 포함하는, 핀-리프터 테스트 기판 시스템.
  14. 제 13 항에 있어서,
    상기 온도 센서는 상기 핀-리프터 테스트 기판의 다양한 위치들로부터 온도를 결정하도록 구성되는 복수의 온도 센서들을 포함하는, 핀-리프터 테스트 기판 시스템.
  15. 제 13 항에 있어서,
    상기 압력 센서는 상기 핀-리프터 테스트 기판의 후면 상에 인가된 가스 압력을 결정하도록 구성되는, 핀-리프터 테스트 기판 시스템.
  16. 제 1 항에 있어서,
    상기 복수의 모션 센서들, 상기 하나 이상의 힘 센서들, 상기 메모리 디바이스, 및 상기 통신 디바이스는 상기 핀-리프터 테스트 기판 상에 직접 조립되는, 핀-리프터 테스트 기판 시스템.
  17. 제 1 항에 있어서,
    상기 복수의 모션 센서들, 상기 하나 이상의 힘 센서들, 상기 메모리 디바이스, 및 상기 통신 디바이스는 인쇄 회로 기판 상에 조립되고, 상기 인쇄 회로 기판은 후속하여 상기 핀-리프터 테스트 기판 상에 장착되는, 핀-리프터 테스트 기판 시스템.
  18. 복수의 기판 핀-리프터들을 갖는 기판-홀딩 디바이스;
    상기 기판-홀딩 디바이스에 통신 가능하게 커플링된 제어기로서,
    로봇의 엔드 이펙터를 사용하여, 기판 프로세싱 시스템의 적어도 하나의 프로세스 챔버 내의 상기 기판-홀딩 디바이스 상으로 핀-리프터 테스트 기판을 로딩하고,
    상기 핀-리프터 테스트 기판 상에 장착된 복수의 모션 센서들 및 복수의 힘 센서들로부터 데이터를 수신하고―상기 모션 센서들은 경사계들 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함함―, 그리고
    상기 수신된 데이터를 상기 핀-리프터 테스트 기판으로부터 원위에 위치된 수신기로 송신하고 상기 수신된 데이터를 상기 핀-리프터 테스트 기판 상에 장착된 메모리 디바이스에 저장하는 것을 포함하는 동작들로부터 선택된 적어도 하나의 유형의 동작을 포함하는 동작을 수행하도록 구성된 실행 가능한 인스트럭션들을 갖는, 상기 제어기를 포함하는, 기판 프로세싱 시스템.
  19. 제 18 항에 있어서,
    상기 수신된 데이터를 송신하는 상기 동작은 무선으로 수행되도록 구성되는, 기판 프로세싱 시스템.
  20. 제 18 항에 있어서,
    상기 제어기는,
    상기 핀-리프터 테스트 기판이 상기 데이터를 수신하는 동안 상기 로봇의 엔드 이펙터를 상기 프로세스 챔버 내에 유지하고,
    미리 결정된 패턴 당 미리 결정된 수의 사이클들 동안 상기 복수의 기판 핀-리프터들에 상승된 핀-업 위치, 및 하강된 핀-다운 위치로 이동하도록 지시하고, 그리고
    상기 모션 센서들에 의해 상기 복수의 기판 핀-리프터들로부터 수신된 상기 데이터를 상기 핀-리프터 테스트 기판으로부터 원위에 위치된 상기 수신기로 무선으로 송신하고, 상기 핀-리프터 테스트 기판 상에 장착된 상기 메모리 디바이스로 상기 수신된 데이터를 저장하는 것으로부터 선택된 적어도 하나의 동작을 포함하는 동작을 수행하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  21. 제 18 항에 있어서,
    상기 제어기는 상기 상승된, 핀-업 위치, 및 상기 하강된, 핀-다운 위치로부터 수신된 데이터에 기초하여, 상기 기판 핀-리프터들 중 하나 이상이 오작동하는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  22. 제 18 항에 있어서,
    상기 제어기는 상기 상승된, 핀-업 위치, 및 상기 하강된, 핀-다운 위치로부터 수신된 데이터에 기초하여, 상기 기판 핀-리프터들에 커플링된 공기 호스 (air hose) 가 오작동하는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  23. 제 18 항에 있어서,
    상기 제어기는 상기 핀-리프터 테스트 기판을 상기 기판-홀딩 디바이스 상에 배치한 후 상기 핀-리프터 테스트 기판을 사용한 테스트 동안 상기 프로세스 챔버로부터 상기 로봇의 상기 엔드 이펙터를 철수시키도록 (retract) 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  24. 제 23 항에 있어서,
    상기 제어기는,
    상기 프로세스 챔버로의 액세스 도어 (access door) 를 개방 위치에 두고, 그리고
    상기 핀-리프터 테스트 기판으로부터 상기 수신된 데이터를 상기 로봇 상에 장착된 수신기로 무선으로 송신하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  25. 제 18 항에 있어서,
    상기 제어기는 상기 복수의 모션 센서들로부터 수신된 데이터에 기초하여 상기 프로세스 챔버로부터 상기 핀-리프터 테스트 기판을 제거한 후 상기 핀-리프터 테스트 기판의 동적 정렬을 모니터링하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  26. 제 18 항에 있어서,
    상기 제어기는 상기 복수의 모션 센서들로부터 수신된 데이터에 기초하여, 상기 기판-홀딩 디바이스의 경사 각이 상기 경사 각에 대해 미리 결정된 값에 기초한 사양 내에 있는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  27. 제 18 항에 있어서,
    상기 제어기는 상기 복수의 모션 센서들로부터 수신된 데이터에 기초하여, 상기 기판 핀-리프터들이 가속에 대해 미리 결정된 허용 오차 값에 기초하여 모두 유사하게 가속하는지 여부를 결정하게 하도록 구성된 실행 가능한 인스트럭션들을 더 포함하는, 기판 프로세싱 시스템.
  28. 프로세스 챔버;
    복수의 기판 핀-리프터들을 갖고 상기 프로세스 챔버 내에 위치된 기판-홀딩 디바이스;
    상기 기판-홀딩 디바이스 상에 기판을 배치하도록 구성된 엔드 이펙터를 갖는 로봇;
    상기 로봇의 엔드 이펙터에 의해 상기 기판-홀딩 디바이스 상에 배치되도록 구성된 핀-리프터 테스트 기판으로서,
    경사계들 및 가속도계들을 포함하는 센서 유형들로부터 선택된 적어도 하나의 유형의 센서를 포함하는 복수의 모션 센서들;
    상기 핀-리프터 테스트 기판이 기판-홀딩 디바이스 상에 배치될 때 상기 복수의 기판 핀-리프터들의 대응하는 위치들에 근접하게 위치된 하나 이상의 힘 센서들; 및
    상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 송신하도록 구성된 통신 디바이스를 포함하는, 상기 핀-리프터 테스트 기판;
    상기 복수의 모션 센서들 및 상기 하나 이상의 힘 센서들로부터 수신된 데이터를 기록하도록 구성된 메모리 디바이스; 및
    상기 기판-홀딩 디바이스 및 상기 엔드 이펙터를 갖는 상기 로봇에 통신 가능하게 커플링된 제어기로서, 상기 제어기는 적어도 상기 핀-리프터 테스트 기판과 관련된 상기 기판 프로세싱 시스템의 동작들을 제어하도록 구성된 실행 가능한 인스트럭션들을 갖는, 상기 제어기를 포함하는, 기판 프로세싱 시스템.
KR1020217020699A 2018-12-03 2018-12-03 핀-리프터 (pin-lifter) 테스트 기판 KR102633264B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2018/063652 WO2020117201A1 (en) 2018-12-03 2018-12-03 Pin-lifter test substrate

Publications (2)

Publication Number Publication Date
KR20210089253A true KR20210089253A (ko) 2021-07-15
KR102633264B1 KR102633264B1 (ko) 2024-02-02

Family

ID=70973507

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217020699A KR102633264B1 (ko) 2018-12-03 2018-12-03 핀-리프터 (pin-lifter) 테스트 기판

Country Status (5)

Country Link
US (1) US20220013388A1 (ko)
JP (1) JP7341237B2 (ko)
KR (1) KR102633264B1 (ko)
CN (1) CN113169090A (ko)
WO (1) WO2020117201A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102435940B1 (ko) * 2019-10-31 2022-08-24 세메스 주식회사 기판 휨 모니터링 장치, 기판 휨 모니터링 방법, 기판 처리 장비 및 기판형 센서
CN112437533A (zh) * 2020-12-07 2021-03-02 大连理工大学 一种提高等离子体均匀性的电源系统及方法
KR102532567B1 (ko) * 2020-12-31 2023-05-16 세메스 주식회사 기판형 센서, 및 약액의 탄착점 및 타력 측정 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163340A (ja) * 1992-11-26 1994-06-10 Seiko Epson Corp 情報計測手段を備えた被処理基板
KR20020010639A (ko) * 1999-05-07 2002-02-04 히가시 데쓰로 진공처리방법 및 진공처리장치
US20040031340A1 (en) * 2002-01-24 2004-02-19 Renken Wayne G. Process condition sensing wafer and data analysis system
US20070022832A1 (en) * 1998-03-06 2007-02-01 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
JP2012004490A (ja) * 2010-06-21 2012-01-05 Tokyo Electron Ltd 基板搬送装置及び基板搬送方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040005089A (ko) * 2002-07-08 2004-01-16 삼성전자주식회사 웨이퍼 척 레벨링 장치 및 이를 이용한 웨이퍼 척 레벨링방법
US7151366B2 (en) * 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
JP2006310349A (ja) * 2005-04-26 2006-11-09 Renesas Technology Corp 半導体装置の製造システム及び製造方法
JP4956328B2 (ja) * 2007-08-24 2012-06-20 東京エレクトロン株式会社 搬送アームの移動位置の調整方法及び位置検出用治具
KR20090058317A (ko) * 2007-12-04 2009-06-09 주식회사 동부하이텍 웨이퍼 지지용 핀의 레벨 측정 시스템 및 웨이퍼 지지용핀의 레벨 측정 방법
DE102009016811A1 (de) * 2009-04-09 2010-10-14 Aes Motomation Gmbh Verfahren zur automatischen Vermessung und zum Einlernen von Lagepositionen von Objekten innerhalb eines Substratprozessiersystems mittels Sensorträger und zugehöriger Sensorträger
JP5083339B2 (ja) * 2010-02-04 2012-11-28 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法並びに記憶媒体
US8840754B2 (en) * 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP2016146416A (ja) * 2015-02-09 2016-08-12 株式会社Screenホールディングス 基板処理方法および基板処理システム
US11342210B2 (en) * 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US11589474B2 (en) * 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163340A (ja) * 1992-11-26 1994-06-10 Seiko Epson Corp 情報計測手段を備えた被処理基板
US20070022832A1 (en) * 1998-03-06 2007-02-01 Reginald Hunter Sensor device for non-intrusive diagnosis of a semiconductor processing system
KR20020010639A (ko) * 1999-05-07 2002-02-04 히가시 데쓰로 진공처리방법 및 진공처리장치
US20040031340A1 (en) * 2002-01-24 2004-02-19 Renken Wayne G. Process condition sensing wafer and data analysis system
JP2012004490A (ja) * 2010-06-21 2012-01-05 Tokyo Electron Ltd 基板搬送装置及び基板搬送方法

Also Published As

Publication number Publication date
US20220013388A1 (en) 2022-01-13
CN113169090A (zh) 2021-07-23
JP2022520692A (ja) 2022-04-01
TW202038361A (zh) 2020-10-16
KR102633264B1 (ko) 2024-02-02
JP7341237B2 (ja) 2023-09-08
WO2020117201A1 (en) 2020-06-11

Similar Documents

Publication Publication Date Title
KR102587203B1 (ko) 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9689916B2 (en) Method for determining set value of pressure for inspection in wafer inspection apparatus
KR102633264B1 (ko) 핀-리프터 (pin-lifter) 테스트 기판
TWI448660B (zh) 晶圓扭曲之量化設備及方法
JP5449239B2 (ja) 基板処理装置、基板処理方法及びプログラムを記録した記憶媒体
JP5083339B2 (ja) 基板搬送装置及び基板搬送方法並びに記憶媒体
US9586391B2 (en) Bonding apparatus and method for manufacturing bonded substrate
JP5572575B2 (ja) 基板位置決め装置、基板処理装置、基板位置決め方法及びプログラムを記録した記憶媒体
JP6988801B2 (ja) 積層装置および積層方法
KR20150052183A (ko) 다기능 웨이퍼 및 필름 프레임 조작 시스템
CN114466728A (zh) 用于自动化晶片搬运机械手教导与健康检查的整合适应性定位系统及例程
US20220367237A1 (en) Wafer release mechanism
TWI775807B (zh) 具有與半導體處理工具之選擇性整合的智慧型振動晶圓
US11430688B2 (en) Two-stage pin lifter for de-chuck operations
TWI827744B (zh) 具狀態監控之銷舉升裝置
US8706289B2 (en) Pre-aligner search
US20220120785A1 (en) Wafer probe device
JP7285739B2 (ja) プローバおよびプローブカードのクリーニング方法
TWI838432B (zh) 銷升降器測試基板
JP5707793B2 (ja) 基板貼り合せ装置、基板貼り合せ方法および積層半導体装置製造方法
US20220020629A1 (en) Pin lifting device having a temperature sensor
KR20180130209A (ko) 슬롯 맵핑 장치, 이의 기판 맵핑 방법 및 이를 이용한 기판 핸들링 방법
KR20070024877A (ko) 반도체 디바이스 제조설비의 로봇 암

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant