KR20210061474A - 검사 장치용 조명 소스, 검사 장치 및 검사 방법 - Google Patents

검사 장치용 조명 소스, 검사 장치 및 검사 방법 Download PDF

Info

Publication number
KR20210061474A
KR20210061474A KR1020217015217A KR20217015217A KR20210061474A KR 20210061474 A KR20210061474 A KR 20210061474A KR 1020217015217 A KR1020217015217 A KR 1020217015217A KR 20217015217 A KR20217015217 A KR 20217015217A KR 20210061474 A KR20210061474 A KR 20210061474A
Authority
KR
South Korea
Prior art keywords
radiation
pump radiation
optical device
compensating optical
harmonic
Prior art date
Application number
KR1020217015217A
Other languages
English (en)
Other versions
KR102360940B1 (ko
Inventor
부어스트 페터 데니 반
난 린
샌더 배스 루볼
사이먼 지스버트 조세푸스 마시젠
더 포스트 시체 티멘 반
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210061474A publication Critical patent/KR20210061474A/ko
Application granted granted Critical
Publication of KR102360940B1 publication Critical patent/KR102360940B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Spinning Or Twisting Of Yarns (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

기판 상의 타겟 구조체를 측정하기 위한 검사 장치와 연관된 방법이 개시된다. 검사 장치는 측정 방사선을 생성하기 위한 조명 소스; 측정 방사선을 상기 타겟 구조체 상에 포커싱하기 위한 광학 배열체; 및 보상 광학 디바이스를 포함한다. 보상 광학 디바이스는 상기 광학 배열체 내의 불균일한 제조 결함을 보상하기 위하여 측정 방사선의 파면을 공간적으로 변조하도록 동작가능한 SLM을 포함할 수 있다. 대안적인 실시예들에서, 보상 광학 디바이스는 측정 방사선의 빔 내에, 또는 HHG 소스 내에 고 고조파 방사선을 생성하기 위하여 사용되는 펌프 방사선의 빔 내에 위치될 수 있다. 펌프 방사선의 빔 내에 위치되는 경우, 보상 광학 디바이스는 포인팅 오차를 정정하거나, 요구되는 프로파일 또는 변동하는 조명 패턴을 측정 방사선의 빔 내에 부여하기 위해서 사용될 수 있다.

Description

검사 장치용 조명 소스, 검사 장치 및 검사 방법{ILLUMINATION SOURCE FOR AN INSPECTION APPARATUS, INSPECTION APPARATUS AND INSPECTION METHOD}
관련 출원들에 대한 상호 참조
본 출원은 2016 년 9 월 14 일에 출원된 EP 출원 번호 제 16188816.9 의 우선권을 주장하며, 이것은 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은 리소그래피 장치 및 측정 수행 방법에 관한 것이다. 특히, 본 발명은 리소그래피 장치에 포함되는 검사 장치, 특히 그 조명 소스 및 이러한 검사 장치를 사용하여 측정을 수행하는 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 특정 패턴 및 재료 조성물을 각각 포함하는 다수의 층이 도포되어 마감된 제품의 기능성 디바이스 및 상호연결을 형성한다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경, 및 디바이스에 있는 두 개의 층들의 정렬 정확도인 오버레이를 측정하는 전문 툴과 같이, 이러한 측정을 하기 위한 다양한 툴들이 알려져 있다. 최근, 다양한 형태의 산란계들이 리소그래피 분야에서 사용되도록 개발되어 왔다.
공지된 산란계의 예들은 흔히 전용 계측 타겟의 공급에 의존한다. 예를 들어, 방법은, 측정 빔이 격자 보다 작은 스폿을 생성하도록(즉, 격자는 언더필됨) 충분히 큰 단순 격자의 형태인 타겟을 요구할 수 있다. 소위 재구성 방법에서는, 산란 방사선(본 명세서 전체에서, 산란 방사선은 사용되는 계측 기법에 따라서 타겟에 의해 산란, 반사되거나 회절된 방사선을 포함할 수 있음)과 타겟 구조체의 수학적 모델 사이의 상호작용을 시뮬레이션함으로써 격자의 속성이 계산될 수 있다. 모델의 파라미터는, 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰된 것과 유사한 회절 패턴을 생성할 때까지 조절된다.
복원에 의해 피쳐 형상을 측정하는 것과 함께, 공개 특허 출원 제 US2006066855A1 에 기술된 것처럼, 이러한 장치를 사용하여 회절 기초 오버레이가 측정될 수 있다. 회절 차수들의 암-시야 이미징을 사용하는 회절-기초 오버레이를 통해, 더 작은 타겟에 대한 오버레이 측정이 가능해진다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 웨이퍼 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 암시야 이미징 계측의 예는, 예를 들어 US2011102753A1 및 US20120044470A와 같은 다수의 공개된 특허 출원에서 발견될 수 있다. 복합 격자 타겟을 사용하여 하나의 이미지 내의 다수의 격자들이 측정될 수 있다. 공지된 산란계는 가시광 또는 근접-IR 파 범위에 있는 광을 사용하는 경향이 있는데, 그러면 격자의 피치가 그 특성이 실제로 관심 대상인 실제 제품 구조체보다 훨씬 성겨야 한다. 이러한 제품 피쳐는 훨씬 더 짧은 파장을 가지는 심자외선(DUV) 또는 극자외(EUV) 방사선을 사용하여 규정될 수도 있다. 불행하게도, 이러한 파장은 일반적으로 계측을 위해서는 이용가능하거나 사용가능하지 않다.
반면에, 현대의 제품 구조체의 치수는 너무 작아서 광계측 기법으로는 이미징될 수 없다. 예를 들어 작은 피쳐에는 다수의 패터닝 프로세스, 및/또는 피치-복제에 의해 형성되는 것들이 있다. 그러므로, 대량 계측을 위해 사용되는 타겟은, 그 오버레이 오차 또는 임계 치수가 관심 대상 특성인 제품 보다 훨씬 큰 피쳐를 흔히 사용한다. 측정 결과는 실제 제품 구조체의 치수에 간접적으로만 관련되고, 계측 타겟이 리소그래피 장치 내의 광학 투영 하에서 동일한 왜곡을 겪지 않기 때문에, 및/또는 제조 프로세스의 다른 단계에서 상이하게 처리되기 때문에 부정확할 수 있다. 스캐닝 전자 현미경(SEM)이 이러한 현대의 제품 구조체를 직접적으로 해상할 수 있지만, SEM은 광학적 측정보다 훨씬 더 시간이 많이 걸린다. 더욱이, 전자는 두꺼운 프로세스 층을 통과할 수 없어서, 계측 애플리케이션을 위해서는 적합하지 않게 된다. 콘택 패드를 사용하여 전기적 특성을 측정하는 것과 같은 다른 기법도 알려져 있는데, 이것은 실제 제품 구조체의 간접적 증거만을 제공한다.
계측 중에 사용되는 방사선의 파장을 감소시킴으로써(즉 "소프트 X-선(SXR)" 파장 스펙트럼을 향해 이동시킴으로써), 더 작은 구조체를 해상하고, 구조체의 구조적 변동에 대한 감도를 증가시키고 및/또는 제품 구조체 내로 더 멀리 투과하는 것이 가능하다. 소프트 x-선 방사선은 1nm 내지 50nm 범위 내에 있는 파장을 가지는 방사선이라고 규정될 수 있다. 소프트 x-선 방사선을 사용하는 문제점은 타겟 영역 상의 측정 스폿의 블러링이 증가할 수 있다는 것이다. 이것은 측정 방사선을 타겟 영역 상의 스폿에 포커싱하는 반사성 광학기의 "기울기 오차(slope error)"에 기인한다. 광학 표면의 표면 평탄도가 표면에 입사하는 방사선의 파장에 관하여 기술되기 때문에 기울기 오차는 특히 소프트 x-선에서 문제이다; 파장이 작아지면 허용가능한 표면 평탄도를 얻기가 훨씬 더 어려워진다. 조명이 타겟 영역만 조명하고 그 주변 환경은 조명하지 않는 것이 중요하기 때문에 측정 스폿의 블러링은 중요한 문제이다. 측정 스폿과 관련된 다른 문제점에는, 예를 들어 그 프로파일 및 그 위치설정의 정확도가 있다.
계측 응용분야에서 측정 스폿 포커싱을 개선하는 것이 바람직할 것이다.
대안적으로 또는 추가적으로, 측정 방사선의 하나 이상의 파라미터의 제어를 개량된 방식으로 개선하는 것이 바람직할 것이다.
본 발명의 제 1 양태에 따르면, 기판 상의 타겟 구조체를 측정하기 위한 검사 장치로서, 측정 방사선을 생성하기 위한 조명 소스; 상기 측정 방사선을 상기 타겟 구조체 상에 포커싱하기 위한 광학 배열체 - 상기 광학 배열체는 그레이징 입사로 상기 측정 방사선을 수광하도록 배열되는 적어도 하나의 광학 요소를 포함함 -; 및 상기 광학 배열체 내의 불균일한 제조 결함을 보상하기 위하여 상기 측정 방사선의 파면을 공간적으로 변조하도록 동작가능한 보상 광학 디바이스를 포함하는, 검사 장치가 제공된다.
본 발명의 제 2 양태에 따르면, 기판 상의 타겟 구조체를 측정하는 방법으로서, 하나 이상의 광학 요소를 포함하는 광학 배열체 내의 불균일한 제조 결함을 보상하도록 측정 방사선의 파면을 공간적으로 변조하는 단계; 적어도 하나의 광학 요소가 그레이징 입사로 상기 측정 방사선을 수광하도록 상기 광학 배열체를 사용하여 상기 측정 방사선을 상기 타겟 구조체 상에 포커싱함으로써, 상기 타겟 구조체를 상기 측정 방사선으로 조명하는 단계; 타겟을 상기 측정 방사선을 조명하여 발생되는 산란 방사선을 검출하는 단계; 및
상기 타겟 구조체에 관련된 측정 값을 결정하도록, 검출된 산란 방사선을 처리하는 단계를 포함하는, 타겟 구조체 측정 방법이 제공된다.
본 발명의 제 3 양태에 따르면, 고 고조파 방사선을 생성하기 위한 조명 소스로서, 고 고조파 생성 매질; 상기 고 고조파 방사선을 생성하도록 상기 고 고조파 생성 매질을 여기시키기 위한 펌프 방사선의 빔을 방출하는 펌프 방사선 소스; 및 상기 고 고조파 생성 매질을 여기시키기 전에 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한 보상 광학 디바이스를 포함하는, 조명 소스가 제공된다.
본 발명의 다른 양태, 특징 및 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
본 발명의 실시예가 오직 예시를 통하여, 대응하는 참조 심벌들이 대응하는 부분을 표시하는 첨부된 개략도를 참조하여 이제 설명될 것이다.
도 1 은 리소그래피 장치를 도시한다;
도 2 는 본 발명에 따른 검사 장치가 사용될 수 있는 리소그래피 셀 또는 클러스터를 도시한다;
도 3 은 공지된 암시야 이미징 검사 방법을 수행하도록 적응된 본 발명의 실시예에 따른 검사 장치를 개략적으로 예시한다;
도 4 는 본 발명의 일 실시예에 따른 계측 장치를 위한 조명 배열체를 개략적으로 보여준다;
도 5 는 본 발명의 제 1 실시예에 따른 HHG 소스를 사용하는 계측 장치를 개략적으로 도시한다;
도 6 은 본 발명의 제 2 실시예에 따른 HHG 소스를 사용한 계측 장치를 개략적으로 도시한다;
도 7 은 본 발명의 다른 실시예에 따른 HHG 소스를 사용한 계측 장치의 세부 사항을 개략적으로 도시한다; 그리고
도 8 은 본 발명의 일 실시예에 따른 HHG 소스의 세부 사항을 개략적으로 도시한다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 리소그래피 장치(LA)를 개략적으로 묘사한다. 이러한 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(illuminator)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 패터닝 디바이스 지지대 또는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 각각 구성되고 특정 파라미터에 따라서 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 각각 연결되는 두 개의 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 굴절성 투영 렌즈 시스템)(PS)을 포함한다. 레퍼런스 프레임(RF)은 다양한 컴포넌트들을 연결하고, 패터닝 디바이스와 기판의 위치와 그들의 피쳐들의 위치를 설정하고 측정하기 위한 기준으로서의 역할을 한다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절식, 반사식, 자기식, 전자기식, 정전기식 또는 다른 유형의 광학 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
패터닝 디바이스 지지대는 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 홀딩한다. 패터닝 디바이스 지지대는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지대는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 패터닝 디바이스 지지대는 패터닝 디바이스가 예를 들어 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하는 것과 같이, 자신의 단면 내에 패턴을 가지는 방사선 빔을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 시프트 피처(phase shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.
도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 패터닝 디바이스를 채용함). 또는, 장치는 반사형 타입(예를 들어, 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다. 패터닝 장치의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능(LCD) 패널을 포함한다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 그러므로, "패터닝 디바이스"라는 용어는 이러한 프로그래밍가능한 패터닝 디바이스에 의하여 구현될 패턴을 규정하는 정보를 디지털 형태로 저장하는 디바이스를 지칭하는 것으로도 해석될 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어가 임의로 사용되면 더 일반적인 용어인 "투영 시스템"과 같은 의미인 것으로 간주될 수도 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 침지액은 또한 예컨대 마스크 및 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 도포될 수 있다. 침지 기법은 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 당업계에 주지된다.
동작 시에, 조명기(IL)는 방사선 빔을 방사선 소스(SO)로부터 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우에, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사선 소스가 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.
예를 들어, 조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기(AD), 집속기(IN), 및 콘덴서(CO)를 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기 분포를 가지도록 컨디셔닝하기 위하여 사용될 수 있다.
방사선 빔(B)은 지지 구조체(MT) 상에 홀딩되는 패터닝 디바이스(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(예를 들어 마스크(MA))를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WTa 또는 WTb)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 다른 위치 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(예를 들어, 마스크(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다.
패터닝 디바이스(예를 들어 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟부를 점유하지만, 이들은 타겟부 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 패터닝 디바이스(예를 들어 마스크(MA))에 두 개 이상의 다이가 제공되는 상황에서는, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다. 작은 정렬 마커들도 역시 다이에, 그리고 디바이스 피쳐들 사이에 포함될 수 있는데, 이러한 경우 마커는 가능한 한 작고 인접한 피쳐에 비하여 임의의 다른 이미징 또는 프로세스 조건을 요구하지 않는 것이 바람직하다. 정렬 마커를 검출하는 정렬 시스템이 상세히 후술된다.
도시된 장치는 다양한 모드들에서 사용될 수 있다. 스캔 모드에서는, 패터닝 디바이스 지지대(예를 들어, 마스크 테이블(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 패터닝 디바이스 지지대(예를 들어, 마스크 테이블(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다. 당업계에 주지되는 다른 타입의 리소그래피 장치 및 동작 모드도 가능하다. 예를 들어, 스텝 모드가 알려진다. 소위 무마스크 리소그래피에서, 프로그래밍가능한 패터닝 디바이스는 정지되게 홀딩되지만 변화하는 패턴을 가지며, 및 기판 테이블(WT)이 이동되거나 스캐닝된다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
리소그래피 장치(LA)는 두 개의 기판 테이블(WTa, WTb)과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션(EXP) 및 측정 스테이션(MEA) - 을 가지는, 소위 듀얼 스테이지 타입이다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션에서 노광되는 동안, 다른 기판은 측정 스테이션에 있는 다른 기판 테이블에 로딩될 수 있고, 다양한 준비 단계들이 수행될 수 있다. 그러면 리소그래피 장치의 쓰루풋이 크게 증가할 수 있다. 준비 단계는 레벨 센서(LS)를 사용하여 기판의 표면 높이 컨투어를 매핑하는 것과 정렬 센서(AS)를 사용하여 기판 상의 정렬 마커의 위치를 측정하는 것을 포함할 수도 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 참조 프레임(RF)에 상대적인 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 다른 구성이 알려져 있으며, 도시된 듀얼-스테이지 구성 대신에 사용될 수 있다. 예를 들어, 기판 테이블 및 측정 테이블이 제공되는 다른 리소그래피 장치가 알려져 있다. 이것은 예비 측정을 수행할 때에 서로 도킹되고, 기판 테이블이 노광을 겪는 동안에 언도킹된다.
도 2 에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성하고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 침착시키기 위한 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 칠 플레이트(chill plate; CH), 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
그러면, 이러한 트랙에 의해 처리되는 기판이 에칭 및 디바이스 제조 프로세스 내의 다른 화학적 또는 물리적 처치를 의한 다른 처리 툴로 전달된다. 일부 실례들에서, 계측은 이러한 에칭 또는 화학적/물리적 처치 단계 이후에 기판 상에 수행될 수 있다.
리소그래피 장치 제어 유닛(LACU)은 기술되는 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어한다. LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 도입부와 청구항의 용어에서, 이러한 처리 및 제어 기능의 조합은 간단히 "제어기"라고 불린다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다. 예를 들어, 하나의 처리 서브시스템이 기판 위치설정기(PW)의 서보 제어를 전담할 수 있다. 분리 유닛은 성긴 액츄에이터와 미세 액츄에이터, 또는 상이한 축들을 다룰 수도 있다. 다른 유닛은 위치 센서(IF)의 판독을 전담할 수도 있다. 이러한 장치의 전체 제어는, 이러한 서브-시스템 처리 유닛, 오퍼레이터, 및 리소그래피 제조 프로세스에 수반되는 다른 장치들과 통신하는 중앙 처리 유닛에 의하여 제어될 수 있다.
도 3 의 (a)는 소위 암시야 이미징 계측을 구현하는 검사 장치의 주요 요소들을 개략적으로 도시한다. 이러한 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 타겟 격자 구조체(T) 및 회절된 광선들이 도 3 의 (b)에 좀 더 상세히 표시된다.
도입부에 인용된 종래의 출원들에서 기술되어 있는 바와 같이, 도 3 의 (a)의 암시야-이미징 장치는 분광 산란계 대신에 또는 추가하여 사용될 수 있는 다목적 각도-분해(angle-resolved) 산란계의 일부일 수 있다. 이러한 타입의 검사 장치에서, 방사선 소스(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 컨디셔닝된다. 예를 들어, 조명 시스템(12)은 시준 렌즈 시스템, 컬러 필터, 편광자 및 조리개 디바이스를 포함할 수 있다. 컨디셔닝된 방사선은 렌즈(L1, L2)를 통해 조명 경로를 따라가는데, 여기에서 부분 반사면(15)에 의해 반사되고 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S) 상에 집광된다. 계측 타겟(T)은 기판(W) 상에 형성될 수 있다. 렌즈(16)는 높은 개구수(NA), 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95 의 개구수를 가진다. 원할 경우 1 이 넘는 개구수를 얻기 위해서 침지 유체가 사용될 수 있다. 다목적 산란계는 두 개 이상의 측정 브랜치를 가질 수 있다. 또한, 추가적인 광학 시스템 및 브랜치가, 예를 들어 세기 정규화, 캡쳐 타겟의 거친 이미징, 포커싱 및 기타 등등을 위한 레퍼런스 방사선을 집광하도록, 실제 장치 내에 포함될 것이다. 이들의 세부사항은 전술된 이전의 공개 문헌에서 발견될 수 있다. 본 발명의 목적을 달성하기 위하여, 암시야 이미징 계측을 위한 관심 대상인 측정 브랜치만이 상세히 예시되고 설명된다.
렌즈(L2, L4)를 포함하는 암시야 이미징을 위한 집광 경로에서, 이미징 광학 시스템(21)은 기판(W) 상의 타겟의 이미지를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 구경 조리개(20)가 수집 경로에 있는 평면 P'에 제공된다. 평면 P'은 대물 렌즈(16)의 퓨필 평면 P에 공액인 평면이다. 퓨필 평면(P)은 렌즈 L2로부터 거리 f2만큼 그리고 렌즈 L3로부터 거리 f3만큼 이격된 평면일 수 있는데, f2 및 f3는 각각 렌즈 L2 및 L3 의 초점 길이이다. 구경 조리개(20)는 퓨필 스톱이라고도 불릴 수 있다. 조명 애퍼쳐가 다른 형태를 가질 수 있는 것처럼, 구경 조리개(20)도 다른 형태를 가질 수 있다. 렌즈(16)의 유효 애퍼쳐와 함께, 구경 조리개(20)는 산란 방사선의 어느 부분이 센서(23) 상에 이미지를 형성하기 위해 사용되는지를 결정한다. 통상적으로, 구경 조리개(20)는 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 일차 빔에 의해서만 형성되게 하는 기능을 한다. 양자 모두의 1차 빔이 결합되어 이미지를 형성하는 예에서, 이것은 암시야 현미경 검사와 균등한 소위 암시야 이미지일 것이다. 그러나, 본 발명에서는, 아래에서 설명되는 바와 같이 1차 빔 중 하나만이 한 번에 이미징된다. 센서(23)에 의하여 캡쳐된 이미지는 이미지 프로세서 및 제어기(40)로 출력되고, 이들의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. 본 발명의 목적을 달성하기 위하여, 타겟 구조체의 비대칭의 측정이 수행된다. 비대칭 측정은 타겟 구조체에 대한 지식과 결합되어 이들을 형성하기 위해 사용되는 리소그래피 프로세스의 성능 파라미터의 측정치를 획득할 수 있다. 이러한 방식으로 측정될 수 있는 성능 파라미터는, 예를 들어 오버레이, 초점 및 선량을 포함한다.
계측 타겟(T)이 기판(W) 상에 제공되는 경우, 타겟은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 고상의 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟은 2-D 격자일 수도 있으며, 이 2-D 격자는 현상 후에 바(bar)가 고상 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이러한 격자 각각은 그 특성을 검사 장치를 사용하여 조사할 수 있는 타겟 구조체의 일 예이다.
조명 시스템(12)의 다양한 컴포넌트들은 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절가능할 수 있다. 특정 레시피의 특징으로서 파장(컬러) 및 편광을 선택하는 것에 추가하여, 조명 시스템(12)은 상이한 조명 프로파일들을 구현하도록 조절될 수 있다. 평면 P"이 대물 렌즈(16)의 퓨필 평면 P 및 검출기(19)의 평면과 공액이기 때문에, 면 P" 내의 조명 프로파일은 스폿(S)에서 기판(W) 상에 입사하는 광의 각도 분포를 규정한다. 상이한 조명 프로파일을 구현하기 위하여, 애퍼쳐 디바이스가 조명 경로에 제공될 수 있다. 애퍼쳐 디바이스는 가동 슬라이드 또는 휠 상에 탑재된 그 외의 애퍼쳐를 포함할 수 있다. 또는, 이것은 프로그래밍가능한 공간 광 변조기를 포함할 수 있다. 추가적인 대안으로서, 광섬유가 평면 P"의 상이한 위치에 배치되고, 그들의 개별 위치에 광을 전달하거나 전달하지 않도록 선택적으로 사용될 수 있다. 이러한 변형예는 모두 전술된 문서에서 논의되고 예시된다.
제 1 예시적인 조명 모드에서, 광선(30a)은, 입사각이 'I'에 표시된 바와 같고, 타겟(T)에 의해 반사된 0차 광선이 '0'으로 표시되도록 제공된다(광축 'O'와 혼동하면 안됨). 제 2 조명 모드에서, 광선(30b)이 제공될 수 있고, 이러한 경우에 입사 및 반사각은 스왑될 것이다. 이러한 조명 모드 양자 모두는 오프-축 조명 모드라고 이해할 것이다. 그 외의 많은 조명 모드들이 그 외의 목적들에 대해서 구현될 수 있다.
도 3 의 (b)에 상세히 도시된 바와 같이, 타겟 구조체의 일 예로서의 격자 타겟(T)은 대물 렌즈(16)의 광축(O)에 직교하는 상태로 기판(W)에 배치된다. 오프-축 조명 프로파일의 경우, 축(O)에서 벗어난 각도로부터 격자(T)에 충돌하는 조명의 광선(I)은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟 격자의 경우에, 이러한 광선들은 계측 타겟 격자(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 조명 광선(30a)의 빔이 유한한 폭(광의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사 광선(I)은 사실상 각도의 일정한 범위를 차지할 것이고, 회절된 광선 0 및 +1/-1 은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1 은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다.
도 3 의 (a)를 다시 참조하면, 광선(30a)이 있는 제 1 조명 모드에서, 타겟 격자로부터의 +1차 회절된 광선은 대물 렌즈(16)에 진입하고, 센서(23)에 기록되는 이미지에 기여할 것이다. 제 2 조명 모드가 사용되면, 광선(30b)은 광선(30b)에 반대인 각도로 입사하고, 따라서 -1차 회절된 광선이 대물 렌즈에 진입하고 이미지에 기여한다. 오프-축 조명을 사용하는 경우 구경 조리개(20)가 0차 방사선을 차단한다. 종래의 문헌들에서 설명된 바와 같이, 조명 모드는 X 및 Y 방향에서 오프-축 조명으로 규정될 수 있다.
이러한 상이한 조명 모드들의 타겟 격자의 이미지들을 비교함으로써, 비대칭 측정치가 획득될 수 있다. 또는, 비대칭 측정치는 동일한 조명 모드를 유지하지만 타겟을 회전시킴으로써 얻어질 수 있다. 오프-축 조명이 도시되지만, 그 대신에 타겟의 온-축 조명이 사용되고 변경될 수 있으며, 회절된 광의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 오프-축 애퍼쳐(20)가 사용될 수 있다. 추가적인 예에서, +1 및 -1차 광선을 센서(23) 상의 상이한 위치로 우회시켜서, 두 개의 순차적인 이미지 캡쳐 단계들을 수행할 필요가 없이 이들이 검출되고 비교될 수 있게 하는 프리즘이 구경 조리개(20) 대신에 사용된다. 이러한 기법은 공개된 특허 출원 제 US2011102753A1 에서 개시되는데, 이러한 문서의 내용은 그 원용되어 본원에 통합된다. 2차, 3차 및 더 고차인 빔(도 3 에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다. 추가적인 변형예로서, 오프-축 조명 모드는 일정하게 유지되는 반면에, 타겟 자체가 대물 렌즈(16) 아래에서 180 도 회전되어 반대 회절 차수들을 사용하여 이미지를 캡쳐한다.
전술된 기법은 통상적으로 가시 파장을 가지는 방사선을 사용하여 수행된다. 이와 같이, 산란측정 타겟은 기판 상의 제품 구조체의 피치보다 큰 피치를 가진다. 일 예로서, 산란측정 타겟은 마이크론(μm) 단위로 측정되는 타겟 격자 피치를 가질 수 있는 반면에, 동일한 기판 상의 제품 구조체는 나노미터(nm) 단위로 측정되는 피치를 가질 수 있다.
이렇게 피치가 달라지면, 측정된 오버레이와 제품 구조체 상의 실제 오버레이 사이에 오프셋이 생기게 된다. 오프셋은 적어도 부분적으로 리소그래피 장치 내에서의 광학 투영 왜곡 및/또는 제조 프로세스의 다른 단계에서의 상이한 처리에 기인한다. 현재로서는, 이러한 오프셋은 전체 측정된 오버레이에 대한 큰 기여분을 포함한다. 그러므로, 이러한 오프셋을 감소시키거나 제거하면 전체 오버레이 성능이 개선될 것이다.
"소프트 x-선" 또는, 예를 들어 2nm 내지 50 nm, 또는 0.1 nm 내지 100 nm, 또는 1 nm 내지 100 nm, 또는 5 nm 내지 50 nm, 또는 10 nm 내지 20 nm의 파장을 가지는 EUV 범위에서 방사선을 방출하는 소스를 사용하는 계측 툴이 개발될 수 있다. 방출된 스펙트럼은 13.5 nm의 파장을 포함할 수 있다. 이러한 소스의 예는 방전 생성 플라즈마 소스, 레이저 생성 플라즈마 소스 또는 고차 고조파 생성(HHG) 소스를 포함한다. HHG 소스는 방출된 광 내에 시준된 광자의 큰 플럭스(높은 휘도)를 제공할 수 있는 것으로 알려져 있다.
계측 응용예에서 사용되는 HHG 소스는 유럽 특허 출원 EP152020301, EP16168237, EP16167512 에 예시되고 더 설명되는데, 이들은 본 명세서에서 그 전부가 원용에 의해 통합된다. 계측 응용예에서, HHG 소스는(예를 들어) 수직 입사에서, 수직 입사에 매우 가깝게(예를 들어, 수직에서 10 도), 그레이징 입사로(예를 들어, 표면으로부터 20 도 내), 임의의 각도에서 또는 다수의 각도에서 사용될 수 있다(단일 캡쳐에서 더 많은 측정 정보를 얻기 위함).
예를 들어 오버레이 오차 또는 임계 치수를 결정하기 위한 회절-기초 측정을 수행하기 위하여, 타겟 구조체는 "언더필(underfilled)"되는 것(즉 스폿 직경이 타겟의 크기보다 작음)이 바람직할 것이다. 스폿 직경이 증가된다면, 따라서 타겟의 크기도 비례하여 증가되어야 한다. 더 큰 타겟은 기판의 표면 상에서 더 많은 공간을 차지하고, 이것은 예를 들어 제품당 제작비를 증가시키기 때문에 생산 환경에 있어서 바람직하지 않다. 타겟을 가능한 작게 유지하는 것이 바람직하지만, 측정 방사선이 타겟의 주변 환경이 아니라 타겟만을 주명하는 것도 중요하다. 일 예로서는, 인입하는 측정 방사선 중 99.99%가 측정 중에 타겟 구조체에 입사해야 한다. 작은 타겟으로 이를 달성하기 위해서, 측정 스폿 크기(측정 방사선에 의해 조명되는 타겟 영역의 크기)가 양호하게 제어되고 타겟 상에 적절하게 포커싱되는 것이 중요하다.
측정 방사선을 타겟 구조체 상에 포커싱하기 위해 사용되는 광학기는 완벽하지 않을 것이다. 특정한 문제점은 제조 불완전성에 기인한 표면의 중간 공간적-주파수 변조로부터 초래되는 "기울기 오차"이다. 기울기 오차의 결과, 포커싱이 완벽하지 않게 될 것이고 측정 스폿은 어떤 블러링에 노출될 것인데, 예를 들어 블러 링 또는 헤일로우(halo)가 메인 포커싱된 스폿 주위에 형성될 수 있다. 그러면 일부 측정 방사선이 타겟 구조체를 빗나가서 주변 환경에 들어가게 될 수 있다. 이러한 중간 공간적-주파수 변조는 스폿 품질에 영향을 주지 않고 방사선 손실에 기인한 세기에만 영향을 주는 높은 공간적-주파수 변조(즉, 표면 거칠기); 및 수차를 야기하는 표면 오차와 같은 낮은 공간적-주파수 변조와 대비된다. 이러한 수차를, 예를 들어 수차를 보상하는 추가적인 보상 요소를 추가함으로써 최소화하도록 광학기의 디자인을 최적화하는 것이 가능하다. 기울기 오차는 이러한 디자인 최적화를 사용하여 감소될 수 없는 랜덤 오차인데, 그 이유는 추가적 광학 요소는 기울기 오차를 증가시키기만 할 것이기 때문이다.
기울기 오차의 문제점은 측정 방사선이 가시 방사선의 주파수보다 높은 주파수, 예를 들어 소프트 x-선 범위에 있는 주파수일 경우에는 악화된다. 기울기 오차는 특히 소프트 x-선 범위에서 문제가 되는데, 그 이유는 광학 표면의 표면 평탄도(평평한 표면의 참 평평도(true flat)로부터의 편차를 측정하는 표면 정확도 사양)가 표면 상에 입사하는 방사선의 파장 λ에 관하여 기술되기 때문이다. 가시광선 범위에서, λ/40 의 범위 내의 표면 평탄도를 가지는 광학 요소는 쉽게 입수가능하다. 하지만 파장이 2의 거듭제곱만큼 더 작은 범위 내이면(예를 들어, 5nm의 범위 내), 비견될만한 표면 평탄도를 얻는 것이 훨씬 더 어려워진다.
경사 오차의 문제를 측정 방사선 빔을 공간적으로 변조하여 측정 방사선을 기판 상에 지향 및 포커싱하는 광학 표면(들)의 기울기 오차를 보상함으로써 해결하는 것이 제안된다. 일 실시예에서, 공간적 변조는 측정 방사선의 파면을 변조하여 이러한 광학 표면(들)에 의해 부과되는 파면 오차를 보상할 것이다.
도 3 의 (a)에 도시된 제 1 실시예에서, 공간적 변조는 검사 장치의 측정 방사선 빔 내에 직접적으로 제공된다. 이러한 실시예에서, 공간적 변조는 측정 빔의 경로의 다른 곳에서 제공될 수도 있다. 특정 예를 들자면, 공간적 변조는 측정 빔의 경로의 평행 전파 부분에, 예를 들어 보상 광학 디바이스(50)를 사용하여 제공될 수 있다. 빔 경로에서 그 위치가 어디던지, 보상 광학 디바이스(50)는 공간적 광변조(SLM) 디바이스를 포함할 수 있다.
도 4 는 측정 방사선을 타겟에 전달하기 위한 반사 배열체의 세부사항을 도시한다. 도 3 의 (a)는 투과성 보상 광학 디바이스(50)를 주로 분명하게 보이도록 도시한다. 이러한 투과성 보상 광학 디바이스(50)가 본 발명의 범위에 속하지만, 보상 광학 디바이스는 반사성일 가능성이 더 높다. 특히, 측정 방사선이 소프트 x-선 방사선을 포함하는 경우에 필요하다.
도 4 는 측정 방사선(410)을 제공하는 방사선 소스(400), 및 측정 방사선(410)을 기판(W) 상의 타겟 구조체(T) 상에 지향시키고 포커싱하기 위한 광학 요소(420a, 420b)를 도시한다. 보상 광학 디바이스(430)상에 입사하는 방사선에, 광학 요소(420b) 및/또는 광학 요소(420a)에 의해 부여되는 파면 왜곡(기울기 오차)을 보상하는 보상 파면 왜곡을 제공하는 공간적 광변조 디바이스(spatial light modulation; SLM)와 같은 보상 광학 디바이스(430)도 도시된다. SLM 디바이스는 인입하는 방사선을 공간적으로 변조하는 임의의 적합한 디바이스일 수 있다. 일 예로서는, SLM 디바이스는 다음을 포함할 수 있다:
- 변형가능 미러 - 예를 들어, 미러 후면에 부착된 복수 개의 압전-액츄에이터를 포함할 수 있는 압전 변형가능 미러. 그러나, 그러면 큰 피치가 생겨서 제어하는 데에 제한이 생길 수 있다.
- 디지털 마이크로미러 디바이스(DMD)- 이러한 디바이스는 널리 사용되며 내구성이 양호하다(rugged). 작은 픽셀을 사용하면 정밀 제어도 가능해진다. 그러나, 이러한 디바이스는 이진(온/오프) 시스템을 포함한다: 픽셀이 '오프' 상태이면 시스템을 통해서 어떤 광도 반사하지 않을 것이고, 전파된 광량이 감소될 것이다. 이것은 위상이 조절되는 변형가능 미러의 경우와는 다르다. 또한 미러는 통상적으로 +/-12 도 범위에 걸쳐서 뒤집혀서 '턴온' 또는 '턴오프'된다. 그레이징 입사 범위에서, 이것은 하나의 픽셀의 음영(shadow)이 다음 픽셀에 드리워진다는 것을 의미할 수 있다.
- 미세전자기계 시스템(MEMS) 기초 변형가능 미러. 이들은 약 300-400μm의 통상적인 피치를 가진 표준 요소들이다. 경사 오차에 대한 통상적인 공간 주파수가 약 1 mm이기 때문에, 피치가 이러한 값 미만이면 정밀 제어가 가능해진다. 또한 nm 미만의 높이 단계도 가능하다.
측정 방사선이 고에너지/소프트 x-선 방사선인 경우, SLM 디바이스에는, 예를 들어 이리듐, 루테늄 또는 금과 같은 특수 반사성 코팅이 필요할 수도 있다. 또한 SLM 디바이스는 그레이징 입사(예를 들어 표면에 대해 약 15 도)에 대해서 적응되고 크기가 결정되어야 한다.
도 5 는 대안적인 실시예에 따른 방사선 소스(630) 및 측정 장치를 도시한다. 도 5 의 예에서 방사선 소스(630)는 고 고조파 생성(HHG) 기법에 기초하여 EUV 방사선을 생성하기 위한 HHG 소스이다. 방사선 소스(630)의 주된 구성 요소는 펌프 레이저(631)와 HHG 가스 셀(632)과 같은 HHG 매질이다. 다른 HHG 매질, 예를 들어 HHG 고상 표면 매질 또는 중공 섬유 내의 HHG 가스도 동등하게 사용될 수 있다. 가스 서플라이(634)는 가스 셀(632)에 적합한 가스를 공급하고, 가스는 가스 셀에서 전기적 소스(미도시)에 의해 선택적으로 이온화된다. 펌프 레이저(631)는 예를 들어, 필요한 경우 수 메가헤르쯔에 달하는 펄스 반복률로 초당 1 ns(1 나노초) 미만 동안 지속되는 적외선 방사선의 펄스를 생성하는 광학적 증폭기를 가진 섬유-기초 레이저일 수 있다. 파장은 예를 들어 1 μm(1 마이크론)의 영역에 있을 수 있다. 레이저 펄스는 펌프(IR 레이저) 방사선 빔(640)으로서, 보상 광학 디바이스(650)를 통해 HHG 가스 셀(632)로 전달되는데, 여기에서 방사선의 일부가 더 높은 주파수로(EUV 및/또는 소프트 x-선 범위에 있는 주파수까지) 변환된다. HHG 가스 셀(632)로부터 요구되는 파장 또는 파장들의 간섭성(coherent) 방사선을 포함하는 측정 방사선의 빔(642)이 나타난다.
이러한 실시예에서, 보상 광학 디바이스(650)는 더 이상 측정 방사선을 직접적으로 변조하지 않고, 그 대신에 제 1 (레이저) 방사선 빔(640)을 변조하는데, 그러면 이것이 HHG 가스 셀(632)을 여기시켜 측정 방사선(642)을 생성한다. HHG 생성의 하나의 속성은, 생성 프로세스의 성질 때문에, 펌프 방사선 빔(640)의 특성이 운반되고 생성된 HHG 방사선 빔(642)에 복사된다는 것이다. 하나의 이러한 속성은 파면 형상이다. 결과적으로, 펌프 방사선 빔(640)을 공간적 변조하면 생성된 측정 방사선(642)의 균등한 공간적 변조가 생길 것이다.
레이저 방사선에 변조를 수행하는 한 가지 장점은, 소프트 x-선 방사선과 비교할 때 적외선 방사선을 변조하는 경우 보상 광학 디바이스(650)에 대해서 사용될 수 있는 광학 컴포넌트에 유연성이 더 많이 생긴다는 것이다. 보상 광학 디바이스(650)는 이전의 실시예와 관련하여 전술된 SLM 디바이스 중 임의의 것일 수 있다. 그러나, 보상 광학 디바이스(650)는 x-선 방사선에 특별하게 적응될 필요가 없고, 예를 들어 더 재래식인 액정 기술 SLM들을 사용할 수 있다. 또한, 그레이징 입사각이 더 이상 필요 없다; 그 대신에 입사각은 도시된 바와 같이 수직에 훨씬 더 가까워질 수 있는데, 그러면 다시금 더 재래식이고 더 작은 SLM들을 사용할 수 있고 구현 형태가 더 단순해진다. 투과성 보상 광학 디바이스도 역시 가능하다. 추가적인 장점은 이제 소프트 x-선 측정 방사선을 변조하는 보상 광학 디바이스가 없다는 것이다. 소프트 x-선 범위에 있는 모든 광학 디바이스는 추가적인 기울기 오차에 기여하기 때문에, 소프트 x-선 범위에 있는 광학 요소를 적게 사용하면 결과가 개선될 것이 기대된다. 또한, 보상 광학 디바이스는 검사 챔버 내의 진공 상태에서 동작할 필요가 없고, 그러면 추가적으로 복잡해지지 않는다.
HHG 방사선을 생성하기 위해서 펌프 방사선 빔(640)이 HHG 가스 셀(632) 상에 적합하게 포커싱되는 것이 중요하다. 정정되는 통상적인 경사 오차가 작으며(예를 들어, mm당 약 수 십 마이크로라디안), 따라서 보상 변조는 작기만 하면 된다는 것을 알 수 있을 것이다. 이와 같이, 보상 변조에 의한 펌프 방사선 빔(640)의 임의의 디포커스는 HHG 생성에 큰 영향을 미쳐서는 안 된다.
측정 방사선(642)은 다수의 파장을 보유할 수 있다. 방사선이 단색이기도 하다면, 측정 계산(재구성)이 단순화될 수 있지만, 여러 파장을 가진 방사선을 생성하는 것은 HHG를 사용하는 것이 더 용이하다. 이것은 설계 선택의 문제이고, 동일한 장치 내의 선택가능한 옵션일 수도 있다. 상이한 파장은 상이한 재료의 구조체를 이미징할 때에, 예를 들어 상이한 레벨의 콘트라스트를 제공할 것이다. 예를 들어 금속 구조체 또는 실리콘 구조체를 검사하기 위해서, (탄소계) 레지스트의 피쳐를 이미징하거나, 또는 이러한 상이한 재료의 오염을 검출하기 위해서 사용되는 것에 맞게 상이한 파장이 선택될 수 있다. 또는, 하나 이상의 필터링 디바이스(644)가 제공될 수도 있다. 예를 들어, 알루미늄(Al)의 박막과 같은 필터는 기본 IR 방사선이 검사 장치 내로 더 들어가는 것을 막는 역할을 할 수 있다. 기본 IR 방사선 및 방출된 방사선(SXR 빔)이 콜리니어하기 때문에, 구동 방사선은 통상적으로 차단되어 방사선 출력부를 통과하고 진공 광학 시스템에 진입하는 것을 방지할 필요가 있다.
가스 셀(632) 내에 생성된 것들 중에서 하나 이상의 특정한 고조파 파장을 선택하기 위해 격자가 제공될 수 있다. EUV 방사선이 공기 중에서 진행할 때는 흡수된다는 것에 유념하면, 빔 경로의 일부 또는 전부는 진공 환경 내에 보유될 수 있다. 방사선 소스(630) 및 조명 광학기의 다양한 컴포넌트들은 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절가능할 수 있다. 예를 들어, 상이한 파장 및/또는 편광이 선택가능해질 수 있다.
방사선 소스(630)로부터 나온 필터링된 빔은 검사 챔버에 진입하고, 거기에서 타겟 구조체의 관심 대상 구조체를 포함하는 기판(W)이 검사되기 위해서 기판 지지대(616)에 의해 홀딩된다. 타겟 구조체는 T로 명명된다. 검사 챔버 내의 기압은 진공 펌프(652)에 의해 진공으로 유지됨으로써, 소프트 x-선 방사선이 주변을 통해 부적절하게 감쇠되지 않고 전달될 수 있게 한다. 조명 시스템은 방사선을 포커싱된 빔(656)으로 집광하는 하나 이상의 광학 요소(654)를 가지고 있으며, 예를 들어 전술된 선행 출원에 설명된 바와 같이 2차원적으로 만곡된 미러, 또는 일련의(한 쌍의) 일차원적으로 만곡된 미러를 포함할 수 있다. 이것은 보상 광학 디바이스(650)에 의해 보상되는, 이러한 광학 요소(들)(654)의 기울기 오차이다. 소망되는 경우에는, 분광 격자와 같은 회절 격자가 이러한 미러와 통합될 수 있다. 포커싱은 관심 대상 구조체 상에 투영될 때 직경이 10 μm 미만인 원형 또는 타원형 스폿을 얻도록 수행된다. 기판 지지대(616)는 예를 들어, 기판(W)의 임의의 부분을 원하는 방향에서 빔의 초점으로 이동시킬 수 있는 X-Y 병진 스테이지 및 회전 스테이지를 포함한다. 따라서, 방사선 스폿(S)이 관심 대상 구조체 상에 형성된다. 그러면 관심 구조체로부터 산란된 방사선(608)이 검출기(660)에 의해 검출된다.
모든 전술된 실시예에서, 보상 광학 디바이스(50, 430, 650)가 교정 단계에서 튜닝될 수 있다는 것이 예상된다. 이러한 교정 단계는 조명 시스템 광학 요소(들)의 경사 오차에 대한 파면 정정을 최적화하고, 따라서 측정 스폿의 블러링을 최소화할 수 있다. 일 실시예에서, 이것은 기판(W)을 스폿 크기를 측정하는 측정 디바이스로 대체하고, 스폿 크기가 최소화될 때까지 보상 광학 디바이스(50, 430, 650)를 조절함으로써 수행될 수 있다. 또는, 조명 시스템의 광학 요소(들)의 기울기 오차가 측정될 수 있고 이러한 측정이 교정 단계에서 보상 광학 디바이스(50, 430, 650)에 대한 최초 시작 구성을 결정하기 위하여 사용될 수 있다.
스폿 크기가 통상적으로 검출기의 단일 픽셀의 크기보다 작기 때문에, 이것은 단순히 정적 CCD 이미지 상에서 측정될 수 없을 수 있다. 그 대신에, 스폿은, 예를 들어 슈바르츠실트(Schwarzschild) 대물렌즈 및 CCD를 사용하여, (대략적으로) 조명 스폿의 크기인 핀홀을 사용하여, 또는 나이프(knife)-에지 방법을 사용하여 스폿을 완전하게 이미징함으로써 측정될 수 있다.
이러한 교정 단계는 일회성 교정이라는 것이 예상된다: 보상 광학 디바이스가 한 번 구성되면, 추가 조절이 필요하지 않을 것이 기대된다. 미러에 대한 기울기 오차는 (일 실시예에서) 무작위이지만 정적인 오차일 것으로 추정될 수 있다. 일 실시예에서, 교정 단계는 구성하는 동안 한 번만 수행될 수 있다. 다른 실시예들에서, 연속적인 교정들 사이의 타임스케일이 수 주 이상이어야 하지만, 교정 단계는 주기적으로 반복될 필요가 있을 수 있다. 이와 같이, SLM을 포함하는 많은 시스템에서 발견될 수 있는 것처럼, 실시간이 아닌 폐루프 제어가 필요하다. 다른 실시예들에서, 예를 들어, 열적 변형 및 미러 표면 오염을 포함할 수 있는 환경적인 영향에 기인한 기울기 오차의 동적 성분을 캡쳐하기 위하여 더 빈번한 재교정이 수행될 수 있다.
임의의 동적 성분을 더 양호하게 캡쳐 및 정정하는 추가적인 대체예에서, 스폿 크기는 별개의 검출기(예를 들어, 위에 위치되어 기판을 내려봄)를 사용하여 실시간으로(예를 들어, 생산/ 기판 검사 중에) 측정되고, 스폿 크기를 최소화 및/또는 최적화하기 위해서 보상 광학 디바이스(50, 430, 650)를 제어하는 피드백 제어 루프에서 사용될 수 있다.
계측을 위해 제안된 조명 경로의 NA가 상대적으로 낮기 때문에(0.1 미만), SLM이 빔의 평행 전파 부분 내에 위치된다면, 요구된 파면 변조는 SLM의 위치에 따라 매우 점진적으로만 변한다. 그러면 이러한 제안이 보상 광학 디바이스 및 조명 광학 요소(들)의 상대 위치의 작은 변동에 대해 견실해지게 된다. 이와 같이, 복잡한 스폿 크기 모니터링 및 피드백 시스템이 측정 중에 요구되지 않는다; 그러면 모든 후속 측정은 교정 단계에서 결정된 보상 광학 디바이스 구성을 사용하여 수행될 수 있다.
보상 광학 디바이스(650)가 펌프 방사선 빔(640)을 변조하기 위해서 펌프 레이저(631) 및 HHG 가스 셀(632) 사이에 위치되는 도 5 와 관련하여 설명된 실시예는 지금까지 설명된 기울기 오차 보상보다 더 큰 적용가능성을 가진다. 이러한 구성에 대한 여러 다른 애플리케이션들이 이제부터 설명될 것이다.
이러한 제 1 애플리케이션에서, 보상 광학 디바이스(650)는 타겟(T) 상의 측정 방사선 빔(656)의 위치설정을 제어하기 위한 제어 루프의 일부로서 사용될 수 있다. 환경(예를 들어, 온도 및/또는 압력)에 생기는 작은 변화 때문에, HHG 가스 셀(632) 내에서의 펌프 방사선 빔(640)의 초점 위치는 달라질 수 있다. 이러한 효과는 HHG 소스 포인트(측정 방사선 빔(656)의 포커싱된 포인트)의 포인팅 오차 또는 위치 오차라고 알려져 있다. 그러면 타겟 상에서의 측정 방사선 빔(656)의 위치에 변화(drift)가 생긴다. 이러한 스폿은 타겟에 적절하게 포커싱된 상태를 유지해야 하기 때문에 이것은 문제가 있다.
도 6 은 이러한 제어 루프를 구현하기 위한 두 가지 대안적 구성을 예시하는데, 제 1 구성은 측정 방사선의 빔(656)의 위치를 측정함으로써 포인팅 오차를 직접적으로 정정하고, 제 2 구성은 HHG 셀(632) 내에서 펌프 방사선 빔(640)의 위치를 측정함으로써 포인팅 오차를 간접적으로 정정한다. 도 6 은 도 5 의 구성의 단순화된 도면을 도시한다. 단순화된 방식으로 도시되지만(명확화를 위하여), 도 5 의 구성과의 유일한 차이는, 빔 위치 검출기(670, 670') 및 포인팅 오차를 정정하기 위해서 보상 광학 디바이스(또는 디바이스들)(650)를 제어하는 제어 루프(화살표(671, 671'))로 표시됨)가 제공된다는 것이다. 이러한 도면에서, 빔 위치 검출기(670, 670')를 위한 두 가지 (대안적인) 옵션이 도시된다. 실제 구성은 이러한 대안들 중 하나, 즉, 빔 위치 검출기(670)(BPD1) 및 제어 루프(671) 또는 빔 위치 검출기(670')(BPD2) 및 제어 루프(670') 중 하나만을 포함할 것이라는 것이 예상된다.
제 1 빔 위치 검출기(670)(BPD1)의 실시예를 고려하면, 레이저 방사선 빔(640)이 보상 광학 디바이스(650)로부터 반사(또는 이것을 투과)한 후에, 펌프 방사선 빔(640)의 작은 일부가 샘플링되고 빔 위치 검출기(670)에 의해 측정되도록 지향된다(빔 스플리터(669)에 의해). 펌프 방사선 빔(640)의 측정된 빔 위치가 화살표(671)에 의해 표시된 바와 같이 광학 디바이스(650)에 대한 피드백 정보로서 사용된다.
제 2 빔 위치 검출기(670')(BPD2)의 실시예에서, 측정 방사선 빔(656) 스폿 위치가 타겟(T)에서 측정된다. 전술된 바와 같이, 이러한 측정치가 제어를 위해서 보상 광학 디바이스(650)로 피드백된다(화살표(671')에 의해 표시된 바와 같음).
양자의 실시예에서, 임의의 포인팅 오차(측정된 빔 위치의 요구되는 위치로부터의 편차)를 정정하는 정정이 계산될 수 있다. 이러한 정정은 파면 변조를 펌프 방사선 빔(640)에 추가하도록 보상 광학 디바이스(650)를 사용하여 구현될 수 있는데, 그러면 포인팅 오차가 감소 또는 제거된다.
각각의 경우에, 빔 위치 검출기(670, 670')는, 예를 들어 카메라, 사분 광검출기(quadrant photo detector; QPD), 또는 위치 감응 검출기(position sensitive detector; PSD)를 포함할 수 있다. 이러한 일 실시예는 경사 오차 보상에 대해서 전술된 바와 같은 스폿 크기 측정과 조합되어 구현될 수 있다. 스폿 크기 및 빔 위치 양자 모두를 공동-최적화하고 제어하기 위하여, 스폿 크기 및 빔 위치 양자 모두가 측정될 수 있고, 보상 광학 디바이스(650)에 대한 정정이 결정될 수 있다. 빔 위치 검출기(670')가 기판 상의 측정 방사선 빔(656)의 위치를 측정하기 위해 위치설정되는 경우, 동일한 빔 위치 검출기(670')는 스폿 크기를 측정하기 위해서도 사용될 수 있다.
양자 모두의 검출기(670, 670')를 구현하는 것이 요구되는 것은 아니다; 바람직한 위치는 예를 들어 다음과 같은 어떤 디자인 고려사항에 따라 달라질 것이다:
- 기판에서 측정된(즉, BPD2(670')를 사용함) 위치 정보는 BPD1 위치에서 측정된 것보다 더 정확할 것이다; 그러나 SXR 측정 방사선 빔(656)보다 적외선 펌프 방사선 빔(640)의 검출을 구현하는 것이 더 쉽다.
- 포인팅 오차가 주로 또는 완전히 펌프 레이저(631)에 기인하면, BPD1(670) 위치에서 측정된 정보를 사용한 보상은 충분히 실현가능하다;
- 반면에, 스폿 위치의 오차가 예를 들어, SXR 광학기(예를 들어, 광학 요소(들)(654))의 위치에 의해 주로 또는 완전히 영향을 받으면, 기판(BPD2(670'))에서의 측정 선호될 수 있다.
펌프 방사선 빔(640)을 변조하는 제 2 애플리케이션은 애너모픽 측정 방사선 빔(656)을 생성하도록 펌프 방사선 빔(640)을 성형하는 것이다. 이러한 애플리케이션은 도 7 과 관련하여 설명되는데, 이것은 측정 방사선 빔(656)이 HHG 가스 셀(632)로부터 타겟(T)으로 전달되는 것을 보여준다.
CD 재구성과 같은 애플리케이션을 위해서, 측정 방사선 빔(656)은 타겟(T)에 대해 그레이징 입사각에 있어야 한다. 타겟(T) 상에는 원형 스폿(676)이 흔히 선호된다. 그러므로, HHG 가스 셀(632)에서의 빔의 빔 레퍼런스 프레임(R1) 및 기판 레퍼런스 프레임(R2)의 불일치 때문에 두 개의 주축들(X 및 Y)은 매우 다른 배율을 요구한다. 더욱이, 전체 시스템 성능(특히 타겟으로의 스폿 성능의 관점에서)은 비-원형 대칭 빔 프로파일의 혜택을 볼 수 있다. 이것은 임의의 구성에도 유효하며, 따라서 근사-수직 입사 OVL 측정에도 적용가능할 수 있다.
도시된 광학 시스템(654)을 통해서 기판 레벨에서 요구된 빔 형상(676)을 얻기 위해서는, 광학 시스템(654)은 완전히 애너모픽해야 할 것이다. 그러면, 입사각에 따라서 빔의 X 및 Y 축들을 완전히 별개로 처리해야 할 수 있고, 그러면 빔 내의 컴포넌트들의 개수가 두 배가 된다(X 및 Y는 그레이징 입사 미러의 별개의 1D 만곡형 세트를 필요로 할 것임). 이것은 다음을 포함하는 여러 이유 때문에 바람직하지 않을 수 있다:
- 이러한 범위에 속하는 광학기는 고가일 것이다;
- 이러한 요소가 빔 경로 내에 많이 요구되면, 광학기는 빔의 z-방향에서 커질 것이어서(예를 들어, 200 mm), 많은 충돌이 유도된다;
- 광학 요소의 표면 품질은 이러한 범위 내에서 상대적으로 열악하다: 모든 반사는 빔 품질을 열화시킨다.
그러나, HHG 가스 셀(632)에서의 펌프 레이저 방사선 스폿의 형상이 원형이 아니고 타원형이면, 애너모픽 광학 시스템이 제거될 수 있다. 이러한 타원형 빔을 생성하는 한 가지 방법은 보상 광학 디바이스(650)를 사용하여 펌프 방사선 빔(640)에 파면 변조를 적용하는 것에 의한다. 보상 광학 디바이스(650)는 SPM 또는 원통형 렌즈 또는 미러의 세트를 포함할 수 있다. SPM을 사용하는 장점은 그 유연성이다: 기판(W)과 빔(656) 사이의 각도가 변하면, HHG 가스 셀(632)에서의 스폿 형상(675)이 타겟 상에 요구된 빔 형상(및 따라서 원형 또는 다른 요구되는 형상 스폿(676))을 유지하는 방식으로 조절될 수 있다.
다른 애플리케이션에서, 펌프 방사선 빔(640)의 변조는 무렌즈 이미징을 위한 상이한 조명 패턴을 유도하기 위하여 사용될 수 있다. 도 5 에 도시된 것과 같은 무렌즈 시스템에는 샘플(타겟(T))과 검출기 사이에 이미징 광학기가 없다. 그 대신에, 산란된 광의 세기 분포를 직접적으로 기록하기 위하여 CCD(660)가 사용된다. 검출기(660)의 위치에서의 전체 필드(진폭 및 위상)가 알려져 있으면, 이미지가 재구성될 수 있다.
그러나, 광학적 검출기는 검출된 방사선의 세기(진폭에 관련됨)만을 검출한다. 직접적인 위상 정보가 없으면, 다양성이 도입될 필요가 있다. 이것은, 예를 들어 산란된 빔에 따른 상이한 전파 거리에서 진폭을 측정하는 것, 샘플을 다른 색으로 조명하는 것 등에 의해 얻어질 수 있다. 또한 상이한 조명 패턴을 적용함으로써 다양성을 얻는 것도 역시 가능하다. 그러므로, 펌프 방사선 빔(640)을 변조하기 위해서 보상 광학 디바이스(650)(예를 들어, SLM)를 사용하여 이러한 상이한 조명 패턴을 생성하는 것이 제안된다. 이러한 접근법은 다음과 같은 장점을 가진다:
- 조명 패턴이 밀리초 타임스케일로 변경될 수 있다; 이것은 검출기 속도와 비슷하다;
- 조명 패턴이 정확하게 알려진다; 그러면 재구성이 쉬워질 수 있다;
- 재구성을 최적화하기 위해서 조명 패턴이 특정한 타겟 구조체(T)에 최적화될 수 있다. 이러한 콘텍스트에서, 최적화는 임의의 메트릭, 예를 들어 시간, 정확도에 대한 것일 수 있다.
또한, 보상 광학 디바이스(650)는 타겟으로 더 양호하게 포커싱되도록 측정 방사선 빔(656) 상에 톱-햇(top-hat)(또는 평평한 상단) 프로파일을 유도하기 위하여 빔성형(beamshaping)에서 사용될 수 있다. 변조가 적용되지 않으면, 전파된 펌프 방사선 빔(640) 및 따라서 측정 방사선 빔(656)은 가우시안 세기 프로파일을 가진다. 계측을 수행하려면, 99.99 %까지의 측정 방사선이 3-4μm의 스폿 상에 포커싱되어야 한다.
가우시안 세기 프로파일로 이렇게 할 수 있으려면, 가우시안 테일(tail)의 큰 부분이 포함될 필요가 있을 수 있다. 더 바람직한 솔루션은 요구되는 스폿 크기 내로 적절하게 포커싱된 측정 방사선 빔(656)에 대해서 톱-햇 빔 프로파일을 부과하는 것일 것이다. SLM과 같은 보상 광학 디바이스(650)는 펌프 방사선 빔(640) 내에 톱-햇 프로파일을 생성하기 위해서 사용될 수 있다; 그러면 이러한 프로파일이 측정 방사선 빔(656) 내에 미러링될 것이다.
펌프 방사선 빔(640)을 변조하기 위하여 보상 광학 디바이스(650)(예컨대 SLM)를 사용하는 본 명세서에서 논의되는 애플리케이션 모두는 개별적으로 또는 두 개 이상의 조합으로 구현될 수 있다. 광로를 가능한 단순화하기 위해서, 본 명세서에서 개시된 전술된 애플리케이션 모두(기울기 오차 보상을 포함함)가, 예를 들어 단일 보상 광학 디바이스(650)를 통해서 동시에 구현되었다. 상이한 파면 변조가 선형 중첩이라고 간주될 수 있기 때문에, 예를 들어 이러한 선형 중첩을 부과하는 SLM을 사용하면 이것이 가능해진다. 그러므로, SLM은, 본 명세서에서 개시되는 애플리케이션 및 실시예 모두(또는 그 서브세트)에 대한 요구된 정정 각각의 선형 중첩에 기초하여 적합한 파면 변조를 계산하는 제어기에 의하여 제어될 수 있다.
파면 변조를 결정할 때에 위상 매칭이 고려되어야 한다는 것에 주의해야 한다. HHG 가스 셀(632) 내의 상호작용 길이에 걸쳐서, 펌프 방사선 빔(640)과 측정 방사선 빔(656) 사이의 위상 매칭은 적외선으로부터 SXR 방사선으로의 변환 효율을 최적화하기 위해서 중요하다. 변환 효율이 중요하지만, 더 관련되는 것은 생성된 총 SXR 측정 방사선이 아니라 얼마나 많은 SXR 측정 방사선이 실제로 정확한 스폿 내에 실제로 포커싱되는지라는 것이 이해되어야 한다.
복잡한 파면이 있을 때의 위상 매칭을 개선하기 위하여, HHG 가스 셀 내의 기체 밀도 분포가 튜닝될 수 있는 것이 제안된다. 예를 들어, 가스 흐름 또는 가스 속도가 변경될 수 있다. 또한 가스 서플라이는 복잡한 파면에 대한 위상 매칭 조건을 만족시키기 위해서 가스 밀도 분포를 조절하도록 설계될 수 있다. 적합한 교정이 실행되면, 피드-포워드 시스템이 사용될 수 있다. 이러한 피드-포워드 시스템이 도 8 에 개념적으로 도시되는데, 이것은 레이저 소스(631)로부터의 펌프 방사선 빔(640)이 포커싱 광학기(682)에 의하여 보상 광학 디바이스(650)(예를 들어, SLM)를 통해 HHG 가스 셀(632) 내의 가스 기둥(gas plume; 685) 상에 포커싱되는 것을 보여준다. 가스 서플라이(680)의 특성, 예를 들어 가스 속도 및/또는 가스 흐름이 변경되어, 가스 서플라이(680)로의 피드포워드 신호(684)를 사용하여 가스 기둥(685)내의 가스 밀도 분포를 제어할 수 있다. 피드 포워드 신호(684)는 위상 매칭에 영향을 주기 위해서, 보상 광학 디바이스(650)에 의해 부과되는 파면에 기초하여 계산될 수 있다(즉, 보상 광학 디바이스(650)의 프로그래밍에 기초함).
추가적인 실시예들이 다음 번호를 가진 절들에 규정된다:
1. 기판 상의 타겟 구조체를 측정하기 위한 검사 장치로서,
측정 방사선을 생성하기 위한 조명 소스;
상기 측정 방사선을 상기 타겟 구조체 상에 포커싱하기 위한 광학 배열체 - 상기 광학 배열체는 그레이징 입사로 상기 측정 방사선을 수광하도록 배열되는 적어도 하나의 광학 요소를 포함함 -; 및
상기 광학 배열체 내의 불균일한 제조 결함을 보상하기 위하여 상기 측정 방사선의 파면을 공간적으로 변조하도록 동작가능한 보상(compensatory) 광학 디바이스를 포함하는, 검사 장치.
2. 제 1 절에 있어서,
상기 광학 배열체 내의 상기 불균일한 제조 결함은 상기 광학 배열체의 상기 하나 이상의 광학 요소 중 적어도 하나의 경사 오차를 포함하는, 검사 장치.
3. 제 1 절 또는 제 2 절에 있어서,
상기 보상 광학 디바이스는 공간적 광변조 디바이스를 포함하는, 검사 장치.
4. 제 3 절에 있어서,
상기 공간적 광변조 디바이스는 변형가능 미러, 디지털 마이크로미러 디바이스, 또는 미세전자기계 시스템 중 하나를 포함하는, 검사 장치.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
상기 검사 장치는, 상기 측정 방사선의 포커싱으로부터 나타나는 측정 스폿의 크기를 측정하도록 동작가능한 측정 디바이스를 상기 기판의 위치에 포함하는, 검사 장치.
6. 제 5 절에 있어서,
상기 검사 장치는, 상기 측정 스폿의 크기를 최소화하기 위하여 상기 보상 광학 디바이스를 구성하기 위한 초기 교정 단계를 수행하도록 동작가능한, 검사 장치.
7. 제 1 절 내지 제 6 절 중 어느 한 절에 있어서,
상기 측정 방사선은 소프트 x-선 또는 극자외(EUV) 방사선을 포함하는, 검사 장치.
8. 제 1 절 내지 제 7 절 중 어느 한 절에 있어서,
상기 보상 광학 디바이스는, 상기 측정 방사선의 상기 빔의 파면을 직접적으로 공간적으로 변조하기 위하여 상기 측정 방사선의 빔 내에 위치되는, 검사 장치.
9. 제 8 절에 있어서,
상기 보상 광학 디바이스는, 상기 조명 소스와 상기 기판 사이에서 상기 측정 방사선의 빔의 평행 전파 부분 내에 위치되는, 검사 장치.
10. 제 9 절에 있어서,
상기 보상 광학 디바이스는 상기 검사 장치의 퓨필 평면 내에 위치되는, 검사 장치.
11. 제 8 절 내지 제 10 절 중 어느 한 절에 있어서,
상기 보상 광학 디바이스는 소프트 x-선 및/또는 극자외(EUV) 방사선을 반사하기 위해서 최적화된 코팅을 포함하는, 검사 장치.
12. 제 1 절 내지 제 7 절 중 어느 한 절에 있어서,
상기 조명 소스는, 고 고조파 생성 매질 및 상기 고 고조파 생성 매질을 여기시키기 위한 펌프 방사선을 방출하는 펌프 방사선 소스를 포함하는 고 고조파 생성 소스이고;
상기 보상 광학 디바이스는, 상기 펌프 방사선의 파면의 공간적 변조가 상기 측정 방사선의 파면의 공간적 변조를 야기하도록, 상기 고 고조파 생성 매질을 여기시키기 전에 상기 펌프 방사선의 파면을 공간적으로 변조하도록 동작가능한, 검사 장치.
13. 제 12 절에 있어서,
상기 보상 광학 디바이스는 상기 펌프 방사선 소스와 상기 고 고조파 생성 매질 사이의 광로에 위치되는, 검사 장치.
14. 제 12 절 또는 제 13 절에 있어서,
상기 펌프 방사선은 적외선 방사선을 포함하는, 검사 장치.
15. 고 고조파 방사선을 생성하기 위한 조명 소스로서,
고 고조파 생성 매질;
상기 고 고조파 방사선을 생성하도록 상기 고 고조파 생성 매질을 여기시키기 위한 펌프 방사선의 빔을 방출하는 펌프 방사선 소스; 및
상기 고 고조파 생성 매질을 여기시키기 전에 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한 보상 광학 디바이스를 포함하는, 조명 소스.
16. 제 15 절에 있어서,
상기 보상 광학 디바이스는 상기 펌프 방사선 소스와 상기 고 고조파 생성 매질 사이의 광로에 위치되는, 조명 소스.
17. 제 15 절 또는 제 16 절에 있어서,
상기 보상 광학 디바이스는 공간적 광변조 디바이스를 포함하는, 조명 소스.
18. 제 15 절 내지 제 17 절 중 어느 한 절에 있어서,
상기 공간적 광변조 디바이스는 변형가능 미러, 디지털 마이크로미러 디바이스, 또는 미세전자기계 시스템 중 하나를 포함하는, 조명 소스.
19. 제 15 절 내지 제 18 절 중 어느 한 절에 있어서,
상기 펌프 방사선은 적외선 방사선을 포함하는, 조명 소스.
20. 제 15 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 보상 광학 디바이스는, 상기 고 고조파 방사선의 빔의 위치 오차를 정정하도록, 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한, 조명 소스.
21. 제 20 절에 있어서,
상기 조명 소스는,
빔의 포커싱된 포인트의 위치를 기술하는 위치 신호를 수신하고 - 상기 빔은 펌프 방사선의 빔 또는 상기 고 고조파 방사선의 빔임 -;
상기 위치 신호에 기초하여, 요구되는 위치에 대한 상기 빔의 포커싱된 포인트의 상기 위치에 대한 정정을, 상기 펌프 방사선의 빔의 파면 변조의 관점에서 결정하며,
상기 보상 광학 디바이스를 통하여 상기 정정을 구현하도록 동작가능한, 조명 소스.
22. 제 21 절에 있어서,
위치 신호를 생성하기 위하여 빔의 상기 포커싱된 포인트를 측정하도록 동작가능한 위치 검출기를 포함하는, 조명 소스.
23. 제 22 절에 있어서,
상기 위치 검출기는 상기 펌프 방사선의 빔의 포커싱된 포인트의 위치를 측정하도록 동작가능한, 조명 소스.
24. 제 22 절에 있어서,
상기 위치 검출기는 상기 고 고조파 방사선의 빔의 포커싱된 포인트의 위치를 측정하도록 동작가능한, 조명 소스.
25. 제 24 절에 있어서,
상기 위치 검출기는 상기 고 고조파 방사선의 빔의 포커싱된 포인트의 스폿 크기를 측정하도록 더 동작가능하고,
상기 조명 소스는 상기 스폿 크기를 추가적으로 최소화하도록 상기 정정을 결정하도록 더 동작가능한, 조명 소스.
26. 제 15 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 보상 광학 디바이스는, 요구되는 프로파일을 상기 펌프 방사선의 빔 상에 부여하여, 대응하는 프로파일을 상기 고 고조파 방사선의 빔 상에 부여하도록, 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한, 조명 소스.
27. 제 26 절에 있어서,
상기 요구되는 프로파일은 상기 펌프 방사선의 빔 상의 비-원형 단면 프로파일을 포함하는, 조명 소스.
28. 제 27 절에 있어서,
상기 비-원형 단면 프로파일은, 상기 조명 소스에 의해 생성되는 상기 고 고조파 방사선의 빔이 표면 상으로의 그레이징 입사에서 포커싱될 때 상기 표면 상에 원형 스폿을 형성하도록, 타원형 단면 프로파일을 포함하는, 조명 소스.
29. 제 26 절 내지 제 28 절 중 어느 한 절에 있어서,
상기 요구되는 프로파일은 상기 펌프 방사선의 빔 상의 평평한-상단 프로파일을 포함하는, 조명 소스.
30. 제 15 절 내지 제 29 절 중 어느 한 절에 있어서,
상기 보상 광학 디바이스는 변동하는 조명 패턴을 상기 펌프 방사선의 빔 상에 부여하도록 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한, 조명 소스.
31. 제 15 절 내지 제 30 절 중 어느 한 절에 있어서,
상기 고 고조파 생성 매질은 가스 소스에 의해 방출되는 가스를 포함하고,
상기 조명 소스는 상기 펌프 방사선의 빔과 생성된 고 고조파 방사선 사이의 위상 매칭을 상기 보상 광학 디바이스에 의해 부과되는 펌프 방사선의 빔의 파면의 공간적 변조에 기초하여 최적화하도록, 상기 가스 소스의 제어를 위한 순방향 제어 신호를 결정하게끔 동작가능한, 조명 소스.
32. 기판 상의 타겟 구조체를 측정하기 위한 검사 장치로서,
상기 타겟 구조체를 측정하기 위한 고 고조파 방사선을 생성하기 위하여, 제 15 절 내지 제 31 절 중 어느 한 절의 조명 소스를 포함하는, 검사 장치.
33. 기판 상의 타겟 구조체를 측정하는 방법으로서,
하나 이상의 광학 요소를 포함하는 광학 배열체 내의 불균일한 제조 결함을 보상하도록 측정 방사선의 파면을 공간적으로 변조하는 단계;
적어도 하나의 광학 요소가 그레이징 입사로 상기 측정 방사선을 수광하도록 상기 광학 배열체를 사용하여 상기 측정 방사선을 상기 타겟 구조체 상에 포커싱함으로써, 상기 타겟 구조체를 상기 측정 방사선으로 조명하는 단계;
타겟을 상기 측정 방사선을 조명하여 발생되는 산란 방사선을 검출하는 단계; 및
상기 타겟 구조체에 관련된 측정 값을 결정하도록, 검출된 산란 방사선을 처리하는 단계를 포함하는, 타겟 구조체 측정 방법.
34. 제 33 절에 있어서,
상기 광학 배열체 내의 상기 불균일한 제조 결함은 상기 광학 배열체의 상기 하나 이상의 광학 요소 중 적어도 하나의 경사 오차를 포함하는, 타겟 구조체 측정 방법.
35. 제 33 절 또는 제 34 절에 있어서,
상기 방법은,
측정 방사선의 포커싱으로부터 나타나는 측정 스폿의 크기를 측정하는 것; 및
상기 측정 스폿의 크기를 최소화하도록 상기 공간적 변조를 구성하는 것을 포함하는 초기 교정 단계를 수행하는 단계를 더 포함하는, 타겟 구조체 측정 방법.
36. 제 33 절 내지 제 35 절 중 어느 한 절에 있어서,
상기 측정 방사선은 소프트 x-선 또는 극자외(EUV) 방사선을 포함하는, 타겟 구조체 측정 방법.
37. 제 33 절 내지 제 36 절 중 어느 한 절에 있어서,
상기 측정 방사선의 파면을 공간적으로 변조하는 단계는, 상기 측정 방사선의 빔의 파면을 직접적으로 공간적으로 변조하는 것을 포함하는, 타겟 구조체 측정 방법.
38. 제 37 절에 있어서,
상기 측정 방사선의 빔의 파면을 상기 직접적으로 공간적으로 변조하는 것은, 상기 측정 방사선의 빔의 평행 전파 부분의 파면을 상기 직접적으로 공간적으로 변조하는 것을 포함하는, 타겟 구조체 측정 방법.
39. 제 19 절 내지 제 36 절 중 어느 한 절에 있어서,
상기 방법은,
고 고조파 생성 매질을 펌프 방사선으로 여기시켜서 상기 측정 방사선을 생성하는 단계를 더 포함하고,
상기 측정 방사선의 파면을 공간적으로 변조하는 단계는, 상기 고 고조파 생성 매질을 여기시키기 전에 상기 펌프 방사선의 파면을 공간적으로 변조하는 것을 포함하는, 타겟 구조체 측정 방법.
40. 제 39 절에 있어서,
상기 펌프 방사선은 적외선 방사선을 포함하는, 타겟 구조체 측정 방법.
비록 본문에서 IC의 제조에서 리소그래피 장치를 사용하는 것에 대해서 특별히 언급하였지만, 본 명세서에서 설명되는 리소그래피 장치는 다른 응용 분야, 예컨대 집적 광 시스템의 제조, 자기장 도메인 메모리용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드, 등을 가질 수 있다는 것이 이해되어야 한다. 당업자는, 이러한 다른 응용예의 문맥에서, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 기판은, 예를 들어 트랙(통상적으로 레지스트 층을 기판에 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및/또는 검사 툴에서, 노광 전 또는 노광 후에 처리될 수 있다. 적용 가능한 범위에서, 본 명세서에서의 개시물은 이러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수 있다.
비록 위에서 광 리소그래피의 콘텍스트에서 본 발명의 실시예를 사용하는 것에 대해 특정하여 언급하였지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
리소그래피 장치와 관련하여 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (15)

  1. 고 고조파 방사선을 생성하기 위한 조명 소스로서,
    고 고조파 생성 매질;
    상기 고 고조파 방사선을 생성하도록 상기 고 고조파 생성 매질을 여기시키기 위한 펌프 방사선의 빔을 방출하는 펌프 방사선 소스; 및
    상기 고 고조파 생성 매질을 여기시키기 전에 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한 보상 광학 디바이스를 포함하는, 조명 소스.
  2. 제1항에 있어서,
    상기 보상 광학 디바이스는 펌프 방사선 소스와 고 고조파 생성 매질 사이의 광 경로에 위치하는, 조명 소스.
  3. 제1항 또는 제2항에 있어서,
    상기 보상 광학 디바이스는 공간적 광변조 디바이스를 포함하는, 조명 소스.
  4. 제1항 또는 제2항에 있어서,
    상기 공간적 광변조 디바이스는 변형가능 미러, 디지털 마이크로미러 디바이스, 또는 미세전자기계 시스템 중 하나를 포함하는, 조명 소스.
  5. 제1항 또는 제2항에 있어서,
    펌프 방사선은 적외선 방사선을 포함하는, 조명 소스.
  6. 제1항 또는 제2항에 있어서,
    상기 보상 광학 디바이스는, 상기 고 고조파 방사선의 빔의 위치 오차를 정정하도록, 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한, 조명 소스.
  7. 제6항에 있어서,
    상기 조명 소스는,
    빔의 포커싱된 포인트의 위치를 기술하는 위치 신호를 수신하고 - 상기 빔은 펌프 방사선의 빔 또는 상기 고 고조파 방사선의 빔임 -;
    상기 위치 신호에 기초하여, 요구되는 위치에 대한 상기 빔의 포커싱된 포인트의 상기 위치에 대한 정정을, 상기 펌프 방사선의 빔의 파면 변조의 관점에서 결정하며,
    상기 보상 광학 디바이스를 통하여 상기 정정을 구현하도록 동작가능한, 조명 소스.
  8. 제7항에 있어서,
    위치 신호를 생성하기 위하여 상기 빔의 포커싱된 포인트를 측정하도록 동작가능한 위치 검출기를 포함하는, 조명 소스.
  9. 제8항에 있어서,
    상기 위치 검출기는 펌프 방사선의 빔의 포커싱된 포인트의 위치를 측정하도록 동작가능한, 조명 소스.
  10. 제8항에 있어서,
    상기 위치 검출기는 고 고조파 방사선의 빔의 포커싱된 포인트의 위치를 측정하도록 동작가능한, 조명 소스.
  11. 제1항 또는 제2항에 있어서,
    상기 보상 광학 디바이스는, 요구되는 프로파일을 펌프 방사선의 빔 상에 부여하여, 대응하는 프로파일을 상기 고 고조파 방사선의 빔 상에 부여하도록, 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한, 조명 소스.
  12. 제11항에 있어서,
    상기 요구되는 프로파일은 상기 펌프 방사선의 빔 상의 비-원형 단면 프로파일을 포함하는, 조명 소스.
  13. 제11항에 있어서,
    상기 요구되는 프로파일은 상기 펌프 방사선의 빔 상의 평평한-상단 프로파일을 포함하는, 조명 소스.
  14. 제1항 또는 제2항에 있어서,
    상기 보상 광학 디바이스는 변동하는 조명 패턴을 상기 펌프 방사선의 빔 상에 부여하기 위해 상기 펌프 방사선의 빔의 파면을 공간적으로 변조하도록 동작가능한, 조명 소스.
  15. 제1항 또는 제2항에 있어서,
    고 고조파 생성 매질은 가스 소스에 의해 방출되는 가스를 포함하고,
    상기 조명 소스는, 상기 보상 광학 디바이스에 의해 부과되는 펌프 방사선의 빔의 파면의 공간적 변조에 기초하여, 펌프 방사선의 빔과 생성된 고 고조파 방사선 사이의 위상 매칭을 최적화하기 위해, 상기 가스 소스의 제어를 위한 순방향 제어 신호를 결정하도록 동작가능한, 조명 소스.
KR1020217015217A 2016-09-14 2017-08-02 검사 장치용 조명 소스, 검사 장치 및 검사 방법 KR102360940B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP16188816.9 2016-09-14
EP16188816.9A EP3296723A1 (en) 2016-09-14 2016-09-14 Illumination source for an inspection apparatus, inspection apparatus and inspection method
PCT/EP2017/069506 WO2018050350A1 (en) 2016-09-14 2017-08-02 Illumination source for an inspection apparatus, inspection apparatus and inspection method
KR1020197010298A KR102256685B1 (ko) 2016-09-14 2017-08-02 검사 장치용 조명 소스, 검사 장치 및 검사 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197010298A Division KR102256685B1 (ko) 2016-09-14 2017-08-02 검사 장치용 조명 소스, 검사 장치 및 검사 방법

Publications (2)

Publication Number Publication Date
KR20210061474A true KR20210061474A (ko) 2021-05-27
KR102360940B1 KR102360940B1 (ko) 2022-02-08

Family

ID=56926125

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217015217A KR102360940B1 (ko) 2016-09-14 2017-08-02 검사 장치용 조명 소스, 검사 장치 및 검사 방법
KR1020197010298A KR102256685B1 (ko) 2016-09-14 2017-08-02 검사 장치용 조명 소스, 검사 장치 및 검사 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197010298A KR102256685B1 (ko) 2016-09-14 2017-08-02 검사 장치용 조명 소스, 검사 장치 및 검사 방법

Country Status (8)

Country Link
US (2) US10330606B2 (ko)
EP (1) EP3296723A1 (ko)
JP (2) JP6959339B2 (ko)
KR (2) KR102360940B1 (ko)
CN (1) CN109716110B (ko)
IL (1) IL265282B2 (ko)
TW (2) TWI657240B (ko)
WO (1) WO2018050350A1 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102217202B1 (ko) * 2016-07-05 2021-02-19 에이에스엠엘 네델란즈 비.브이. 검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법
EP3296723A1 (en) 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
JP6319395B2 (ja) * 2016-10-14 2018-05-09 オムロン株式会社 3次元測定装置および3次元測定方法
EP3370486A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
WO2018219585A1 (en) 2017-05-31 2018-12-06 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
WO2018233947A1 (en) 2017-06-20 2018-12-27 Asml Netherlands B.V. DETERMINATION OF EDGE ROUGHNESS PARAMETERS
EP3435161A1 (en) 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
EP3467588A1 (en) 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
US10761031B1 (en) 2018-03-20 2020-09-01 Kla-Tencor Corporation Arbitrary wavefront compensator for deep ultraviolet (DUV) optical imaging system
EP3570109A1 (en) * 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US11049745B2 (en) * 2018-10-19 2021-06-29 Kla Corporation Defect-location determination using correction loop for pixel alignment
EP3686673A1 (en) 2019-01-25 2020-07-29 ASML Netherlands B.V. Wavefront sensor and associated metrology apparatus
CN109839785B (zh) * 2019-03-01 2021-04-02 杭州奕力科技有限公司 一种空芯反谐振光纤的频率上转换装置
JP7361787B2 (ja) 2019-04-08 2023-10-16 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ測定のためのセンサ装置及び方法
CN112015053B (zh) * 2019-05-30 2022-02-08 上海微电子装备(集团)股份有限公司 一种光瞳补偿装置和光刻机
JP2023506671A (ja) * 2019-08-19 2023-02-20 エーエスエムエル ネザーランズ ビー.ブイ. マイクロミラーアレイ
EP3783439A1 (en) * 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
EP3816721A1 (en) * 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
US20230059471A1 (en) * 2020-01-29 2023-02-23 Asml Holding N.V. Optical designs of miniaturized overlay measurement system
US20240012332A1 (en) * 2020-11-30 2024-01-11 Asml Netherlands B.V. High force low voltage piezoelectric micromirror actuator
CN112485272B (zh) * 2020-12-14 2021-11-09 紫创(南京)科技有限公司 半导体检测装置及检测方法
JP2023116048A (ja) * 2022-02-09 2023-08-22 キオクシア株式会社 計測装置および計測方法
EP4321933A1 (en) * 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
DE102022210352A1 (de) * 2022-09-29 2024-04-04 Carl Zeiss Smt Gmbh EUV-Reflektometer und Messverfahren

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011139303A2 (en) * 2009-12-14 2011-11-10 Massachusetts Institute Of Technology Efficient high-harmonic-generation-based euv source driven by short wavelength light
US20140375981A1 (en) * 2013-01-14 2014-12-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US20150063385A1 (en) * 2013-09-04 2015-03-05 Tenio V. Popmintchev Generation of VUV, EUV, and X-ray Light Using VUV-UV-VIS Lasers
US20160091422A1 (en) * 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection Apparatus And Device Manufacturing Method

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3754195A (en) 1972-03-16 1973-08-21 Bell Telephone Labor Inc Double-folded astigmatically compensated optical cavities
US5377212A (en) 1991-10-17 1994-12-27 Hitachi, Ltd. Solid-state laser device including uniaxial laser crystal emitting linearly polarized fundamental wave and nonlinear optical crystal emitting linearly polarized harmonic wave
US6774991B1 (en) * 1999-05-27 2004-08-10 Inspex Incorporated Method and apparatus for inspecting a patterned semiconductor wafer
US20010036208A1 (en) * 2000-03-07 2001-11-01 Fuzheng Zhou Intra-cavity sub-resonator frequency conversion device for generating continuous-wave high order harmonic laser light
US6809809B2 (en) * 2000-11-15 2004-10-26 Real Time Metrology, Inc. Optical method and apparatus for inspecting large area planar objects
WO2002091808A1 (en) 2001-05-07 2002-11-14 The Regents Of The University Of Michigan Producing energetic, tunable, coherent x-rays with long wavelength light
DE10203392B4 (de) 2002-01-29 2014-09-04 Osram Opto Semiconductors Gmbh Anordnung zur Einkopplung von Strahlung in eine Lichtleitfaser
ITTO20020173A1 (it) 2002-02-28 2003-08-28 Bright Solutions Soluzioni Las Metodo di pompaggio di una cavita' laser e relativo sistema laser.
AU2003265989A1 (en) * 2002-09-30 2004-04-23 Applied Materials Israel, Ltd. Illumination system for optical inspection
JP2004239660A (ja) * 2003-02-04 2004-08-26 Japan Science & Technology Agency 顕微鏡
US6972419B2 (en) * 2003-02-24 2005-12-06 Intel Corporation Extreme ultraviolet radiation imaging
US7041998B2 (en) * 2003-03-24 2006-05-09 Photon Dynamics, Inc. Method and apparatus for high-throughput inspection of large flat patterned media using dynamically programmable optical spatial filtering
JP4713847B2 (ja) 2004-04-30 2011-06-29 富士通株式会社 分散補償方法,光伝送システムおよび光伝送装置
US7116404B2 (en) 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7283251B1 (en) * 2005-10-26 2007-10-16 Lockheed Martin Corporation Black fringe wavefront sensor
CN100573229C (zh) * 2006-07-17 2009-12-23 中国科学院光电技术研究所 基于共轭成像的组合式波前校正器
US7830928B2 (en) 2006-08-02 2010-11-09 Regents of the University of Colorado, a body corp Quasi-phase matching and quantum control of high harmonic generation in waveguides using counterpropagating beams
US7664147B2 (en) 2006-12-15 2010-02-16 Rugents of the University of Colorado a body corporate Phase matching of high order harmonic generation using dynamic phase modulation caused by a non-collinear modulation pulse
JP5047887B2 (ja) * 2007-06-21 2012-10-10 パナソニック株式会社 短波長光源
CN101162294B (zh) * 2007-11-06 2011-06-15 中国科学院光电技术研究所 用于惯性约束聚变装置全光路像差的测量和校正方法
EP2083319B1 (en) 2008-01-25 2013-07-17 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Intra-cavity generation of pulsed coherent radiation in the UV or XUV wavelength range
JP2009236791A (ja) * 2008-03-28 2009-10-15 Hitachi High-Technologies Corp 欠陥検査方法及び欠陥検査装置
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US7986412B2 (en) * 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
EP2601714A4 (en) * 2010-08-08 2014-12-17 Kla Tencor Corp DYNAMIC WAVE FRONT CONTROL OF A LASER SYSTEM WITH FREQUENCY CONVERSION
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
NL2008426A (en) * 2011-04-08 2012-10-09 Asml Netherlands Bv Lithographic apparatus, programmable patterning device and lithographic method.
JP2012243852A (ja) * 2011-05-17 2012-12-10 Renesas Electronics Corp 露光装置、露光方法、半導体装置の製造方法、検査装置、検査方法及びクリーニング方法
KR101704591B1 (ko) * 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
DE102012203442B4 (de) 2012-03-05 2021-08-05 Coretronic Corporation Beleuchtungsvorrichtung mit einer pumplaserreihe und verfahren zum betreiben dieser beleuchtungsvorrichtung
JP5947172B2 (ja) * 2012-09-19 2016-07-06 浜松ホトニクス株式会社 波長変換型空間光変調装置
CN104823112B (zh) * 2012-10-17 2018-06-29 Asml荷兰有限公司 用于光刻的传感器系统
JP6051361B2 (ja) * 2012-10-23 2016-12-27 株式会社ジェイテックコーポレーション 形状可変x線ミラーシステム
KR20140079616A (ko) * 2012-12-17 2014-06-27 삼성전자주식회사 극자외선 발생장치.
CN103149682B (zh) * 2013-03-13 2015-02-18 中国科学院上海光学精密机械研究所 用于波前相位校正的光控变形镜装置
CN105339844B (zh) * 2013-05-21 2019-04-26 Asml荷兰有限公司 检查方法和设备、用于在其中使用的衬底及器件制造方法
WO2014196450A1 (ja) * 2013-06-06 2014-12-11 浜松ホトニクス株式会社 補償光学システムの対応関係特定方法、波面歪み補償方法、補償光学システム、および補償光学システム用プログラムを記憶する記録媒体
CN103293663B (zh) * 2013-06-12 2015-04-22 中国科学院光电技术研究所 基于电压解耦控制多波前校正器的自适应光学系统
US10539772B2 (en) 2013-10-09 2020-01-21 Howard Hughes Medical Institute Multiview light-sheet microscopy
CN103630330B (zh) * 2013-11-04 2016-05-18 中国科学院光电技术研究所 一种光强可调的波前倾斜扰动误差模拟器
TWI646401B (zh) * 2013-12-19 2019-01-01 美商應用材料股份有限公司 帶有簡化光學元件的極紫外線(euv)基板檢查系統及其製造方法
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
EP3296723A1 (en) 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011139303A2 (en) * 2009-12-14 2011-11-10 Massachusetts Institute Of Technology Efficient high-harmonic-generation-based euv source driven by short wavelength light
US20140375981A1 (en) * 2013-01-14 2014-12-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US20150063385A1 (en) * 2013-09-04 2015-03-05 Tenio V. Popmintchev Generation of VUV, EUV, and X-ray Light Using VUV-UV-VIS Lasers
US20160091422A1 (en) * 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection Apparatus And Device Manufacturing Method

Also Published As

Publication number Publication date
JP2019529953A (ja) 2019-10-17
KR102256685B1 (ko) 2021-05-26
TW201930861A (zh) 2019-08-01
IL265282B1 (en) 2023-03-01
CN109716110A (zh) 2019-05-03
WO2018050350A1 (en) 2018-03-22
JP7271628B2 (ja) 2023-05-11
KR20190051029A (ko) 2019-05-14
IL265282A (en) 2019-05-30
TWI692634B (zh) 2020-05-01
JP6959339B2 (ja) 2021-11-02
US20180073992A1 (en) 2018-03-15
US10451559B2 (en) 2019-10-22
IL265282B2 (en) 2023-07-01
US10330606B2 (en) 2019-06-25
TWI657240B (zh) 2019-04-21
CN109716110B (zh) 2022-01-18
TW201823713A (zh) 2018-07-01
EP3296723A1 (en) 2018-03-21
KR102360940B1 (ko) 2022-02-08
US20190003981A1 (en) 2019-01-03
JP2022019719A (ja) 2022-01-27

Similar Documents

Publication Publication Date Title
KR102256685B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
US10649344B2 (en) Illumination source for an inspection apparatus, inspection apparatus and inspection method
IL259816A (en) Metrographic methods, a metrological device and a method of manufacturing a device
JP4611407B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、並びにデバイス製造方法
TWI435182B (zh) 角度分辨散射計及檢查方法
JP5284481B2 (ja) スキャトロメータおよびリソグラフィ装置
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
JP2008083032A (ja) 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
KR20190113932A (ko) 측정 방법의 성능을 예측하기 위한 방법 및 장치, 측정 방법 및 장치
KR20120044374A (ko) 리소그래피용 검사 장치
TWI794950B (zh) 波前度量衡感測器及其光罩、用於最佳化光罩之方法及相關裝置
EP3789809A1 (en) Assembly for collimating broadband radiation
TWI428583B (zh) 散射計方法及裝置、微影裝置、微影處理單元及器件製造方法
EP3792673A1 (en) Assembly for collimating broadband radiation

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant