KR20200107599A - 반도체장치 및 그 제조 방법 - Google Patents

반도체장치 및 그 제조 방법 Download PDF

Info

Publication number
KR20200107599A
KR20200107599A KR1020190026972A KR20190026972A KR20200107599A KR 20200107599 A KR20200107599 A KR 20200107599A KR 1020190026972 A KR1020190026972 A KR 1020190026972A KR 20190026972 A KR20190026972 A KR 20190026972A KR 20200107599 A KR20200107599 A KR 20200107599A
Authority
KR
South Korea
Prior art keywords
carbon
polysilicon layer
doped
boron
gate electrode
Prior art date
Application number
KR1020190026972A
Other languages
English (en)
Inventor
윤영광
손윤익
박지현
Original Assignee
에스케이하이닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이하이닉스 주식회사 filed Critical 에스케이하이닉스 주식회사
Priority to KR1020190026972A priority Critical patent/KR20200107599A/ko
Priority to CN201911265646.1A priority patent/CN111668095B/zh
Priority to US16/715,036 priority patent/US11152212B2/en
Publication of KR20200107599A publication Critical patent/KR20200107599A/ko
Priority to US17/482,081 priority patent/US11784051B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28105Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 기술은 도펀트 침투를 방지할 수 있는 반도체장치 및 그 제조 방법에 관한 것으로, 본 기술에 따른 반도체장치 제조 방법은 기판 상에 게이트절연물질을 형성하는 단계; 상기 게이트절연물질 상에 카본-언도프드 폴리실리콘층 및 카본-도프드 폴리실리콘층을 순차적으로 형성하는 단계; 상기 카본-도프드 폴리실리콘층에 도펀트를 도핑하는 단계; 상기 도펀트가 도핑된 카본-도프드 폴리실리콘층 상에 주상결정립 폴리실리콘층을 형성하는 단계; 및 상기 도펀트를 활성화시키기 위한 어닐링 단계를 포함할 수 있다.

Description

반도체장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}
본 발명은 반도체장치에 관한 것으로서, 보다 상세하게는 카본 도프드 폴리실리콘(Carbon-doped Polysilicon)을 포함하는 반도체장치 및 그 제조 방법에 관한 것이다.
반도체장치의 게이트스택은 게이트절연층 및 폴리실리콘층을 포함할 수 있다. 폴리실리콘층은 도전성 도펀트로 도핑될 수 있다. 폴리실리콘층 내부의 넷도핑(net doping)을 증가시키기 위해, 도펀트의 도핑에너지 및 도핑도즈를 증가시키고 있다.
그러나, 증가된 도핑에너지 및 도핑도즈에 의해 게이트절연층으로의 도펀트 침투(dopant penetration) 현상이 발생하고 있다.
본 발명의 실시예들은 도펀트 침투를 방지할 수 있는 반도체장치 및 그 제조 방법을 제공한다.
본 발명의 실시예에 따른 반도체장치 제조 방법은 기판 상에 게이트절연물질을 형성하는 단계; 상기 게이트절연물질 상에 카본-언도프드 폴리실리콘층 및 카본-도프드 폴리실리콘층을 순차적으로 형성하는 단계; 상기 카본-도프드 폴리실리콘층에 도펀트를 도핑하는 단계; 상기 도펀트가 도핑된 카본-도프드 폴리실리콘층 상에 주상결정립 폴리실리콘층을 형성하는 단계; 및 상기 도펀트를 활성화시키기 위한 어닐링 단계를 포함할 수 있다.
본 발명의 실시예에 따른 반도체장치 제조 방법은 기판 상에 게이트절연물질을 형성하는 단계; 상기 게이트절연물질 상에 카본-언도프드 폴리실리콘층 및 카본-도프드 폴리실리콘층을 순차적으로 형성하는 단계; 상기 카본-도프드 폴리실리콘층의 표면을 산화시켜 계면산화물질을 형성하는 단계; 상기 계면산화물질 및 카본-도프드 폴리실리콘층에 도펀트를 도핑하는 단계; 상기 도펀트가 도핑된 계면산화물질 상에 주상결정립 폴리실리콘층을 형성하는 단계; 및 상기 도펀트를 활성화시키기 위한 어닐링 단계를 포함할 수 있다.
본 발명의 실시예에 따른 반도체장치는, 기판 상의 게이트절연층; 및 상기 게이트절연층 상의 게이트전극을 포함하고, 상기 게이트전극은 상기 게이트절연층 상의 카본-언도프드 폴리실리콘층; 상기 카본-언도프드 폴리실리콘층 상의 카본-도프드 폴리실리콘층; 상기 카본-도프드 폴리실리콘층 상의 주상결정립 폴리실리콘층; 및 상기 카본-도프드 폴리실리콘층과 주상결정립 폴리실리콘층 사이에 형성된 도전성 계면산화물을 포함할 수 있다.
본 기술은 카본-도프드 폴리실리콘층 증착과 주상결정립 폴리실리콘층 증착 사이에 계면산화물 형성 및 도펀트 도핑을 수행하므로써, 카본에 의해 포획되는 도펀트 농도를 증가시킬 수 있다.
본 기술은 카본-도프드 폴리실리콘층 및 계면산화물에 의해 도펀트가 게이트절연층으로 침투하는 것을 방지할 수 있다.
본 기술은 주상결정립 폴리실리콘층이 카본-도프드 폴리실리콘층을 캡핑하므로, 카본-도프드 폴리실리콘층에 도핑된 도펀트의 외확산을 방지할 수 있다.
본 기술은 카본-언도프드 폴리실리콘층에 의해 게이트절연층의 손상을 최소화할 수 있다.
본 기술은 게이트전극의 폴리실리콘공핍율(PDR)을 개선하면서 동시에 게이트절연층의 전기적 특성을 개선할 수 있다.
도 1은 일 실시예에 따른 반도체장치의 구조를 도시한 단면도이다.
도 2a는 도 1의 게이트전극(G1) 내 카본 농도 프로파일을 설명하기 위한 도면이다.
도 2b는 도 1의 게이트전극(G1) 내 보론 농도 프로파일을 설명하기 위한 도면이다.
도 3a 내지 도 3c는 도 1의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 4는 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
도 5는 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
도 6a 내지 도 6c는 도 5의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 7은 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
도 8a 내지 도 8e는 도 7의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 9는 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
도 10a 내지 도 10f는 도 9의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 11은 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
도 12a 내지 도 12c는 도 11의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 13은 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
이하, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하기로 한다.
후술하는 실시예들은, 폴리실리콘층으로부터 게이트절연층으로의 도펀트 침투(dopant penetration)를 방지하기 위해, 카본-언도프드 폴리실리콘층(carbon-undoped polysilicon layer) 및 카본-도프드 폴리실리콘층(carbon-doped polysilicon layer)의 스택을 적용할 수 있다. 카본-도프드 폴리실리콘층을 적용함에 따라, 도핑에너지 및 도핑도즈를 높이지 않더라도 도펀트의 도핑농도를 충분히 높일 수 있다.
도 1은 일 실시예에 따른 반도체장치의 구조를 도시한 단면도이다.
도 1을 참조하면, 반도체장치(100)는 기판(101) 및 기판(101) 상의 게이트구조물(100G)을 포함할 수 있다. 게이트구조물(100G)은 게이트절연층(102G) 및 게이트전극(G1)의 스택일 수 있다. 게이트전극(G1)은 카본-언도프드 게이트전극(100U') 및 카본-도프드 게이트전극(100D')의 스택을 포함할 수 있다. 카본-언도프드 게이트전극(100U')은 게이트절연층(102G)에 직접 접촉할 수 있다.
기판(101)은 반도체 프로세싱에 적합한 물질을 포함할 수 있다. 기판(101)은 반도체기판을 포함할 수 있다. 기판(101)은 실리콘을 함유하는 물질로 이루어질 수 있다. 기판(101)은 실리콘, 단결정 실리콘, 폴리실리콘, 비정질 실리콘, 실리콘저마늄, 단결정 실리콘저마늄, 다결정 실리콘저마늄, 탄소 도핑된 실리콘, 그들의 조합 또는 그들의 다층을 포함할 수 있다. 기판(101)은 저마늄과 같은 다른 반도체물질을 포함할 수도 있다. 기판(101)은 Ⅲ/Ⅴ족 반도체기판, 예컨대 GaAs과 같은 화합물반도체기판을 포함할 수도 있다. 기판(101)은 SOI(Silicon On Insulator) 기판을 포함할 수도 있다.
게이트절연층(102G)은 실리콘산화물, 실리콘산화질화물 또는 이들의 조합을 포함할 수 있다. 본 실시예에서, 게이트절연층(102G)은 실리콘산화질화물(SiON)일 수 있다. 예를 들어, 실리콘산화질화물(SiON)을 형성하기 위해 실리콘산화물을 증착한 후 질화시킬 수 있다. 다른 실시예에서, 게이트절연층(102G)은 고유전율물질을 포함할 수 있다. 다른 실시예에서, 게이트절연층(102G)은 실리콘산화물과 고유전율물질의 스택을 포함할 수 있다. 다른 실시예에서, 게이트절연층(102G)은 실리콘산화질물과 고유전율물질의 스택을 포함할 수 있다.
카본-도프드 게이트전극(100D')은 카본(carbon, 110)이 도핑되어 있을 수 있고, 카본-언도프드 게이트전극(100U')은 카본(110)이 언도핑(Undoped)되어 있을 수 있다. 카본-도프드 게이트전극(100D') 및 카본-언도프드 게이트전극(100U')은 각각 도펀트(111, 111D)로 도핑되어 있을 수 있다. 도펀트(111, 111D)는 N형 도펀트 또는 P형 도펀트를 포함할 수 있다. 도펀트(111, 111D)는 보론(boron)을 포함할 수 있다. 도펀트(111, 111D)는 주입 도펀트(implanted dopant, 111) 및 확산 도펀트(diffused dopant, 111D)를 포함할 수 있다. 주입 도펀트(111)는 도핑 공정(doping process)에 의해 도핑된 도펀트를 지칭할 수 있고, 확산 도펀트(111D)는 주입 도펀트(111) 중에서 어닐링(annealing)에 의해 확산된 도펀트를 지칭할 수 있다. 후술하겠지만, 카본-도프드 게이트전극(100D')에 도펀트를 주입(Implantation)하고, 후속 어닐링에 의해 카본-도프드 게이트전극(100D')으로부터 카본-언도프드 게이트전극(100U')으로 도펀트가 확산될 수 있다. 카본-도프드 게이트전극(100D') 및 카본-언도프드 게이트전극(100U')은 폴리실리콘을 포함할 수 있다. 카본-도프드 게이트전극(100D') 및 카본-언도프드 게이트전극(100U')은 비-방향성 다결정 구조(random polycrystalline structure)를 갖는 폴리실리콘을 포함할 수 있다.
카본-언도프드 게이트전극(100U')은 확산 도펀트(111D)를 포함할 수 있다. 카본-도프드 게이트전극(100D')는 카본(110) 및 주입 도펀트(111)를 포함할 수 있다. 주입 도펀트(111) 및 확산 도펀트(111D)가 보론을 포함하므로, 카본-언도프드 게이트전극(100U')은 보론-도프드(boron-doped) 폴리실리콘일 수 있고, 카본-도프드 게이트전극(100D')은 카본 및 보론-도프드(carbon-doped and boron-doped) 폴리실리콘일 수 있다.
카본-도프드 게이트전극(100D')에서 카본(110)은 주입 도펀트(111)을 포획하는 역할을 할 수 있다. 따라서, 주입 도펀트(111)의 농도는 확산 도펀트(111D)의 농도보다 클 수 있다.
카본-도프드 게이트전극(100D')과 카본-언도프드 게이트전극(100U')은 패시베이션종(passivation species)을 더 포함할 수 있다. 패시베이션종은 불소(Fluorine)을 포함할 수 있다. 패시베이션종은 게이트절연층(102G)과 기판(101)의 계면에 발생된 댕글링본드(dangling bond)를 패시베이션할 수 있다. 이에 따라, 게이트절연층(102G)의 신뢰성을 향상시킬 수 있다. 불소는 주입 도펀트(111)와 함께 주입될 수 있고, 카본(110)에 의해 포획될 수 있다.
카본-도프드 게이트전극(100D')과 카본-언도프드 게이트전극(100U')은 동일 두께이거나 또는 서로 다른 두께일 수 있다. 예를 들어, 카본-도프드 게이트전극(100D')은 카본-언도프드 게이트전극(100U')보다 두꺼울 수 있다.
카본-도프드 게이트전극(100D')과 카본-언도프드 게이트전극(100U')은 각각 폴리실리콘을 포함하므로, 게이트전극(G1)은 더블 폴리실리콘 구조(Double polysilicon structure)일 수 있다.
도 2a는 도 1의 게이트전극(G1) 내 카본 농도 프로파일을 설명하기 위한 도면이고, 도 2b는 도 1의 게이트전극(G1) 내 보론 농도 프로파일을 설명하기 위한 도면이다.
도 2a를 참조하면, 게이트전극(G1)의 탑부에 대응하는 제1위치(P1), 카본-도프드 게이트전극(100D')과 카본-언도프드 게이트전극(100U')의 계면에 대응하는 제2위치(P2) 및 게이트전극(G1)의 바텀부에 대응하는 제3위치(P3)는 서로 다른 카본 농도를 가질 수 있다. 게이트전극(G1) 내 카본(110)의 농도는 제1프로파일 내지 제4프로파일(P11~P14) 중 어느 하나일 수 있다.
제1프로파일(P11)을 살펴보면, 카본-도프드 게이트전극(100D') 내에서 카본(110)이 고농도로 균일하게 도핑되고, 카본-언도프드 게이트전극(100U') 내에서는 카본이 도핑되지 않을 수 있다.
제2프로파일(P12)을 살펴보면, 카본-도프드 게이트전극(100D') 내에서 카본(110)이 고농도로 균일하게 도핑되고, 카본-언도프드 게이트전극(100U') 내에서는 제2위치(P2)로부터 제3위치(P3)로 갈수록 점차 감소되는 카본 농도를 가질 수 있다.
제3프로파일(P13)을 살펴보면, 카본-도프드 게이트전극(100D') 내에서 제1위치(P1)로부터 제2위치(P2)로 갈수록 점차 증가하는 카본 농도를 갖고, 카본-언도프드 게이트전극(100U') 내에서는 제2위치(P2)로부터 제3위치(P3)로 갈수록 점차 감소되는 카본 농도를 가질 수 있다. 따라서, 카본 농도는 제2위치(P2)에서 가장 높을 수 있다.
제4프로파일(P14)을 살펴보면, 카본-도프드 게이트전극(100D') 내에서 제1위치(P1)로부터 제2위치(P2)로 갈수록 점차 감소하는 카본 농도를 갖고, 카본-언도프드 게이트전극(100U') 내에서는 제2위치(P2)로부터 제3위치(P3)로 갈수록 점차 감소되는 카본 농도를 가질 수 있다. 따라서, 게이트전극(G1) 내에서 카본 농도는 제1위치(P1)로부터 제3위치(P3)로 갈수록 점차 감소되는 리니어 프로파일(Linear profile)을 가질 수 있다.
도 1의 게이트전극(G1)은 제1프로파일(P11)에 대응하는 카본 농도 프로파일을 가질 수 있다.
도 2b를 참조하면, 게이트전극(G1) 내에서 보론농도 프로파일(P15)은 제어될 수 있다. 게이트전극(G1) 내에서 보론이 제1 및 제2위치(P1, P2)에서 고농도로 균일하게 도핑되고, 제2위치(P2)로부터 제3위치(P3)로 갈수록 보론 농도가 점차 감소할 수 있다. 게이트절연층(102G) 내에는 보론이 확산되지 않을 수 있다.
상술한 바와 같은 반도체장치(100)는 확산 도펀트(111D)가 게이트절연층(102G)으로 침투하는 것을 방지할 수 있고, 게이트절연층(102G)의 손상을 방지할 수 있다.
도 3a 내지 도 3c는 도 1의 반도체장치를 제조하는 방법의 일예를 설명하기 위한 단면도이다.
도 3a에 도시된 바와 같이, 기판(101) 상에 게이트절연물질(102)이 형성될 수 있다.
기판(101)은 반도체 프로세싱에 적합한 물질을 포함할 수 있다. 기판(101)은 반도체기판을 포함할 수 있다. 기판(101)은 실리콘을 함유하는 물질로 이루어질 수 있다. 기판(101)은 실리콘, 단결정 실리콘, 폴리실리콘, 비정질 실리콘, 실리콘저마늄, 단결정 실리콘저마늄, 다결정 실리콘저마늄, 탄소 도핑된 실리콘, 그들의 조합 또는 그들의 다층을 포함할 수 있다. 기판(101)은 저마늄과 같은 다른 반도체물질을 포함할 수도 있다. 기판(101)은 Ⅲ/Ⅴ족 반도체기판, 예컨대 GaAs과 같은 화합물반도체기판을 포함할 수도 있다. 기판(101)은 SOI(Silicon On Insulator) 기판을 포함할 수도 있다.
게이트절연물질(102)은 실리콘산화물, 실리콘산화질화물 또는 이들의 조합을 포함할 수 있다. 본 실시예에서, 게이트절연물질(102)은 실리콘산화질화물(SiON)일 수 있다. 예를 들어, 실리콘산화질화물(SiON)을 형성하기 위해 실리콘산화물을 증착한 후 질화시킬 수 있다.
게이트절연물질(102) 상에 제1실리콘함유물질(103)이 형성될 수 있다. 제1실리콘함유물질(103)은 폴리실리콘을 포함할 수 있다. 제1실리콘함유물질(103)은 도전성 도펀트가 도핑되지 않은 언도프드(Undoped) 상태일 수 있다. '언도프드'란 도전성 도펀트가 없는 '도전성 도펀트 미함유'를 의미할 수 있다. 제1실리콘함유물질(103)은 카본과 같은 포획종을 포함하지 않을 수 있다. 제1실리콘함유물질(103)은 언도프드 폴리실리콘을 포함할 수 있다.
제1실리콘함유물질(103) 상에 제2실리콘함유물질(104)이 형성될 수 있다. 제2실리콘함유물질(104)은 폴리실리콘을 포함할 수 있다. 제2실리콘함유물질(104)은 도전성 도펀트가 도핑되지 않은 언도프드(Undoped) 상태일 수 있다. 제2실리콘함유물질(104)은 카본(110)을 함유할 수 있다. 여기서, 도전성 도펀트는 N형 또는 P형과 같은 도전성을 부여하기 위한 물질이고, 카본(110)은 도전성 도펀트를 포획하는 물질일 수 있다. 카본(110)은 도전성에 영향을 미치지 않는 물질로서, 비-도전성 도펀트라고 지칭할 수도 있다. 카본(110)은 후속 도핑공정들에 의해 주입되는 도전성 도펀트 및 확산되는 도전성 도펀트를 포획하는 역할을 한다. 제2실리콘함유물질(104)은 언도프드 폴리실리콘(Undoped polysilicon)을 포함할 수 있고, 언도프드 폴리실리콘은 카본이 함유된 언도프드 폴리실리콘(carbon containing-undoped polysilicon)일 수 있다.
이하, 제1실리콘함유물질(103)을 카본-언도프드 폴리실리콘층(103)이라고 약칭하고, 제2실리콘함유물질(104)을 카본-도프드 폴리실리콘층(104)이라고 약칭하기로 한다. 카본-언도프드 폴리실리콘층(103) 및 카본-도프드 폴리실리콘층(104)은 비-방향성 다결정 구조를 가질 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 인시튜로(In-situ) 형성할 수 있다. 카본-도프드 폴리실리콘층(104) 형성시 카본(110)을 인시튜 도핑할 수 있다. 한편, 카본(110)의 함량이 높으면 도전성 도펀트의 활성화를 억제하게 되므로, 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 1010 atoms/cm3의 이하의 농도를 가질 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 증착시 인시튜로 도핑될 수 있으며, 예를 들어, 카본-도프드 폴리실리콘층(104) 증착시 실리콘소스물질 및 카본소스물질을 흘려줄 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 균일하게 분포할 수 있다. 즉, 카본(110)의 농도가 카본-도프드 폴리실리콘층(104)의 두께에 따라 일정할 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 화학기상증착(CVD) 또는 원자층증착(ALD)을 사용하여 증착될 수 있다. 카본-언도프드 폴리실리콘층(103)은 제1실리콘소스물질(first silicon source material)을 이용하여 증착할 수 있고, 카본-도프드 폴리실리콘층(104)은 제2실리콘소스물질(second silicon source material)과 카본소스물질(carbon source material)을 이용하여 증착할 수 있다.
카본-언도프드 폴리실리콘층(103) 증착시 제1실리콘소스물질로서 모노실레인(Mono-silane, SiH4)을 사용할 수 있다. 카본-언도프드 폴리실리콘층(103)은 '모노실레인 베이스(mono-silane base) 폴리실리콘층'이라고 지칭할 수 있다.
카본-도프드 폴리실리콘층(104) 증착시 제2실리콘소스물질로서 모노실레인(SiH4) 또는 디실레인(Disilane, Si2H6)을 사용할 수 있고, 카본소스물질로는 카본수소화합물, 예를 들어 C2H4를 사용할 수 있다.
카본-도프드 폴리실리콘층(104)의 전체 영역에서 카본(110)은 균일한 농도일 수 있다. 다른 실시예에서, 카본(110)은 카본-도프드 폴리실리콘층(104)의 두께에 따라 점진적으로(gradually) 감소하거나 점진적으로 증가하는 농도를 가질 수 있다. 일 예로, 카본-도프드 폴리실리콘층(104)과 카본-언도프드 폴리실리콘층(103)의 계면에서 가장 높은 농도일 수 있고, 카본-도프드 폴리실리콘층(104)의 표면에서 가장 낮은 농도일 수 있다. 또한, 카본-도프드 폴리실리콘층(104)과 카본-언도프드 폴리실리콘층(103)의 계면에서 가장 낮은 농도일 수 있고, 카본-도프드 폴리실리콘층(104)의 표면에서 가장 높은 농도일 수 있다. 카본(110)의 농도가 점진적으로 변화하는 카본-도프드 폴리실리콘층(104)은 카본 그레이디드(carbon graded) 도프드 폴리실리콘층(104)이라고 지칭될 수 있다.
다른 실시예에서, 카본(110)은 카본-도프드 폴리실리콘층(104)의 중간영역에서 가장 높은 농도를 가질 수 있다.
카본-언도프드 폴리실리콘층(103)은 100Å 이상의 두께일 수 있고, 카본-도프드 폴리실리콘층(104)은 100Å 이상의 두께일 수 있다. 카본-언도프드 폴리실리콘층(103)은 카본-도프드 폴리실리콘층(104)보다 얇을 수 있다.
도 3b에 도시된 바와 같이, 카본-도프드 폴리실리콘층(104)에 도핑공정(121)이 수행될 수 있다. 도핑공정(121)은 도펀트(111)의 도핑 공정일 수 있다. 도펀트(111)는 도전성 도펀트로서, P형 도펀트 또는 N형 도펀트를 포함할 수 있다. 예컨대, 도펀트(111)는 보론(Boron)과 같은 P형 도펀트를 포함할 수 있고, 인(P) 또는 비소(As)와 같은 N형 도펀트를 포함할 수 있다. 이하, 설명의 편의를 위해 도펀트(111)를 '보론(111)'이라고 약칭한다.
보론(111)의 도핑공정(121)은 보론(B), BF2 또는 BF3를 보론소스물질로 사용할 수 있다. 보론(111)의 도핑공정(121)은 이온빔임플란트(Ion beam Implant), 플라즈마도핑(Plasma doping, PLAD) 또는 이들의 조합을 포함할 수 있다. 보론(111)의 도핑공정(121)은 보론(B) 이온빔 임플란트, BF2 이온빔 임플란트 또는 BF3 플라즈마도핑을 포함할 수 있다. 보론(111)의 도핑공정(121)은 보론(B) 이온빔 임플란트와 불소(F) 이온빔임플란트를 순차적으로 수행할 수도 있다. 불소(F) 이온빔임플란트, BF2 이온빔임플란트 및 BF3 플라즈마도핑에 의해 카본-도프드 폴리실리콘층(104)에 불소가 도핑될 수 있다. 불소는 패시베이션종으로서, 후속 공정에서 게이트절연물질(102)과 기판(101)의 계면에 발생된 댕글링본드(dangling bond)를 패시베이션할 수 있다. 불소 패시베이션에 의해 게이트절연물질(102)의 신뢰성을 향상시킬 수 있다. 이와 같이, 불소는 보론(111)과 함께 카본-도프드 폴리실리콘층(104)에 주입될 수 있다. 불소는 카본-도프드 폴리실리콘층(104)의 카본(110)에 포획될 수 있고, 이에 따라 불소의 외확산(outdiffusion)이 방지되어 패시베이션효율을 향상시킬 수 있다. 불소는 카본-언도프드 폴리실리콘층(103)에 도핑될 수도 있다.
도핑공정(121)에 의해 보론(111)으로 도핑된 카본-도프드 폴리실리콘층(104)은 보론 및 카본-도프드 폴리실리콘층(boron and carbon-doped polysilicon layer, 104D)이 될 수 있다.
보론 및 카본-도프드 폴리실리콘층(104D)은 보론 및 카본이 모두 도핑된 도프드 폴리실리콘층일 수 있다. 보론(111)은 카본(110)에 포획되어 보론 및 카본-도프드 폴리실리콘층(104D) 내에 축적(pile-up)될 수 있다.
도핑공정(121) 진행시에, 카본-언도프드 폴리실리콘층(103)에도 소량의 보론(111)이 도핑될 수도 있다. 그렇다 할지라도, 대부분의 보론(111)은 카본(110)에 포획되어 의해 보론 및 카본-도프드 폴리실리콘층(104D) 내에 위치할 수 있다.
도핑된 보론(111)은 주입 보론(Implanted boron)이라고 지칭할 수 있다.
도 3c에 도시된 바와 같이, 어닐링(131)이 수행될 수 있다. 어닐링(131)에 의해 도펀트확산이 이루어질 수 있다. 어닐링(131)은 활성화 어닐링(activation annealing)이라고 지칭될 수 있고, 어닐링(131)에 의해 도펀트가 활성화될 수 있다. 도펀트 활성화 및 확산은 보론(111)의 활성화 및 확산을 포함할 수 있다.
도펀트 확산은 보론 및 카본-도프드 폴리실리콘층(104D) 내에서 보론(111)이 균일하게 확산되는 것을 지칭할 수 있다. 또한, 도펀트확산은 보론 및 카본-도프드 폴리실리콘층(104D)으로부터 카본-언도프드 폴리실리콘층(103)의 내부로 보론(111)이 확산되는 것을 지칭할 수 있다. 대부분의 보론(111)은 보론 및 카본-도프드 폴리실리콘층(104D) 내에 위치할 수 있다. 보론 및 카본-도프드 폴리실리콘층(104D)의 카본(110)은 대부분의 보론(111)을 포획하므로, 보론(111)이 카본-언도프드 폴리실리콘층(103)으로 확산되는 것을 최소화할 수 있다.
어닐링(131)이 수행되는 동안에, 보론(111)의 지속적인 확산이 일어날 수 있다. 그렇다할지라도, 보론 및 카본-도프드 폴리실리콘층(104D)으로부터 확산되는 확산 보론(111D)은 소량일 수 있다. 보론(111)의 소량 확산에 의해 카본-언도프드 폴리실리콘층(103)은 보론-도프드 폴리실리콘층(103D)이 될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 보론(111D)을 포함할 수 있다. 보론-도프드 폴리실리콘층(103D)은 카본이 도핑되지 않을 수 있다. 보론-도프드 폴리실리콘층(103D)의 보론 농도는 보론 및 카본-도프드 폴리실리콘층(103D)의 보론 농도보다 극히 낮을 수 있다.
한편, 어닐링(131)이 수행되는 동안에, 보론-도프드 폴리실리콘층(103D)의 확산 보론(111D)이 게이트절연물질(102)과의 계면으로 확산될 수는 있으나, 게이트절연물질(102)로 침투하지 않을 수 있다.
상술한 바와 같이, 어닐링(131)이 수행되더라도, 대부분의 보론(111)은 카본(110)에 의해 포획되므로 게이트절연물질(102)로 침투하지 않을 수 있다. 따라서, 폴리실리콘공핍율(PDR)을 개선할 수 있다.
어닐링(131)이 수행되는 동안에, 보론 및 카본-도프드 폴리실리콘층(104D)의 카본(110)에 의해 불소의 외확산이 방지될 수 있다. 여기서, 불소의 외확산은 보론 및 카본-도프드 폴리실리콘층(104D)의 외부로 탈리되는 현상을 지칭할 수 있다. 불소는 카본(110)에 의해 포획되어 있으므로, 외확산이 억제될 수 있다. 카본이 도핑되지 않은 보론-도프드 폴리실리콘층(103D)으로부터 불소가 보론 및 카본-도프드 폴리실리콘층(104D)으로 외확산될 수 있으나, 외확산되는 불소는 보론 및 카본-도프드 폴리실리콘층(104D)의 카본(110)에 의해 포획될 수 있다. 카본(110)에 의해 포획된 불소는 보론 및 카본-도프드 폴리실리콘층(104D)에 축적될 수 있고, 축적된 불소는 후속 공정에서 불소 패시베이션 효율을 향상시킬 수 있다.
어닐링(131) 후에, 게이트절연물질(102) 상에 보론-도프드 폴리실리콘층(103D)과 보론 및 카본-도프드 폴리실리콘층(104D)의 스택이 형성될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 보론(111D) 및 불소를 포함할 수 있고, 보론 및 카본-도프드 폴리실리콘층(104D)은 카본(110), 보론(111) 및 불소를 포함할 수 있다.
후속하여 보론 및 카본-도프드 폴리실리콘층(104D), 보론-도프드 폴리실리콘층(103D) 및 게이트절연물질(102)을 순차적으로 식각할 수 있다. 이에 따라, 도 1에 도시된 바와 같이, 게이트구조물(100G)이 형성될 수 있다.
게이트구조물(100G)은 게이트절연층(102G) 및 게이트전극(G1)의 스택일 수 있다. 게이트전극(G1)은 카본-언도프드 게이트전극(100U') 및 카본-언도프드 게이트전극(100U') 상의 카본-도프드 게이트전극(100D')을 포함하는 적층구조일 수 있다. 카본-도프드 게이트전극(100D')은 카본(110)을 함유할 수 있고, 카본-언도프드 게이트전극(100U')은 카본(110)을 함유하지 않을 수 있다. 카본-언도프드 게이트전극(100U')은 확산 보론(111D)을 포함할 수 있고, 보론 및 카본-도프드 게이트전극(100D')은 보론(111)을 포함할 수 있다. 카본-언도프드 게이트전극(100U') 및 카본-도프드 게이트전극(100D')은 패시베이션종으로서 불소를 포함할 수 있다. 카본-언도프드 게이트전극(100U')은 보론-도프드 폴리실리콘층(103D)의 식각에 의해 형성될 수 있다. 카본-도프드 게이트전극(100D')은 보론 및 카본-도프드 폴리실리콘층(104D)의 식각에 의해 형성될 수 있다. 게이트절연층(102G)은 게이트절연물질(102)의 식각에 의해 형성될 수 있다.
도 4는 다른 실시예에 따른 반도체장치의 구조를 도시한 도면이다. 도 4의 반도체장치(120)는 도 1의 반도체장치(100)와 유사할 수 있다.
도 4를 참조하면, 반도체장치(120)는 기판(101) 및 기판(101) 상의 게이트구조물(120G)을 포함할 수 있다. 게이트구조물(120G)은 게이트절연층(102G) 및 게이트전극(G2)의 스택일 수 있다. 게이트전극(G2)은 카본-언도프드 게이트전극(100U) 및 카본-도프드 게이트전극(100D)의 스택을 포함할 수 있다.
카본-도프드 게이트전극(100D)은 카본(110)이 도핑되어 있을 수 있고, 카본-언도프드 게이트전극(100U)은 카본(110)이 언도핑(Undoped)되어 있을 수 있다. 카본-도프드 게이트전극(100D) 및 카본-언도프드 게이트전극(100U)은 각각 도펀트(111, 111D)로 도핑되어 있을 수 있다. 도펀트(111, 111D)는 N형 도펀트 또는 P형 도펀트를 포함할 수 있다. 도펀트(111, 111D)는 보론을 포함할 수 있다. 도펀트(111, 111D)는 주입 도펀트(111) 및 확산 도펀트(111D)를 포함할 수 있다. 주입 도펀트(111)는 도핑 공정에 의해 도핑된 도펀트를 지칭할 수 있고, 확산 도펀트(111D)는 주입 도펀트(111) 중에서 어닐링에 의해 확산된 도펀트를 지칭할 수 있다. 전술한 바와 같이, 카본-도프드 게이트전극(100D)에 도펀트를 주입하고, 후속 어닐링에 의해 카본-도프드 게이트전극(100D)으로부터 카본-언도프드 게이트전극(100U)으로 도펀트가 확산될 수 있다. 카본-도프드 게이트전극(100D) 및 카본-언도프드 게이트전극(100U)은 비-방향성 결정구조의 폴리실리콘을 포함할 수 있다.
카본-언도프드 게이트전극(100U)은 확산 도펀트(111D)를 포함할 수 있다. 카본-도프드 게이트전극(100D)는 카본(110) 및 주입 도펀트(111)를 포함할 수 있다. 주입 도펀트(111) 및 확산 도펀트(111D)가 보론을 포함하므로, 카본-언도프드 게이트전극(100U)은 보론-도프드 폴리실리콘일 수 있고, 카본-도프드 게이트전극(100D)은 카본 및 보론-도프드 폴리실리콘일 수 있다.
카본-도프드 게이트전극(100D)과 카본-언도프드 게이트전극(100U)은 패시베이션종을 더 포함할 수 있다. 패시베이션종은 불소(Fluorine)을 포함할 수 있다. 패시베이션종은 게이트절연층(102G)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 이에 따라, 게이트절연층(102G)의 신뢰성을 향상시킬 수 있다. 불소는 주입 도펀트(111)와 함께 주입될 수 있고, 카본(110)에 의해 포획될 수 있다.
카본-도프드 게이트전극(100D)에서 카본(110)은 주입 도펀트(111)을 포획하는 역할을 할 수 있다. 따라서, 주입 도펀트(111)의 농도는 확산 도펀트(111D)의 농도보다 클 수 있다.
카본-언도프드 게이트전극(100U)은 극히 얇을 수 있다. 예를 들어, 도 1의 카본-언도프드 게이트전극(100U')보다 얇을 수 있다(D2 < D1).
카본-언도프드 게이트전극(100U)은 디실레인(Disilane)-베이스 폴리실리콘층으로 형성될 수 있다. 도 1의 카본-언도프드 게이트전극(100U')은 모노실레인-베이스 폴리실리콘층으로 형성될 수 있다. 디실레인(Disilane)-베이스 폴리실리콘층은 모노실레인(mono-isilane)-베이스 폴리실리콘층보다 더 얇고 컨포멀하게(conformally) 형성할 수 있다. 디실레인-베이스 폴리실리콘층은 30Å 이하의 얇은 두께로 증착이 가능하다. 따라서, 카본-언도프드 게이트전극(100U)은 30Å 이하의 얇은 두께일 수 있다. 카본-도프드 게이트전극(100D)은 모노실레인-베이스 폴리실리콘층 또는 디실레인-베이스 폴리실리콘층을 포함할 수 있다.
도 4의 반도체장치(120)는 카본-언도프드 게이트전극(100U)의 두께를 감소시켜, 카본-도프드 게이트전극(100D)의 두께를 증가시킬 수 있다. 따라서, 카본-도프드 게이트전극(100D)의 점유 두께를 최대한 증가시키고, 카본-언도프드 게이트전극(100U)의 점유 두께를 최대할 감소시킬 수 있다.
카본-도프드 게이트전극(100D)의 두께를 증가시키면, 카본-도프드 게이트전극(100D)의 카본 농도를 자유롭게 조절 가능하다. 카본-언도프드 게이트전극(100U)의 두께를 감소시키면 게이트전극(G2)의 높이를 감소키는데 유리하다. 또한, 카본-도프드 게이트전극(100D)의 두께를 증가시키므로, 보론(111)의 수직 확산(Vertical diffusion)뿐만 아니라 측면 확산(lateral diffusion)을 방지하여 상호확산(interdiffusion)을 억제할 수 있다. 여기서, 측면확산은 CMOSFET의 듀얼 폴리실리콘게이트 형성시, NMOSFET를 위한 N형 폴리실리콘과 PMOSFET를 위한 P형 폴리실리콘 사이의 상호확산을 지칭할 수 있다.
카본-언도프드 게이트전극(100U)을 컨포멀하게 얇게 형성하므로, 카본-언도프드 게이트전극(100U)의 두께를 감소시킬 수 있다. 이에 따라, 게이트전극(G2)의 높이를 감소키는데 유리하다. 또한, 카본-언도프드 게이트전극(100U)에 의해 게이트절연층(102G)의 어택을 방지할 수 있다.
도 5는 다른 실시예에 따른 반도체장치를 설명하기 위한 단면도이다.
도 5를 참조하면, 반도체장치(200)는 기판(101) 및 기판(101) 상의 게이트구조물(200G)을 포함할 수 있다. 게이트구조물(200G)은 게이트절연층(102G) 및 게이트전극(G3)의 스택일 수 있다. 게이트전극(G3)은 카본-언도프드 게이트전극(201), 카본-도프드 게이트전극(202) 및 주상 결정립 게이트전극(203)의 순서로 적층된 스택을 포함할 수 있다. 카본-언도프드 게이트전극(201)은 게이트절연층(102G)에 직접 접촉할 수 있다. 기판(101) 및 게이트절연층(102G)에 대한 설명은 도 1을 참조할 수 있다.
카본-언도프드 게이트전극(201) 및 카본-도프드 게이트전극(202)은 비-방향성 결정구조를 가질 수 있고, 주상 결정립 게이트전극(203)은 주상 결정립 구조를 가질 수 있다. 주상 결정립 구조는 방향성 결정 구조일 수 있고, 이에 따라 비-방향성 결정구조보다 도펀트의 확산이 빠를 수 있다. 비-방향성 결정구조는 '비-주상결정립 결정구조'라고 지칭할 수도 있다.
카본-도프드 게이트전극(202)은 카본(110)이 도핑되어 있을 수 있고, 카본-언도프드 게이트전극(201) 및 주상결정립 게이트전극(203)은 카본(110)이 언도핑(Undoped)되어 있을 수 있다. 카본-언도프드 게이트전극(201), 카본-도프드 게이트전극(202) 및 주상 결정립 게이트전극(203)은 각각 도펀트(111, 111D)로 도핑되어 있을 수 있다. 도펀트(111, 111D)는 N형 도펀트 또는 P형 도펀트를 포함할 수 있다. 도펀트(111, 111D)는 보론을 포함할 수 있다. 도펀트(111, 111D)는 주입 도펀트(111) 및 확산 도펀트(111D)를 포함할 수 있다. 주입 도펀트(111)는 도핑 공정에 의해 도핑된 도펀트를 지칭할 수 있고, 확산 도펀트(111D)는 주입 도펀트(111) 중에서 어닐링에 의해 확산된 도펀트를 지칭할 수 있다. 후술하겠지만, 주상결정립 게이트전극(203)에 도펀트를 주입하고, 후속 어닐링에 의해 주상결정립 게이트전극(203)으로부터 카본-도프드 게이트전극(202) 및 카본-언도프드 게이트전극(201)으로 도펀트가 확산될 수 있다. 카본-도프드 게이트전극(202) 및 카본-언도프드 게이트전극(201)은 비-방향성 다결정 폴리실리콘층을 포함할 수 있다. 주상 결정립 게이트전극(203)은 주상 결정립 폴리실리콘층일 수 있다.
카본-도프드 게이트전극(202) 및 카본-언도프드 게이트전극(201)은 확산 도펀트(111D)를 포함할 수 있다. 주상결정립 게이트전극(203)은 주입도펀트(111)를 포함할 수 있다. 카본-도프드 게이트전극(202)은 카본(110) 및 확산 도펀트(111D)를 포함할 수 있다. 주입 도펀트(111) 및 확산 도펀트(111D)가 보론을 포함하므로, 카본-언도프드 게이트전극(201) 및 주상결정립 게이트전극(203)은 보론-도프드 폴리실리콘일 수 있고, 카본-도프드 게이트전극(202)은 보론 및 카본-도프드 폴리실리콘일 수 있다.
카본-도프드 게이트전극(202), 카본-언도프드 게이트전극(201) 및 주상 결정립 게이트전극(203)은 패시베이션종을 더 포함할 수 있다. 패시베이션종은 불소(Fluorine)를 포함할 수 있다. 패시베이션종은 게이트절연층(102G)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 이에 따라, 게이트절연층(102G)의 신뢰성을 향상시킬 수 있다. 불소는 주입 도펀트(111)와 함께 주입될 수 있고, 카본(110)에 의해 포획될 수 있다. 불소는 카본-도프드 게이트전극(202)에 축적되어 있을 수 있고, 이에 따라 불소 패시베이션 효율을 개선시킬 수 있다.
카본-도프드 게이트전극(202)에서 카본(110)은 확산 도펀트(111D)를 포획하는 역할을 할 수 있다. 따라서, 카본-도프드 게이트전극(202)의 확산 도펀트(111D)의 농도는 카본-언도프드 게이트전극(201)의 확산 도펀트(111D)의 농도보다 클 수 있다.
주상결정립 게이트전극(203) 및 카본-도프드 게이트전극(202)은 동일 두께일 수 있다. 카본-언도프드 게이트전극(201)은 카본-도프드 게이트전극(202)보다 얇을 수 있다. 예를 들어, 카본-언도프드 게이트전극(201)은 30Å 이하의 두께일 수 있다. 카본-언도프드 게이트전극(201)은 디실레인-베이스 폴리실리콘층을 포함할 수 있다. 주상결정립 게이트전극(203) 및 카본-도프드 게이트전극(202)은 모노실레인-베이스 폴리실리콘층 또는 디실레인-베이스 폴리실리콘층을 포함할 수 있다.
주상결정립 게이트전극(203), 카본-도프드 게이트전극(202) 및 카본-언도프드 게이트전극(201)은 각각 폴리실리콘을 포함하므로, 게이트전극(G3)은 트리플 폴리실리콘 구조(Triple polysilicon structure)일 수 있다.
주상결정립 게이트전극(203)의 주상 결정립계(Columnar crystalline boundary)에 의해 주입 도펀트(111)가 빠르게 확산될 수 있다. 이에 따라, 카본-도프드 게이트전극(202)의 확산 도펀트(111D)의 농도를 높게 유지할 수 있다.
카본-도프드 게이트전극(202) 및 카본-언도프드 게이트전극(201)은 비-방향성 다결정이므로, 확산 도펀트(111D)가 게이트절연층(102G)으로 확산하는 것을 억제할 수 있다. 게다가, 카본-도프드 게이트전극(202)의 카본(110)은 확산 도펀트(111D)를 포획하므로, 확산 도펀트(111D)가 게이트절연층(102G)으로 확산하는 것을 더욱 억제할 수 있다.
위와 같이, 주상결정립 게이트전극(203) 및 카본-도프드 게이트전극(201)에 의해 폴리실리콘공핍율(PDR)을 개선할 수 있다. 아울러, 주입 도펀트(111)의 농도가 낮더라도 폴리실리콘공핍율(PDR)을 개선할 수 있다.
카본-도프드 게이트전극(202) 및 주상결정립 게이트전극(203)의 스택은, 주입 도펀트(111)의 수직 프로파일(Vertical profile)을 극대화할 수 있고, 측면 확산을 더욱 방지할 수 있다.
카본-언도프드 게이트전극(201), 카본-도프드 게이트전극(202) 및 주상결정립 게이트전극(203)의 스택에 의해 확산 도펀트(111D)의 포획 효율을 증가시켜 폴리실리콘공핍율(PDR)을 개선할 수 있다.
카본-언도프드 게이트전극(201)에 의해 게이트절연층(102G)의 누설전류를 방지할 수 있다.
도 6a 내지 도 6c는 도 5의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 6a에 도시된 바와 같이, 기판(101) 상에 게이트절연물질(102)이 형성될 수 있다. 게이트절연물질(102) 상에 카본-언도프드 폴리실리콘층(103)이 형성될 수 있다. 카본-언도프드 폴리실리콘층(103)은 도전성 도펀트가 도핑되지 않은 언도프드 상태일 수 있다. 카본-언도프드 폴리실리콘층(103)은 카본을 포함하지 않을 수 있다. 카본-언도프드 폴리실리콘층(103)은 극히 얇을 수 있다. 카본-언도프드 폴리실리콘층(103)은 디실레인(Disilane)-베이스 폴리실리콘층으로 형성될 수 있다. 디실레인-베이스 폴리실리콘층은 모노실레인-베이스 폴리실리콘층보다 더 얇고 컨포멀하게 형성할 수 있다. 디실레인-베이스 폴리실리콘층은 30Å 이하의 얇은 두께로 증착이 가능하다. 따라서, 카본-언도프드 폴리실리콘층(103)은 30Å 이하의 얇은 두께일 수 있다.
다음으로, 카본-언도프드 폴리실리콘층(103) 상에 카본-도프드 폴리실리콘층(104)이 형성될 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 인시튜로 형성할 수 있다. 카본-도프드 폴리실리콘층(104) 형성시 카본(110)을 인시튜 도핑할 수 있다. 한편, 카본(110)의 함량이 높으면 도전성 도펀트의 활성화를 억제하게 되므로, 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 1010 atoms/cm3의 이하의 농도를 가질 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 증착시 인시튜로 도핑될 수 있으며, 예를 들어, 카본-도프드 폴리실리콘층(104) 증착시 실리콘소스물질 및 카본소스물질을 흘려줄 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 균일하게 분포할 수 있다. 즉, 카본(110)의 농도가 카본-도프드 폴리실리콘층(104)의 두께에 따라 일정할 수 있다. 다른 실시예에서, 카본-도프드 폴리실리콘층(104)은 카본 그레이디드 도프드 폴리실리콘층일 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 화학기상증착(CVD) 또는 원자층증착(ALD)을 사용하여 증착될 수 있다. 카본-언도프드 폴리실리콘층(103)은 제1실리콘소스물질을 이용하여 증착할 수 있고, 카본-도프드 폴리실리콘층(104)은 제2실리콘소스물질과 카본소스물질을 이용하여 증착할 수 있다.
카본-언도프드 폴리실리콘층(103) 증착시 제1실리콘소스물질로서 디실레인(Si2H6)을 사용할 수 있다.
카본-도프드 폴리실리콘층(104) 증착시 제2실리콘소스물질로서 모노실레인(SiH4) 또는 디실레인(Si2H6)을 사용할 수 있고, 카본소스물질로는 카본수소화합물, 예를 들어 C2H4를 사용할 수 있다.
카본-언도프드 폴리실리콘층(103)은 카본-도프드 폴리실리콘층(104)보다 얇을 수 있다. 카본-언도프드 폴리실리콘층(103)은 30Å 이하의 두께일 수 있고, 카본-도프드 폴리실리콘층(104)은 100Å 이상의 두께일 수 있다. 카본-도프드 폴리실리콘층(104)의 두께를 증가시키기 위해, 카본-도프드 폴리실리콘층(104) 증착시 모노실레인(SiH4)을 사용할 수 있다.
카본-도프드 폴리실리콘층(104) 상에 인시튜로 주상결정립 폴리실리콘층(105)이 형성될 수 있다. 주상결정립 폴리실리콘층(105)은 주상결정립들(105G) 및 결정립계(105B)를 포함할 수 있다. 주상결정립 폴리실리콘층(105)은 카본-도프드 폴리실리콘층(104)과 동일 두께일 수 있고, 카본-언도프드 폴리실리콘층(103)보다 두꺼운 두께일 수 있다. 주상결정립 폴리실리콘층(105)은 카본이 도핑되거나 카본이 언도핑될 수 있다. 주상결정립 폴리실리콘층(105) 증착시 실리콘소스물질로서 모노실레인(SiH4) 또는 디실레인(Si2H6)을 사용할 수 있다. 주상결정립 폴리실리콘층(105)은 주상결정립들(105G) 및 결정립계(105B)를 갖도록 카본-도프드 폴리실리콘층(104)보다 더 높은 온도에서 형성될 수 있다.
도 6b에 도시된 바와 같이, 주상결정립 폴리실리콘층(105)에 도핑공정(121)이 수행될 수 있다. 도핑공정(121)은 N형 도펀트 또는 P형 도펀트의 도핑 공정일 수 있다. 도핑 공정(121)은 예컨대, 보론(Boron, 111)을 도핑할 수 있다. 보론(111)의 도핑공정(121)은 B, BF2 또는 BF3를 보론소스로 사용할 수 있다. 보론(111)의 도핑공정(121)은 이온빔임플란트, 플라즈마도핑(PLAD) 또는 이들의 조합을 포함할 수 있다.
보론(111)의 도핑공정(121)은 보론(B) 이온빔 임플란트, BF2 이온빔임플란트 또는 BF3 플라즈마도핑을 포함할 수 있다. 보론(111)의 도핑공정(121)은 보론(B) 이온빔 임플란트와 불소(F) 이온빔임플란트를 순차적으로 수행할 수도 있다. 불소(F) 이온빔임플란트, BF2 이온빔임플란트 및 BF3 플라즈마도핑에 의해 카본-도프드 폴리실리콘층(104)에 불소가 도핑될 수 있다. 불소는 패시베이션종으로서, 후속 공정에서 게이트절연물질(102)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 불소 패시베이션에 의해 게이트절연물질(102)의 신뢰성을 향상시킬 수 있다. 이와 같이, 불소는 보론(111)과 함께 카본-도프드 폴리실리콘층(104)에 주입될 수 있다. 불소는 카본-도프드 폴리실리콘층(104)의 카본(110)에 포획될 수 있고, 이에 따라 불소의 외확산이 방지되어 패시베이션효율을 향상시킬 수 있다.
보론(111)의 도핑공정(121)으로서 이온빔 임플란트를 적용하는 경우, Rp(projected range)를 주상결정립 폴리실리콘층(105)과 카본-도프드 폴리실리콘층(104)의 경계에 맞추어 진행할 수 있다.
도핑공정(121)에 의해 보론(111)으로 도핑된 주상결정립 폴리실리콘층(105)은 보론-도프드 주상결정립 폴리실리콘층(105D)이 될 수 있다.
다른 실시예로서, 보론(111)의 도핑공정(121)은 카본-도프드 폴리실리콘층(104) 형성 이후에 수행될 수도 있다. 주상결정립 폴리실리콘층(105)에는 보론(111)의 도핑공정(121)이 수행되지 않을 수 있다. 이러한 경우, 보론(111)의 도핑공정(121)으로서 플라즈마도핑을 적용할 수 있다.
도 6c에 도시된 바와 같이, 어닐링(131)이 수행될 수 있다. 어닐링(131)에 의해 도펀트확산이 이루어질 수 있다. 어닐링(131)은 활성화 어닐링이라고 지칭될 수 있고, 어닐링(131)에 의해 도펀트가 활성화될 수 있다. 도펀트 활성화 및 도펀트 확산은 보론(111)의 활성화 및 확산을 포함할 수 있다.
어닐링(131)이 수행되는 동안에, 보론-도프드 주상결정립 폴리실리콘층(105D) 내에서 보론(111)이 빠르게 확산될 수 있다. 즉, 결정립계(도 6a의 105B)를 따라 보론(111)이 빠르게 확산될 수 있다. 또한, 보론-도프드 주상결정립 폴리실리콘층(105D)으로부터 카본-도프드 폴리실리콘층(104)의 내부로 보론(111)이 확산될 수 있다. 보론-도프드 주상결정립 폴리실리콘층(105D)으로부터 확산되는 대부분의 확산 보론(111D)은 카본-도프드 폴리실리콘층(104) 내에 위치할 수 있다. 확산 보론(111D)이 도핑된 카본-도프드 폴리실리콘층(104)은 '보론 및 카본-도프드 폴리실리콘층(104D)'이라고 약칭할 수 있다. 확산 보론(111D)은 카본(110)에 포획되어 보론 및 카본-도프드 폴리실리콘층(104D) 내에 축적(pile-up)될 수 있다. 보론 및 카본-도프드 폴리실리콘층(104D)의 카본(110)은 대부분의 확산 보론(111D)을 포획하므로, 확산 보론(111D)이 카본-언도프드 폴리실리콘층(103)으로 확산되는 것을 최소화할 수 있다.
어닐링(131)이 수행되는 동안에, 보론(111)의 지속적인 확산이 일어날 수 있다. 그렇다할지라도, 보론 및 카본-도프드 폴리실리콘층(104D)으로부터 확산되는 확산 보론(111D)은 소량일 수 있다. 보론(111)의 소량 확산에 의해 카본-언도프드 폴리실리콘층(103)은 보론-도프드 폴리실리콘층(103D)이 될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 보론(111D)을 포함할 수 있다. 보론-도프드 폴리실리콘층(103D)은 카본이 도핑되지 않을 수 있다. 보론-도프드 폴리실리콘층(103D)의 보론 농도는 보론 및 카본-도프드 폴리실리콘층(103D)의 보론 농도보다 극히 낮을 수 있다.
한편, 어닐링(131)이 수행되는 동안에, 보론-도프드 폴리실리콘층(103D)의 확산 보론(111D)이 게이트절연물질(102)과의 계면으로 확산될 수는 있으나, 게이트절연물질(102)로 침투하지 않을 수 있다.
상술한 바와 같이, 어닐링(131)이 수행되더라도, 대부분의 보론(111D)은 카본(110)에 의해 포획되므로 게이트절연물질(102)로 침투하지 않을 수 있다. 따라서, 폴리실리콘공핍율(PDR)을 개선할 수 있다.
어닐링(131)이 수행되는 동안에, 보론 및 카본-도프드 폴리실리콘층(104D)의 카본(110)에 의해 불소의 외확산이 방지될 수 있다. 여기서, 불소의 외확산은 보론 및 카본-도프드 폴리실리콘층(104D)의 외부로 탈리되는 현상을 지칭할 수 있다. 불소는 카본(110)에 의해 포획되어 있으므로, 외확산이 억제될 수 있다. 카본이 도핑되지 않은 보론-도프드 폴리실리콘층(103D)으로부터 불소가 보론 및 카본-도프드 폴리실리콘층(104D) 및 보론-도프드 주상결정립 폴리실리콘층(105D)으로 외확산될 수 있으나, 외확산되는 불소는 보론 및 카본-도프드 폴리실리콘층(104D)의 카본(110)에 의해 포획될 수 있다. 카본(110)에 의해 포획된 불소는 보론 및 카본-도프드 폴리실리콘층(104D)에 축적될 수 있고, 축적된 불소는 후속 공정에서 불소 패시베이션 효율을 향상시킬 수 있다.
어닐링(131) 후에, 게이트절연물질(102) 상에 보론-도프드 폴리실리콘층(103D), 보론 및 카본-도프드 폴리실리콘층(104D) 및 보론-도프드 주상결정립 폴리실리콘층(105D)의 스택이 형성될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 보론(111D)으로 도핑될 수 있고, 보론 및 카본-도프드 폴리실리콘층(104D)은 카본(110) 및 확산 보론(111D)으로 도핑될 수 있다. 보론-도프드 주상결정립 폴리실리콘층(105D)은 보론(111)으로 도핑될 수 있다.
후속하여, 보론-도프드 주상결정립 폴리실리콘층(105D), 보론 및 카본-도프드 폴리실리콘층(104D), 보론-도프드 폴리실리콘층(103D) 및 게이트절연물질(102)을 순차적으로 식각할 수 있다. 이에 따라, 도 5에 도시된 바와 같이, 게이트구조물(200G)이 형성될 수 있다.
게이트구조물(200G)은 게이트절연층(102G) 및 게이트전극(G3)의 스택일 수 있다. 게이트전극(G3)은 카본-언도프드 게이트전극(201), 카본-도프드 게이트전극(202) 및 주상결정립 게이트전극(203)을 포함하는 적층구조일 수 있다. 카본-도프드 게이트전극(202)은 카본(110)을 함유할 수 있고, 카본-언도프드 게이트전극(201) 및 주상결정립 게이트전극(203)은 카본(110)을 함유하지 않을 수 있다. 카본-언도프드 게이트전극(201)은 확산 보론(111D)을 포함할 수 있고, 보론 및 카본-도프드 게이트전극(202)은 확산 보론(111D)을 포함할 수 있다. 주상결정립 게이트전극(203)은 보론(111)을 포함할 수 있다. 카본-언도프드 게이트전극(201) 및 카본-도프드 게이트전극(202) 및 주상결정립 게이트전극(203)은 패시베이션종으로서 불소를 포함할 수 있다. 카본-언도프드 게이트전극(201)은 보론-도프드 폴리실리콘층(103D)의 식각에 의해 형성될 수 있다. 카본-도프드 게이트전극(202)는 보론 및 카본-도프드 폴리실리콘층(104D)의 식각에 의해 형성될 수 있다. 주상결정립 게이트전극(203)은 보론-도프드 주상결정립 폴리실리콘층(105D)의 식각에 의해 형성될 수 있다. 게이트절연층(102G)은 게이트절연물질(102)의 식각에 의해 형성될 수 있다.
도 7은 다른 실시예에 따른 반도체장치를 설명하기 위한 단면도이다.
도 7을 참조하면, 반도체장치(300)는 기판(101) 및 기판(101) 상의 게이트구조물(300G)을 포함할 수 있다. 게이트구조물(300G)은 게이트절연층(102G) 및 게이트전극(G4)의 스택일 수 있다. 게이트전극(G4)은 카본-언도프드 게이트전극(301), 카본-도프드 게이트전극(302) 및 주상 결정립 게이트전극(303)의 스택을 포함할 수 있다. 카본-언도프드 게이트전극(301)은 게이트절연층(102G)에 직접 접촉할 수 있다. 기판(101) 및 게이트절연층(102G)에 대한 설명은 도 1을 참조할 수 있다.
카본-도프드 게이트전극(302)은 카본(110)이 도핑되어 있을 수 있고, 카본-언도프드 게이트전극(301) 및 주상결정립 게이트전극(303)은 카본(110)이 언도핑(Undoped)되어 있을 수 있다. 카본-언도프드 게이트전극(301), 카본-도프드 게이트전극(302) 및 주상 결정립 게이트전극(303)은 각각 도펀트(111D, 111, 112D, 112)로 도핑되어 있을 수 있다. 도펀트(111D, 111, 112D, 112)는 N형 도펀트 또는 P형 도펀트를 포함할 수 있다. 도펀트(111D, 111, 112D, 111)는 보론을 포함할 수 있다. 도펀트(111D, 111, 112D, 112)는 주입 도펀트(111, 112) 및 확산 도펀트(111D, 112D)를 포함할 수 있다. 주입 도펀트(111, 112)는 도핑 공정에 의해 도핑된 도펀트를 지칭할 수 있고, 확산 도펀트(111D, 112D)는 주입 도펀트(111, 112) 중에서 어닐링에 의해 확산된 도펀트를 지칭할 수 있다. 후술하겠지만, 카본-도프드 게이트전극(302)에 주입 도펀트(111)를 도핑하고, 주상결정립 게이트전극(303)에 주입 도펀트(112)를 도핑하며, 후속 어닐링에 의해 주입 도펀트들(111, 112)이 확산될 수 있다. 주상결정립 게이트전극(303), 카본-도프드 게이트전극(302) 및 카본-언도프드 게이트전극(301)은 폴리실리콘을 포함할 수 있다. 카본-언도프드 게이트전극(301) 및 카본-도프드 게이트전극(302)은 비-방향성 다결정 폴리실리콘층을 포함할 수 있다. 주상결정립 게이트전극(303)은 주상결정립 폴리실리콘층을 포함할 수 있다.
카본-도프드 게이트전극(302)은 확산 도펀트(112D)를 포함할 수 있고, 카본-언도프드 게이트전극(301)은 확산 도펀트(111D)를 포함할 수 있다. 카본-도프드 게이트전극(302)은 주입도펀트(111)를 포함할 수 있고, 주상결정립 게이트전극(303)은 주입도펀트(112)를 포함할 수 있다. 카본-도프드 게이트전극(302)은 카본(110), 주입 도펀트(111) 및 확산 도펀트(112D)를 포함할 수 있다. 주입 도펀트(111, 112) 및 확산 도펀트(111D, 112D)가 보론을 포함하므로, 카본-언도프드 게이트전극(301) 및 주상결정립 게이트전극(303)은 보론-도프드 폴리실리콘일 수 있고, 카본-도프드 게이트전극(302)은 카본 및 보론-도프드 폴리실리콘일 수 있다.
다른 실시예에서, 주상결정립 게이트전극(303)은 주입도펀트(112)를 포함하지 않을 수 있고, 이 경우, 카본-도프드 게이트전극(302)은 확산 도펀트(112D)를 포함하지 않을 수 있다. 따라서, 게이트전극(G4)은 주입도펀트(111) 및 확산 도펀트(111D)를 포함할 수 있고, 주입도펀트(112) 및 확산 도펀트(112D)를 포함하지 않을 수 있다.
다른 실시예에서, 카본-도프드 게이트전극(302)은 주입도펀트(111)를 포함하지 않을 수 있고, 이 경우, 카본-언도프드 게이트전극(301)은 확산 도펀트(111D)를 포함하지 않을 수 있다. 따라서, 게이트전극(G4)은 주입도펀트(112) 및 확산 도펀트(112D)를 포함할 수 있고, 주입도펀트(111) 및 확산 도펀트(111D)를 포함하지 않을 수 있다.
카본-도프드 게이트전극(302)과 카본-언도프드 게이트전극(301)은 패시베이션종을 더 포함할 수 있다. 패시베이션종은 불소(F)를 포함할 수 있다. 패시베이션종은 게이트절연층(102G)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 이에 따라, 게이트절연층(102G)의 신뢰성을 향상시킬 수 있다. 불소는 주입 도펀트(111, 112)와 함께 주입될 수 있고, 카본(110)에 의해 포획될 수 있다.
카본-도프드 게이트전극(302)에서 카본(110)은 확산 도펀트(112D) 및 주입도펀트(111)를 포획하는 역할을 할 수 있다.
주상결정립 게이트전극(303) 및 카본-도프드 게이트전극(302)은 동일 두께일 수 있다. 카본-언도프드 게이트전극(301)은 카본-도프드 게이트전극(302)보다 얇을 수 있다. 예를 들어, 카본-언도프드 게이트전극(301)은 30Å 이하의 두께일 수 있다.
주상결정립 게이트전극(303), 카본-도프드 게이트전극(302) 및 카본-언도프드 게이트전극(301)은 각각 폴리실리콘을 포함하므로, 게이트전극(G4)은 트리플 폴리실리콘 구조일 수 있다.
주상결정립 게이트전극(303)의 주상결정립에 의해 주입 도펀트(112)의 빠른 확산이 용이할 수 있다. 카본-도프드 게이트전극(302)은 확산 도펀트(112D) 및 주입 도펀트(111)를 포획하므로, 폴리실리콘공핍율(PDR)을 개선할 수 있다. 주상결정립 게이트전극(303) 및 카본-도프드 게이트전극(302)을 형성하므로, 적은 농도의 주입 도펀트(111, 112)로도 폴리실리콘공핍율을 개선할 수 있다.
카본-도프드 게이트전극(302) 및 주상결정립 게이트전극(303)의 스택은, 주입 도펀트(111, 112)의 수직 프로파일(Vertical profile)을 극대화할 수 있고, 측면 확산을 더욱 방지할 수 있다.
카본-언도프드 게이트전극(301), 카본-도프드 게이트전극(302) 및 주상결정립 게이트전극(303)의 스택에 의해 주입 도펀트(111) 및 확산 도펀트(112D)의 포획 효율을 증가시켜 폴리실리콘공핍율(PDR)을 개선할 수 있다.
카본-언도프드 게이트전극(301)에 의해 게이트절연층(102G)의 누설전류를 방지할 수 있다.
도 8a 내지 도 8e는 도 7의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 8a에 도시된 바와 같이, 기판(101) 상에 게이트절연물질(102)이 형성될 수 있다. 게이트절연물질(102) 상에 카본-언도프드 폴리실리콘층(103)이 형성될 수 있다. 카본-언도프드 폴리실리콘층(103)은 도전성 도펀트가 도핑되지 않은 언도프드 상태일 수 있다. 카본-언도프드 폴리실리콘층(103)은 카본을 포함하지 않을 수 있다. 카본-언도프드 폴리실리콘층(103)은 극히 얇을 수 있다. 카본-언도프드 폴리실리콘층(103)은 디실레인(Disilane)-베이스 폴리실리콘층으로 형성될 수 있다. 디실레인(Disilane)-베이스 폴리실리콘층은 모노실레인(mono-isilane)-베이스 폴리실리콘층보다 더 얇고 컨포멀하게 형성할 수 있다. 디실레인(Disilane)-베이스 폴리실리콘층은 30Å 이하의 얇은 두께로 증착이 가능하다. 따라서, 카본-언도프드 폴리실리콘층(103)은 30Å 이하의 얇은 두께일 수 있다.
다음으로, 카본-언도프드 폴리실리콘층(103) 상에 카본-도프드 폴리실리콘층(104)이 형성될 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 인시튜로 형성할 수 있다. 카본-도프드 폴리실리콘층(104) 형성시 카본(110)을 인시튜 도핑할 수 있다. 한편, 카본(110)의 함량이 높으면 도전성 도펀트의 활성화를 억제하게 되므로, 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 1010 atoms/cm3의 이하의 농도를 가질 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 증착시 인시튜로 도핑될 수 있으며, 예를 들어, 카본-도프드 폴리실리콘층(104) 증착시 실리콘소스물질 및 카본소스물질을 흘려줄 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 균일하게 분포할 수 있다. 즉, 카본(110)의 농도가 카본-도프드 폴리실리콘층(104)의 두께에 따라 일정할 수 있다. 다른 실시예에서, 카본-도프드 폴리실리콘층(104)은 카본 그레이디드 도프드 폴리실리콘층일 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 화학기상증착(CVD) 또는 원자층증착(ALD)을 사용하여 증착될 수 있다. 카본-언도프드 폴리실리콘층(103)은 제1실리콘소스물질을 이용하여 증착할 수 있고, 카본-도프드 폴리실리콘층(104)은 제2실리콘소스물질과 카본소스물질을 이용하여 증착할 수 있다.
카본-언도프드 폴리실리콘층(103) 증착시 제1실리콘소스물질로서 디실레인(Si2H6)을 사용할 수 있다.
카본-도프드 폴리실리콘층(104) 증착시 제2실리콘소스물질로서 모노실레인(SiH4) 또는 디실레인(Si2H6)을 사용할 수 있고, 카본소스물질로는 카본수소화합물, 예를 들어 C2H4를 사용할 수 있다.
카본-언도프드 폴리실리콘층(103)은 카본-도프드 폴리실리콘층(104)보다 얇을 수 있다. 카본-언도프드 폴리실리콘층(103)은 30Å 이하의 두께일 수 있고, 카본-도프드 폴리실리콘층(104)은 100Å 이상의 두께일 수 있다. 카본-도프드 폴리실리콘층(104)의 두께를 증가시키기 위해, 카본-도프드 폴리실리콘층(104) 증착시 모노실레인(SiH4)을 사용할 수 있다.
도 8b에 도시된 바와 같이, 카본-도프드 폴리실리콘층(104)에 제1도핑공정(121)이 수행될 수 있다. 제1도핑공정(121)은 N형 도펀트 또는 P형 도펀트의 도핑 공정일 수 있다. 예컨대, 제1도핑공정(121)은 제1보론(111)을 도핑할 수 있다. 제1보론(111)의 제1도핑공정(121)은 B, BF2 또는 BF3를 보론소스로 사용할 수 있다. 제1보론(111)의 제1도핑공정(121)은 이온빔임플란트, 플라즈마도핑(PLAD) 또는 이들의 조합을 포함할 수 있다. 제1보론(111) 외에 다른 P형 도펀트 또는 N형 도펀트를 도핑할 수도 있다.
제1보론(111)의 제1도핑공정(121)은 보론(B) 이온빔 임플란트, BF2 이온빔임플란트 또는 BF3 플라즈마도핑을 포함할 수 있다. 제1보론(111)의 제1도핑공정(121)은 보론(B) 이온빔 임플란트와 불소(F) 이온빔임플란트를 순차적으로 수행할 수도 있다. 불소(F) 이온빔임플란트, BF2 이온빔임플란트 및 BF3 플라즈마도핑에 의해 카본-도프드 폴리실리콘층(104)에 불소가 도핑될 수 있다. 불소는 패시베이션종으로서, 후속 공정에서 게이트절연물질(102)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 불소 패시베이션에 의해 게이트절연물질(102)의 신뢰성을 향상시킬 수 있다. 이와 같이, 불소는 제1보론(111)과 함께 카본-도프드 폴리실리콘층(104)에 주입될 수 있다. 불소는 카본-도프드 폴리실리콘층(104)의 카본(110)에 포획될 수 있고, 이에 따라 불소의 외확산이 방지되어 패시베이션효율을 향상시킬 수 있다. 불소는 카본-언도프드 폴리실리콘층(103)에 도핑될 수도 있다.
제1도핑공정(121)에 의해 보론 및 카본-도프드 폴리실리콘층(104D)이 형성될 수 있고, 보론 및 카본-도프드 폴리실리콘층(104D)은 제1보론(111)으로 도핑된 카본-도프드 폴리실리콘층(104)을 지칭할 수 있다.
보론 및 카본-도프드 폴리실리콘층(104D)은 보론 및 카본이 모두 도핑된 도프드 폴리실리콘층일 수 있다. 제1보론(111)은 카본(110)에 포획되어 보론 및 카본-도프드 폴리실리콘층(104D) 내에 축적(pile-up)될 수 있다.
제1도핑공정(121) 진행시에, 카본-언도프드 폴리실리콘층(103)에도 소량의 제1보론(111)이 도핑될 수도 있다. 그렇다 할지라도, 대부분의 제1보론(111)은 카본(110)에 포획되어 의해 보론 및 카본-도프드 폴리실리콘층(104D) 내에 위치할 수 있다.
위와 같이, 후속의 주상결정립 폴리실리콘층(105) 증착 이전에 제1보론(111)의 제1도핑공정(121)을 수행하므로, 보론 및 카본-도프드 폴리실리콘층(104D)의 보론 농도를 증가시킬 수 있다. 아울러, 보론 및 카본-도프드 폴리실리콘층(104D) 내에서 제1보론(111)의 포획량을 증가시킬 수 있다.
도 8c에 도시된 바와 같이, 보론 및 카본-도프드 폴리실리콘층(104D) 상에 주상결정립 폴리실리콘층(105)이 형성될 수 있다. 주상결정립 폴리실리콘층(105)은 주상결정립들(105G) 및 결정립계(105B)를 포함할 수 있다. 주상결정립 폴리실리콘층(105)은 보론 및 카본-도프드 폴리실리콘층(104D)과 동일 두께일 수 있고, 카본-언도프드 폴리실리콘층(103)보다 두꺼운 두께일 수 있다. 주상결정립 폴리실리콘층(105)은 카본-언도프드 주상결정립 폴리실리콘층일 수 있다.
도 8d에 도시된 바와 같이, 주상결정립 폴리실리콘층(105)에 제2도핑공정(122)이 수행될 수 있다. 제2도핑공정(122)은 다른 도펀트(another dopant)의 도핑 공정일 수 있다. 다른 도펀트는 제1도핑공정(121)의 도펀트와 동일 물질일 수 있다. 제2도핑공정(122)은 N형 도펀트 또는 P형 도펀트의 도핑 공정일 수 있고, 예컨대, 제2보론(112)을 도핑할 수 있다. 제2보론(112)의 제2도핑공정(122)은 B, BF2 또는 BF3를 보론소스로 사용할 수 있다. 제2보론(112)의 제2도핑공정(122)은 이온빔임플란트, 플라즈마도핑(PLAD) 또는 이들의 조합을 포함할 수 있다.
제2보론(112)의 제2도핑공정(122)은 보론(B) 이온빔 임플란트, BF2 이온빔임플란트 또는 BF3 플라즈마도핑을 포함할 수 있다. 제2보론(112)의 제2도핑공정(122)은 보론(B) 이온빔 임플란트와 불소(F) 이온빔임플란트를 순차적으로 수행할 수도 있다. 불소(F) 이온빔임플란트, BF2 이온빔임플란트 및 BF3 플라즈마도핑에 의해 주상결정립 폴리실리콘층(105)에 불소가 도핑될 수 있다. 불소는 패시베이션종으로서, 후속 공정에서 게이트절연물질(102)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 불소 패시베이션에 의해 게이트절연물질(102)의 신뢰성을 향상시킬 수 있다. 이와 같이, 불소는 제2보론(112)과 함께 주상 결정립 폴리실리콘층(105)에 주입될 수 있다. 일부 불소는 카본-도프드 폴리실리콘층(104)의 카본(110)에 포획될 수 있다.
제2도핑공정(122)에 의해 보론-도프드 주상결정립 폴리실리콘층(105D)이 형성될 수 있고, 보론-도프드 주상결정립 폴리실리콘층(105D)은 제2보론(112)으로 도핑된 주상결정립 폴리실리콘층(105)을 지칭할 수 있다.
다른 실시예로서, 제1보론(111)의 제1도핑공정(121)은 플라즈마도핑을 적용하고, 제2보론(112)의 제2도핑공정(122)은 이온빔 임플란트를 적용할 수 있다. 제2도핑공정(122)으로서 이온빔 임플란트를 적용하는 경우에는, Rp를 주상결정립 폴리실리콘층(105)과 보론 및 카본-도프드 폴리실리콘층(104D)의 경계에 맞추어 진행할 수 있다.
도 8e에 도시된 바와 같이, 어닐링(131)이 수행될 수 있다. 어닐링(131)에 의해 도펀트확산이 이루어질 수 있다. 어닐링(131)은 활성화 어닐링이라고 지칭될 수 있고, 어닐링(131)에 의해 도펀트가 활성화될 수 있다. 도펀트 활성화 및 확산은 제2보론(112) 및 제1보론(111)의 활성화 및 확산을 포함할 수 있다.
어닐링(131)이 수행되는 동안에, 보론-도프드 주상결정립 폴리실리콘층(105D) 내에서 제2보론(112)이 빠르게 확산될 수 있다. 즉, 결정립계(도 8c의 105B)를 따라 제2보론(112)이 빠르게 확산될 수 있다. 또한, 보론-도프드 주상결정립 폴리실리콘층(105D)으로부터 보론 및 카본-도프드 폴리실리콘층(104D)의 내부로 제2보론(112)이 확산될 수 있다. 보론-도프드 주상결정립 폴리실리콘층(105D)으로부터 확산된 대부분의 확산 제2보론(112D)은 보론 및 카본-도프드 폴리실리콘층(104D) 내에 위치할 수 있다. 확산 제2보론(112D)이 도핑된 보론 및 카본-도프드 폴리실리콘층(104D")은 확산 제2보론(112D) 및 제1보론(111)을 포함할 수 있다. 확산 제2보론(112D) 및 제1보론(111)은 카본(110)에 포획되어 보론 및 카본-도프드 폴리실리콘층(104D") 내에 축적될 수 있다. 보론 및 카본-도프드 폴리실리콘층(104D")의 카본(110)은 대부분의 확산 제2보론(112D) 및 제1보론(111)을 포획하므로, 확산 제2보론(112D) 및 제1보론(111)이 카본-언도프드 폴리실리콘층(103)으로 확산되는 것을 최소화할 수 있다.
어닐링(131)이 수행되는 동안에, 제2보론(112) 및 제1보론(111)의 지속적인 확산이 일어날 수 있다. 그렇다할지라도, 보론 및 카본-도프드 폴리실리콘층(104D")으로부터 확산되는 확산 제1보론(111D)은 소량일 수 있다. 제1보론(111)의 소량 확산에 의해 카본-언도프드 폴리실리콘층(103)은 보론-도프드 폴리실리콘층(103D)이 될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 제1보론(111D)을 포함할 수 있다. 보론-도프드 폴리실리콘층(103D)은 카본이 도핑되지 않을 수 있다. 보론-도프드 폴리실리콘층(103D) 내 보론 농도는 보론 및 카본-도프드 폴리실리콘층(104D) 내 보론 농도보다 극히 낮을 수 있다.
한편, 어닐링(131)이 수행되는 동안에, 보론-도프드 폴리실리콘층(103D)의 확산 제1보론(111D)이 게이트절연물질(102)과의 계면으로 확산될 수는 있으나, 게이트절연물질(102)로 침투하지 않을 수 있다.
상술한 바와 같이, 어닐링(131)이 수행되더라도, 대부분의 제1보론(111) 및 확산 제2보론(112D)은 카본(110)에 의해 포획되므로 게이트절연물질(102)로 침투하지 않을 수 있다. 따라서, 폴리실리콘공핍율(PDR)을 개선할 수 있다.
어닐링(131)이 수행되는 동안에, 보론 및 카본-도프드 폴리실리콘층(104D")의 카본(110)에 의해 불소의 외확산이 방지될 수 있다. 불소는 카본(110)에 의해 포획되어 있으므로, 외확산이 억제될 수 있다. 카본이 도핑되지 않은 보론-도프드 폴리실리콘층(103D)으로부터 불소가 보론 및 카본-도프드 폴리실리콘층(104D") 및 보론-도프드 주상결정립 폴리실리콘층(105D)으로 외확산될 수 있으나, 외확산되는 불소는 보론 및 카본-도프드 폴리실리콘층(104D")의 카본(110)에 의해 포획될 수 있다. 카본(110)에 의해 포획된 불소는 보론 및 카본-도프드 폴리실리콘층(104D")에 축적될 수 있고, 축적된 불소는 후속 공정에서 불소 패시베이션 효율을 향상시킬 수 있다.
어닐링(131) 후에, 게이트절연물질(102) 상에 보론-도프드 폴리실리콘층(103D), 보론 및 카본-도프드 폴리실리콘층(104D") 및 보론-도프드 주상결정립 폴리실리콘층(105D)의 스택이 형성될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 보론(111D)으로 도핑될 수 있고, 보론 및 카본-도프드 폴리실리콘층(104D")은 카본(110), 제1보론(111), 확산 보론(112D)으로 도핑될 수 있다. 보론-도프드 주상결정립 폴리실리콘층(105D)은 제2보론(112)으로 도핑될 수 있다.
후속하여 보론-도프드 주상결정립 폴리실리콘층(105D), 보론 및 카본-도프드 폴리실리콘층(104D"), 보론-도프드 폴리실리콘층(103D) 및 게이트절연물질(102)이 순차적으로 식각될 수 있다. 이에 따라, 도 7에 도시된 바와 같이, 게이트구조물(300G)이 형성될 수 있다.
게이트구조물(300G)은 게이트절연층(102G) 및 게이트전극(G4)의 스택일 수 있다. 게이트전극(G4)은 카본-언도프드 게이트전극(301), 카본-도프드 게이트전극(302) 및 주상결정립 게이트전극(303)을 포함하는 적층구조일 수 있다. 카본-도프드 게이트전극(302)은 카본(110)을 함유할 수 있고, 카본-언도프드 게이트전극(301) 및 주상결정립 게이트전극(303)은 카본(110)을 함유하지 않을 수 있다. 카본-언도프드 게이트전극(301)은 확산 제1보론(111D)을 포함할 수 있고, 보론 및 카본-도프드 게이트전극(302)은 제1보론(111) 및 확산 제2보론(112D)을 포함할 수 있다. 주상결정립 게이트전극(303)은 제2보론(112)을 포함할 수 있다. 카본-언도프드 게이트전극(301) 및 카본-도프드 게이트전극(302) 및 주상결정립 게이트전극(303)은 패시베이션종으로서 불소를 포함할 수 있다. 카본-언도프드 게이트전극(301)은 보론-도프드 폴리실리콘층(103D)의 식각에 의해 형성될 수 있다. 카본-도프드 게이트전극(302)는 보론 및 카본-도프드 폴리실리콘층(104D")의 식각에 의해 형성될 수 있다. 주상결정립 게이트전극(303)은 보론-도프드 주상결정립 폴리실리콘층(105D)의 식각에 의해 형성될 수 있다. 게이트절연층(102G)은 게이트절연물질(102)의 식각에 의해 형성될 수 있다.
상술한 바와 같은 실시예는, 카본-도프드 폴리실리콘층(104)과 주상결정립 폴리실리콘층(105) 사이에 제1도핑공정(121)을 진행할 수 있다. 카본-도프드 폴리실리콘층(104)에 카본(110)을 함유시켜, 보론의 균일한 도핑을 가능하게 할 수 있다.
카본-도프드 폴리실리콘층(104) 증착 후에 제1도핑공정(121)을 진행하므로써 카본-도프드 폴리실리콘층(104)의 도펀트(즉, 보론) 농도를 증가시킬 수 있다.
제2도핑공정(122) 진행시 주상결정립 폴리실리콘층(105)에 의해 보론 및 카본-도프드 폴리실리콘층(104D)이 물리적으로 캡핑되므로, 제1보론(111)의 외확산을 방지할 수 있다.
카본-언도프드 폴리실리콘층(103)을 삽입하므로, 게이트절연층(102G)의 손상을 최소화할 수 있다.
결국, 실시예는, 폴리실리콘공핍율(PDR)을 개선하면서 동시에 NBTI(Negative Bias Temperature Instability)의 개선이 가능하다.
도 8a 내지 도 8e에 도시된 실시예는, 제1보론(111)의 제1도핑공정(121)과 제2보론(112)의 제2도핑공정(122)을 모두 실시하고 있다.
보론 도핑 공정의 다른 실시예들로서, 제2보론(112)의 제2도핑공정(122)을 생략하고, 제1보론(111)의 제1도핑공정(121)만을 실시할 수 있다. 또한, 제1보론(111)의 제1도핑공정(121)을 생략하고, 제2보론(112)의 제2도핑공정(122)만을 실시할 수도 있다.
도 9는 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
도 9를 참조하면, 반도체장치(400)는 기판(101) 및 기판(101) 상의 게이트구조물(400G)을 포함할 수 있다. 게이트구조물(400G)은 게이트절연층(102G) 및 게이트전극(G5)의 스택일 수 있다. 게이트전극(G5)은 카본-언도프드 게이트전극(401), 카본-도프드 게이트전극(402), 계면산화물(404) 및 주상 결정립 게이트전극(403)의 스택을 포함할 수 있다. 카본-언도프드 게이트전극(401)은 게이트절연층(102G)에 직접 접촉할 수 있다. 기판(101) 및 게이트절연층(102G)에 대한 설명은 도 1을 참조할 수 있다.
카본-도프드 게이트전극(402)은 카본(110)이 도핑되어 있을 수 있고, 카본-언도프드 게이트전극(401) 및 주상결정립 게이트전극(403)은 카본(110)이 언도핑(Undoped)되어 있을 수 있다. 카본-언도프드 게이트전극(401), 카본-도프드 게이트전극(402) 및 주상 결정립 게이트전극(403)은 각각 도펀트(111D, 111, 112D, 112)로 도핑되어 있을 수 있다. 도펀트(111D, 111, 112D, 112)는 N형 도펀트 또는 P형 도펀트를 포함할 수 있다. 도펀트(111D, 111, 112D, 111)는 보론을 포함할 수 있다. 도펀트(111D, 111, 112D, 112)는 주입 도펀트(111, 112) 및 확산 도펀트(111D, 112D)를 포함할 수 있다. 주입 도펀트(111, 112)는 도핑 공정에 의해 도핑된 도펀트를 지칭할 수 있고, 확산 도펀트(111D, 112D)는 주입 도펀트(111, 112) 중에서 어닐링에 의해 확산된 도펀트를 지칭할 수 있다. 후술하겠지만, 카본-도프드 게이트전극(402)에 주입 도펀트(111)를 도핑하고, 주상결정립 게이트전극(403)에 주입 도펀트(112)를 도핑하며, 후속 어닐링에 의해 주입 도펀트들(111, 112)이 확산될 수 있다. 카본-도프드 게이트전극(402) 및 카본-언도프드 게이트전극(401)은 비-방향성 다결정 폴리실리콘층을 포함할 수 있다. 주상 결정립 게이트전극(403)은 주상 결정립 폴리실리콘층일 수 있다.
카본-도프드 게이트전극(402) 및 카본-언도프드 게이트전극(401)은 각각 확산 도펀트(112D, 111D)를 포함할 수 있다. 카본-도프드 게이트전극(402) 및 주상결정립 게이트전극(403)은 주입도펀트(111, 112)를 포함할 수 있다. 카본-도프드 게이트전극(402)은 카본(110), 주입 도펀트(111) 및 확산 도펀트(112D)를 포함할 수 있다. 주입 도펀트(111, 112) 및 확산 도펀트(111D, 112D)가 보론을 포함하므로, 카본-언도프드 게이트전극(401) 및 주상결정립 게이트전극(403)은 보론-도프드 폴리실리콘일 수 있고, 카본-도프드 게이트전극(402)은 카본 및 보론-도프드 폴리실리콘일 수 있다.
다른 실시예에서, 주상결정립 게이트전극(403)은 주입도펀트(112)를 포함하지 않을 수 있고, 이 경우, 카본-도프드 게이트전극(402) 및 계면산화물(404)은 확산 도펀트(112D)를 포함하지 않을 수 있다. 따라서, 게이트전극(G5)은 주입도펀트(111) 및 확산 도펀트(111D)를 포함할 수 있고, 주입도펀트(112) 및 확산 도펀트(112D)를 포함하지 않을 수 있다.
다른 실시예에서, 카본-도프드 게이트전극(402) 및 계면산화물(404)은 확산 도펀트(112D)를 포함하되 주입도펀트(111)를 포함하지 않을 수 있고, 이 경우, 카본-언도프드 게이트전극(401)은 확산 도펀트(111D)를 포함하지 않을 수 있다. 따라서, 게이트전극(G5)은 주입도펀트(112) 및 확산 도펀트(112D)를 포함할 수 있고, 주입도펀트(111) 및 확산 도펀트(111D)를 포함하지 않을 수 있다.
카본-도프드 게이트전극(402)과 카본-언도프드 게이트전극(4001)은 패시베이션종을 더 포함할 수 있다. 패시베이션종은 불소(F)를 포함할 수 있다. 패시베이션종은 게이트절연층(102G)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 이에 따라, 게이트절연층(102G)의 신뢰성을 향상시킬 수 있다. 불소는 주입 도펀트(111, 112)와 함께 주입될 수 있고, 카본(110)에 의해 포획될 수 있다.
카본-도프드 게이트전극(402)에서 카본(110)은 확산 도펀트(112D) 및 주입도펀트(111)를 포획하는 역할을 할 수 있다.
주상결정립 게이트전극(403) 및 카본-도프드 게이트전극(402)은 동일 두께일 수 있다. 카본-언도프드 게이트전극(401)은 카본-도프드 게이트전극(402)보다 얇을 수 있다. 예를 들어, 카본-언도프드 게이트전극(401)은 30Å 이하의 두께일 수 있다. 카본-언도프드 게이트전극(401)은 디실레인-베이스 폴리실리콘층을 포함할 수 있다. 주상결정립 게이트전극(403) 및 카본-도프드 게이트전극(402)은 모노실레인-베이스 폴리실리콘층 또는 디실레인-베이스 폴리실리콘층을 포함할 수 있다.
주상결정립 게이트전극(403), 카본-도프드 게이트전극(402) 및 카본-언도프드 게이트전극(401)은 각각 폴리실리콘을 포함하므로, 반도체장치(400)의 게이트전극(G5)은 트리플 폴리실리콘 구조일 수 있다.
주상결정립 게이트전극(403)의 주상 결정립계에 의해 주입 도펀트(112)가 빠르게 확산될 수 있다. 이에 따라, 카본-도프드 게이트전극(402)의 확산 도펀트(112D)의 농도를 높게 유지할 수 있다.
카본-도프드 게이트전극(402) 및 카본-언도프드 게이트전극(401)은 비-방향성 다결정이므로, 주입도펀트(111) 및 확산 도펀트(111D)가 게이트절연층(102G)으로 확산하는 것을 억제할 수 있다. 게다가, 카본-도프드 게이트전극(402)의 카본(110)은 확산 도펀트(112D) 및 주입 도펀트(111)를 포획하므로, 확산 도펀트(112D) 및 주입도펀트(111)가 게이트절연층(102G)으로 확산하는 것을 더욱 억제할 수 있다.
게이트전극(G5)은 주상결정립 게이트전극(403)과 카본-도프드 게이트전극(402) 사이의 계면산화물(404)을 더 포함할 수 있다. 계면산화물(404)은 도펀트를 함유할 수 있다. 계면산화물(404)은 보론을 함유할 수 있다. 계면산화물(404)은 보론-도프드 실리콘산화물(boron-doped silicon oxide)일 수 있다.
계면산화물(404)에 의해 주상결정립 게이트전극(403)과 카본-도프드 게이트전극(402) 사이에 비-연속 계면이 형성될 수 있다.
계면산화물(404)은 극히 얇을 수 있다(Ultra-thin). 계면산화물(404)은 카본-도프드 게이트전극(402)의 표면을 선택적으로 산화시킨 산화물일 수 있다. 계면산화물(404)은 카본-언도프드 게이트전극(401)보다 얇을 수 있다.
카본-언도프드 게이트전극(401)에 대비하여 카본-도프드 게이트전극(402) 적용시 산화속도(Oxidation rate)가 억제되기 때문에 계면산화물(404)을 얇게 제어하기 용이하다.
계면산화물(404)이 두꺼울 경우 카본-도프드 게이트전극(402)과 주상결정립 게이트전극(403) 사이가 전기적으로 절연되므로, 계면산화물(404)은 극히 얇게 형성할 필요가 있다. 또한, 카본-도프드 게이트전극(402)과 주상결정립 게이트전극(403) 간의 절연을 방지하기 위해, 계면산화물(404)에 보론을 도핑할 수 있다. 보론이 도핑된 계면산화물(404)은 저항을 완화할 수 있다.
계면산화물(404)과 카본-도프드 게이트전극(402)에 의해 보론 확산에 대한 제어력을 효과적으로 높일 수 있다. 카본-도프드 게이트전극(402)의 두께, 카본 농도, 카본 농도 프로파일 제어를 통하여 보론 침투를 효과적으로 제어하면서 신뢰성을 개선할 수 있다.
주상결정립 게이트전극(403)은 카본-언도프드 폴리실리콘층 또는 카본-도프드 폴리실리콘층을 포함할 수 있다. 주상결정립 게이트전극(403)을 형성하므로써, 계면산화물(404) 및 카본-도프드 게이트전극(402)으로의 보론 확산을 가속화할 수 있다. 이에 따라, 카본-도프드 게이트전극(402)의 보론 농도를 증가시킬 수 있다.
계면산화물(404)을 게이트절연층(102G)에 근접하도록 형성하기 위해 카본-언도프드 게이트전극(401)은 극히 얇게 형성할 수 있다. 극히 얇은 카본-언도프드 게이트전극(401)을 통해 폴리실리콘공핍효과를 억제하고 신뢰성을 개선할 수 있다.
도 10a 내지 도 10f는 도 9의 반도체장치를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 10a에 도시된 바와 같이, 기판(101) 상에 게이트절연물질(102)이 형성될 수 있다. 게이트절연물질(102) 상에 카본-언도프드 폴리실리콘층(103)이 형성될 수 있다. 카본-언도프드 폴리실리콘층(103)은 도전성 도펀트가 도핑되지 않은 언도프드 상태일 수 있다. 카본-언도프드 폴리실리콘층(103)은 카본을 포함하지 않을 수 있다. 카본-언도프드 폴리실리콘층(103)은 극히 얇을 수 있다. 카본-언도프드 폴리실리콘층(103)은 디실레인(Disilane)-베이스 폴리실리콘층으로 형성될 수 있다. 디실레인(Disilane)-베이스 폴리실리콘층은 모노실레인(mono-isilane)-베이스 폴리실리콘층보다 더 얇고 컨포멀하게 형성할 수 있다. 디실레인(Disilane)-베이스 폴리실리콘층은 30Å 이하의 얇은 두께로 증착이 가능하다. 따라서, 카본-언도프드 폴리실리콘층(103)은 30Å 이하의 얇은 두께일 수 있다.
다음으로, 카본-언도프드 폴리실리콘층(103) 상에 카본-도프드 폴리실리콘층(104)이 형성될 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 인시튜로 형성할 수 있다. 카본-도프드 폴리실리콘층(104) 형성시 카본(110)을 인시튜 도핑할 수 있다. 한편, 카본(110)의 함량이 높으면 도전성 도펀트의 활성화를 억제하게 되므로, 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 1010 atoms/cm3의 이하의 농도를 가질 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 증착시 인시튜로 도핑될 수 있으며, 예를 들어, 카본-도프드 폴리실리콘층(104) 증착시 실리콘소스물질 및 카본소스물질을 흘려줄 수 있다. 카본(110)은 카본-도프드 폴리실리콘층(104) 내에서 균일하게 분포할 수 있다. 즉, 카본(110)의 농도가 카본-도프드 폴리실리콘층(104)의 두께에 따라 일정할 수 있다. 다른 실시예에서, 카본-도프드 폴리실리콘층(104)은 카본 그레이디드 도프드 폴리실리콘층일 수 있다.
카본-언도프드 폴리실리콘층(103)과 카본-도프드 폴리실리콘층(104)은 화학기상증착(CVD) 또는 원자층증착(ALD)을 사용하여 증착될 수 있다. 카본-언도프드 폴리실리콘층(103)은 제1실리콘소스물질을 이용하여 증착할 수 있고, 카본-도프드 폴리실리콘층(104)은 제2실리콘소스물질과 카본소스물질을 이용하여 증착할 수 있다.
카본-언도프드 폴리실리콘층(103) 증착시 제1실리콘소스물질로서 디실레인(Si2H6)을 사용할 수 있다.
카본-도프드 폴리실리콘층(104) 증착시 제2실리콘소스물질로서 모노실레인(SiH4) 또는 디실레인(Si2H6)을 사용할 수 있고, 카본소스물질로는 카본수소화합물, 예를 들어 C2H4를 사용할 수 있다.
카본-언도프드 폴리실리콘층(103)은 카본-도프드 폴리실리콘층(104)보다 얇을 수 있다. 카본-언도프드 폴리실리콘층(103)은 30Å 이하의 두께일 수 있고, 카본-도프드 폴리실리콘층(104)은 100Å 이상의 두께일 수 있다. 카본-도프드 폴리실리콘층(104)의 두께를 증가시키기 위해, 카본-도프드 폴리실리콘층(104) 증착시 모노실레인(SiH4)을 사용할 수 있다.
도 10b에 도시된 바와 같이, 카본-도프드 폴리실리콘층(104)의 표면에 계면산화물질(interface oxide material, 106)이 형성될 수 있다. 계면산화물질(106)은 카본-도프드 폴리실리콘층(104) 증착 이후에 형성될 수 있다. 계면산화물질(106)은 카본-도프드 폴리실리콘층(104)의 표면 산화물일 수 있다. 계면산화물질(106)은 카본(110)을 포함할 수 있다. 계면산화물질(106)을 형성하기 위해 카본-언도프드 폴리실리콘층(104)이 산소함유 분위기에 노출될 수 있다. 계면산화물질(106)을 형성하기 위해, ISSG(in situ steam generation) 공정, 오존 산화, 열산화(Thermal Oxidation), RTO(rapid thermal oxidation) 또는 오존 클리닝(O3 Cleaning) 처리를 이용할 수 있다. 계면산화물질(106)은 카본(110)을 포함하는 실리콘산화물일 수 있다.
계면산화물질(106)은 극히 얇을(ultra thin) 수 있다. 계면산화물질(106)은 카본-도프드 폴리실리콘층(104)과 후속 주상결정립 폴리실리콘층(105) 사이에 절연을 방지하도록 최대한 얇게 형성할 수 있다. 계면산화물질(106)은 자연산화물(Native oxide) 레벨의 극히 얇은 두께일 수 있다. 계면산화물질(106)은 10Å 이하의 두께일 수 있고, 이에 따라 계면산화물질(106)은 카본-도프드 폴리실리콘층(104)과 후속 주상결정립 폴리실리콘층(105) 간의 전기적 통로를 제공할 수 있다.
도 10c에 도시된 바와 같이, 계면산화물질(106) 및 카본-도프드 폴리실리콘층(104)에 제1도핑공정(121)이 수행될 수 있다. 제1도핑공정(121)은 N형 도펀트 또는 P형 도펀트의 도핑 공정일 수 있고, 예컨대, 제1보론(111)과 같은 p형 도펀트를 도핑할 수 있다. 제1보론(111)의 제1도핑공정(121)은 B, BF2 또는 BF3를 보론소스로 사용할 수 있다. 제1보론(111)의 제1도핑공정(121)은 이온빔임플란트, 플라즈마도핑(PLAD) 또는 이들의 조합을 포함할 수 있다.
제1보론(111)의 제1도핑공정(121)은 보론(B) 이온빔 임플란트, BF2 이온빔임플란트 또는 BF3 플라즈마도핑을 포함할 수 있다. 제1보론(111)의 제1도핑공정(121)은 보론(B) 이온빔 임플란트와 불소(F) 이온빔임플란트를 순차적으로 수행할 수도 있다. 불소(F) 이온빔임플란트, BF2 이온빔임플란트 및 BF3 플라즈마도핑에 의해 카본-도프드 폴리실리콘층(104)에 불소가 도핑될 수 있다. 불소는 패시베이션종으로서, 후속 공정에서 게이트절연물질(102)과 기판(101)의 계면에 발생된 댕글링본드를 패시베이션할 수 있다. 불소 패시베이션에 의해 게이트절연물질(102)의 신뢰성을 향상시킬 수 있다. 이와 같이, 불소는 제1보론(111)과 함께 카본-도프드 폴리실리콘층(104)에 주입될 수 있다. 불소는 카본-도프드 폴리실리콘층(104)의 카본(110)에 포획될 수 있고, 이에 따라 불소의 외확산이 방지되어 패시베이션효율을 향상시킬 수 있다.
제1도핑공정(121)에 의해 보론 및 카본-도프드 폴리실리콘층(104D)이 형성될 수 있고, 보론 및 카본-도프드 폴리실리콘층(104D)은 제1보론(111)으로 도핑된 카본-도프드 폴리실리콘층(104)을 지칭할 수 있다.
보론 및 카본-도프드 폴리실리콘층(104D)은 보론 및 카본이 모두 도핑된 도프드 폴리실리콘층일 수 있다. 제1보론(111)은 카본(110)에 포획되어 보론 및 카본-도프드 폴리실리콘층(104D) 내에 축적(pile-up)될 수 있다.
제1도핑공정(121) 진행시에, 계면산화물질(106)에도 제1보론(111)이 도핑될 수도 있다. 도핑된 제1보론(111)은 계면산화물질(106) 내에서 카본(110)에 의해 포획될 수 있다. 계면산화물질(106)에 제1보론(111)이 도핑됨에 따라 계면산화물질(106D)은 도전성을 가질 수 있다. 계면산화물질(106D)은 카본-도프드 폴리실리콘층(104D)과 후속 주상결정립 폴리실리콘층(105) 간의 전기적 통로를 제공할 수 있다. 불소또한 계면산화물(106)의 카본(110)에 의해 포획될 수 있다.
보론 및 카본-도프드 폴리실리콘층(104D) 및 계면산화물질(106D)에 의해 제1보론(111)의 포획량을 증가시킬 수 있다.
다른 실시예로서, 제1보론(111) 및 불소의 도핑공정(121)은 플라즈마도핑을 적용할 수 있다. 플라즈마도핑은 계면산화물질(106D)의 도핑에 유리할 수 있다. 제1보론(111) 및 불소의 도핑공정(121)으로서 이온빔 임플란트를 적용하는 경우에는, 카본-도프드 폴리실리콘층(104D)의 보론 농도를 높일 수 있다.
도 10d에 도시된 바와 같이, 계면산화물질(106D) 상에 주상결정립 폴리실리콘층(105)이 형성될 수 있다. 주상결정립 폴리실리콘층(105)은 주상결정립들(105G) 및 결정립계(105B)를 포함할 수 있다. 주상결정립 폴리실리콘층(105)은 보론 및 카본-도프드 폴리실리콘층(104D)과 동일 두께일 수 있고, 카본-언도프드 폴리실리콘층(103)보다 두꺼운 두께일 수 있다. 주상결정립 폴리실리콘층(105)은 카본-언도프드 주상결정립 폴리실리콘층일 수 있다.
도 10e에 도시된 바와 같이, 주상결정립 폴리실리콘층(105)에 제2도핑공정(122)이 수행될 수 있다. 제2도핑공정(122)은 도펀트의 도핑 공정일 수 있고, 예컨대, 제2보론(112)과 같은 p형 도펀트를 도핑할 수 있다. 제2보론(112)의 제2도핑공정(122)은 B, BF2 또는 BF3를 보론소스로 사용할 수 있다. 제2보론(112)의 제2도핑공정(122)은 이온빔임플란트, 플라즈마도핑(PLAD) 또는 이들의 조합을 포함할 수 있다. 제2보론(112)의 제2도핑공정(122)은 보론(B) 이온빔 임플란트, BF2 이온빔임플란트 또는 BF3 플라즈마도핑을 포함할 수 있다. 제2보론(112)의 제2도핑공정(122)은 보론(B) 이온빔 임플란트와 불소(F) 이온빔임플란트를 순차적으로 수행할 수도 있다. 불소(F) 이온빔임플란트, BF2 이온빔임플란트 및 BF3 플라즈마도핑에 의해 주상결정립 폴리실리콘층(105)에 패시베이션종인 불소가 도핑될 수 있다.
제2도핑공정(122)에 의해 보론-도프드 주상결정립 폴리실리콘층(105D)이 형성될 수 있고, 보론-도프드 주상결정립 폴리실리콘층(105D)은 제2보론(112)으로 도핑된 주상결정립 폴리실리콘층(105)을 지칭할 수 있다.
다른 실시예로서, 제2보론(112)의 제2도핑공정(122)은 이온빔 임플란트를 적용할 수 있다. 제2도핑공정(122)으로서 이온빔 임플란트를 적용하는 경우에는, Rp를 계면산화물질(106D)과 보론 및 카본-도프드 폴리실리콘층(104D)의 경계에 맞추어 진행할 수 있다.
도 10f에 도시된 바와 같이, 어닐링(131)이 수행될 수 있다. 어닐링(131)에 의해 도펀트확산 및 도펀트 활성화가 이루어질 수 있다. 도펀트 활성화 및 도펀트 확산은 제1보론(111) 및 제2보론(112)의 확산 및 활성화를 포함할 수 있다.
어닐링(131)이 수행되는 동안에, 보론-도프드 주상결정립 폴리실리콘층(105D) 내에서 결정립계를 따라 제2보론(112)이 빠르게 확산될 수 있다. 또한, 보론-도프드 주상결정립 폴리실리콘층(105D)으로부터 카본-도프드 폴리실리콘층(104D)의 내부로 제2보론(112)이 확산될 수 있다. 보론-도프드 주상결정립 폴리실리콘층(105D)으로부터 확산되는 대부분의 확산 제2보론(112D)은 카본-도프드 폴리실리콘층(104D) 내에 위치할 수 있다. 확산 제2보론(112D)이 도핑된 카본-도프드 폴리실리콘층(104D)은 '보론 및 카본-도프드 폴리실리콘층(104D")'이라고 약칭할 수 있다. 확산 제2보론(112D)은 카본(110)에 포획되어 보론 및 카본-도프드 폴리실리콘층(104D") 내에 축적(pile-up)될 수 있다. 보론 및 카본-도프드 폴리실리콘층(104D")의 카본(110)은 확산 제2보론(112D) 및 제1보론(111)을 포획하므로, 제1보론(111) 및 확산 제2보론(112D)이 카본-언도프드 폴리실리콘층(103)으로 확산되는 것을 최소화할 수 있다.
어닐링(131)이 수행되는 동안에, 제2보론(112) 및 제1보론(111)의 지속적인 확산이 일어날 수 있다. 그렇다할지라도, 보론 및 카본-도프드 폴리실리콘층(104D")으로부터 확산되는 확산 제1보론(111D)은 소량일 수 있다. 제1보론(111)의 소량 확산에 의해 카본-언도프드 폴리실리콘층(103)은 보론-도프드 폴리실리콘층(103D)이 될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 제1보론(111D)을 포함할 수 있다. 보론-도프드 폴리실리콘층(103D)은 카본이 도핑되지 않을 수 있다. 보론-도프드 폴리실리콘층(103D)내 보론 농도는 보론 및 카본-도프드 폴리실리콘층(104D")내 보론 농도보다 극히 낮을 수 있다.
한편, 어닐링(131)이 수행되는 동안에, 보론-도프드 폴리실리콘층(103D)의 확산 제1보론(111D)이 게이트절연물질(102)과의 계면으로 확산될 수는 있으나, 게이트절연물질(102)로 침투하지 않을 수 있다.
상술한 바와 같이, 어닐링(131)이 수행되더라도, 대부분의 제1보론(111) 및 확산 제2보론(112D)은 카본(110)에 의해 포획되므로 게이트절연물질(102)로 침투하지 않을 수 있다. 따라서, 폴리실리콘공핍율(PDR)을 개선할 수 있다.
어닐링(131)이 수행되는 동안에, 보론 및 카본-도프드 폴리실리콘층(104D") 및 계면산화물질(106D)에 불소가 축적될 수 있다. 계면산화물질(106D)은 보론 및 카본-도프드 폴리실리콘층(104D")으로부터 외확산되는 불소를 포획할 수 있다.
카본-도프드 폴리실리콘층(104D")의 카본(110)에 의해 불소의 외확산이 방지될 수 있다. 여기서, 불소의 외확산은 보론 및 카본-도프드 폴리실리콘층(104D")의 외부로 탈리되는 현상을 지칭할 수 있다. 불소는 카본(110)에 의해 포획되어 있으므로, 외확산이 억제될 수 있다. 카본이 도핑되지 않은 보론-도프드 폴리실리콘층(103D)으로부터 불소가 보론 및 카본-도프드 폴리실리콘층(104D") 및 보론-도프드 주상결정립 폴리실리콘층(105D)으로 외확산될 수 있으나, 외확산되는 불소는 보론 및 카본-도프드 폴리실리콘층(104D")의 카본(110)에 의해 포획될 수 있다. 아울러, 보론 및 카본-도프드 폴리실리콘층(104D")으로부터 외확산되는 불소는 계면산화물질(106D)의 카본(110)에 의해 포획될 수 있다.
이와 같이, 카본(110)에 의해 포획된 불소는 보론 및 카본-도프드 폴리실리콘층(104D) 및 계면산화물질(106D)에 축적될 수 있고, 축적된 불소는 후속 공정에서 불소 패시베이션 효율을 향상시킬 수 있다.
어닐링(131) 후에, 게이트절연물질(102) 상에 보론-도프드 폴리실리콘층(103D), 보론 및 카본-도프드 폴리실리콘층(104D"), 계면산화물질(106D) 및 보론-도프드 주상결정립 폴리실리콘층(105D)의 스택이 형성될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 보론(111D)으로 도핑될 수 있다. 계면산화물질(106D) 및 보론 및 카본-도프드 폴리실리콘층(104D")은 카본(110), 제1보론(111), 확산 보론(112D)으로 도핑될 수 있다. 보론-도프드 주상결정립 폴리실리콘층(105D)은 제2보론(112)으로 도핑될 수 있다.
후속하여 보론-도프드 주상결정립 폴리실리콘층(105D), 계면산화물질(106D), 보론 및 카본-도프드 폴리실리콘층(104D"), 보론-도프드 폴리실리콘층(103D) 및 게이트절연물질(102)이 순차적으로 식각될 수 있다. 이에 따라, 도 9에 도시된 바와 같이, 게이트구조물(400G)이 형성될 수 있다.
게이트구조물(400G)은 게이트절연층(102G) 및 게이트전극(G5)의 스택일 수 있다. 게이트전극(G5)은 카본-언도프드 게이트전극(401), 카본-도프드 게이트전극(402), 계면산화물(404) 및 주상결정립 게이트전극(403)을 포함하는 적층구조일 수 있다. 카본-도프드 게이트전극(402) 및 계면산화물(404)은 카본(110)을 함유할 수 있고, 카본-언도프드 게이트전극(401) 및 주상결정립 게이트전극(403)은 카본(110)을 함유하지 않을 수 있다. 카본-언도프드 게이트전극(401)은 확산 제1보론(111D)을 포함할 수 있고, 보론 및 카본-도프드 게이트전극(402) 및 계면산화물(404)은 제1보론(111) 및 확산 제2보론(112D)을 포함할 수 있다. 주상결정립 게이트전극(403)은 제2보론(112)을 포함할 수 있다. 카본-언도프드 게이트전극(401), 카본-도프드 게이트전극(402) 및 주상결정립 게이트전극(403)은 불소를 포함할 수 있다. 카본-언도프드 게이트전극(401)은 보론-도프드 폴리실리콘층(103D)의 식각에 의해 형성될 수 있다. 카본-도프드 게이트전극(402)은 보론 및 카본-도프드 폴리실리콘층(104D")의 식각에 의해 형성될 수 있다. 계면산화물(404)은 계면산화물질(106D)의 식각에 의해 형성될 수 있다. 주상결정립 게이트전극(403)은 보론-도프드 주상결정립 폴리실리콘층(105D)의 식각에 의해 형성될 수 있다. 게이트절연층(102G)은 게이트절연물질(102)의 식각에 의해 형성될 수 있다.
상술한 바와 같은 실시예는, 주상결정립 폴리실리콘층(105) 증착 이전에 제1도핑공정(121)을 진행할 수 있다. 카본-도프드 폴리실리콘층(104)에 카본(110)을 함유시켜, 보론의 균일한 도핑을 가능하게 할 수 있다.
카본-도프드 폴리실리콘층(104) 증착 및 계면산화물질(106) 형성 후에 제1도핑공정(121)을 진행하므로써 카본-도프드 폴리실리콘층(104D)의 도펀트(즉, 보론) 농도를 증가시킬 수 있다.
제2도핑공정(122) 진행시 보론 및 카본-도프드 폴리실리콘층(104D) 및 계면산화물질(106D)이 주상결정립 폴리실리콘층(105)에 의해 물리적으로 캡핑되므로, 제1보론(111)의 외확산을 방지할 수 있다.
카본-언도프드 폴리실리콘층(103)을 삽입하므로, 게이트절연층(102G)의 손상을 최소화할 수 있다.
결국, 실시예는, 폴리실리콘공핍율(PDR)을 개선하면서 동시에 NBTI의 개선이 가능하다.
상술한 실시예들에서, 주상결정립 폴리실리콘층(105)을 형성하였으나, 다른 실시예로서 주상결정립 폴리실리콘층(105)외에 비-방향성 다결정 폴리실리콘층, 카본-도프드 폴리실리콘층 또는 카본-언도프드 폴리실리콘층으로 형성될 수도 있다.
도 10a 내지 도 10f에 도시된 실시예는, 제1보론(111)의 제1도핑공정(121)과 제2보론(112)의 제2도핑공정(122)을 모두 실시하고 있다.
보론 도핑 공정의 다른 실시예들로서, 제2보론(112)의 제2도핑공정(122)을 생략하고, 제1보론(111)의 제1도핑공정(121)만을 실시할 수 있다. 또한, 제1보론(111)의 제1도핑공정(121)을 생략하고, 제2보론(112)의 제2도핑공정(122)만을 실시할 수도 있다.
이와 같이, 제1보론(111)의 제1도핑공정(121) 및 제2보론(112)의 제2도핑공정(122)을 선택적으로 수행하므로써, 카본-도프드 게이트전극(402), 계면산화물(404) 및 주상결정립 게이트전극(403)의 보론 농도를 용이하게 조절할 수 있다.
도 11은 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다. 도 11에 도시된 반도체장치(410)는 도 9의 반도체장치(400)와 유사할 수 있다.
도 11을 참조하면, 반도체장치(410)는 기판(101) 및 기판(101) 상의 게이트구조물(410G)을 포함할 수 있다. 게이트구조물(410G)은 게이트절연층(102G) 및 게이트전극(G6)의 스택일 수 있다. 게이트전극(G6)은 제1카본-언도프드 게이트전극(401L), 카본-도프드 게이트전극(402), 계면산화물(404) 및 제2카본-언도프드 게이트전극(403U)의 스택을 포함할 수 있다. 제1카본-언도프드 게이트전극(401L)은 게이트절연층(102G)에 직접 접촉할 수 있다. 제1카본-언도프드 게이트전극(401L)은 도 9의 카본-언도프드 게이트전극(401)과 동일할 수 있다. 제1카본-언도프드 게이트전극(401L)은 디실레인-베이스 폴리실리콘층을 포함할 수 있다. 카본-도프드 게이트전극(402) 및 계면산화물(404)은 도 9의 카본-도프드 게이트전극(402) 및 계면산화물(404)과 동일할 수 있다.
제2카본-언도프드 게이트전극(403U)은 비-방향성 다결정 폴리실리콘층일 수 있다. 제2카본-언도프드 게이트전극(403U)은 모노실레인-베이스 폴리실리콘층 또는 디실레인-베이스 폴리실리콘층일 수 있다.
제1카본-언도프드 게이트전극(401L)은 확산 도펀트(111D)를 포함할 수 있다. 카본-도프드 게이트전극(402)은 카본(110), 주입 도펀트(111) 및 확산 도펀트(112D)를 포함할 수 있다. 제2카본-언도프드 게이트전극(403U)은 주입 도펀트(112)를 포함할 수 있다. 주입 도펀트(111, 112) 및 확산 도펀트(111D, 112D)는 보론을 포함할 수 있다.
다른 실시예에서, 제2카본-언도프드 게이트전극(403U) 주입도펀트(112)를 포함하지 않을 수 있고, 이 경우, 카본-도프드 게이트전극(402) 및 계면산화물(404)은 확산 도펀트(112D)를 포함하지 않을 수 있다. 따라서, 게이트전극(G6)은 주입도펀트(111) 및 확산 도펀트(111D)를 포함할 수 있고, 주입도펀트(112) 및 확산 도펀트(112D)를 포함하지 않을 수 있다.
다른 실시예에서, 카본-도프드 게이트전극(402) 및 계면산화물(404)은 확산 도펀트(112D)를 포함하되 주입도펀트(111)를 포함하지 않을 수 있고, 이 경우, 카본-언도프드 게이트전극(401)은 확산 도펀트(111D)를 포함하지 않을 수 있다. 따라서, 게이트전극(G6)은 주입도펀트(112) 및 확산 도펀트(112D)를 포함할 수 있고, 주입도펀트(111) 및 확산 도펀트(111D)를 포함하지 않을 수 있다.
제1카본-언도프드 게이트전극(401L), 카본-도프드 게이트전극(402), 계면산화물(404) 및 제2카본-언도프드 게이트전극(403U)은 패시베이션종으로서 불소를 포함할 수 있다. 카본-도프드 게이트전극(402) 및 계면산화물(404)의 카본(110)에 의해 불소가 포획될 수 있다.
다른 실시예에서, 제2카본-언도프드 게이트전극(403U)은 주입 도펀트(112)를 포함하지 않을 수 있고, 카본-도프드 게이트전극(402)은 확산 도펀트(112D)를 포함하지 않을 수 있다.
도 11의 반도체장치(410)를 제조하는 방법은 도 10a 내지 도 10e에 도시된 방법과 유사할 수 있다.
도 12a 내지 도 12c는 도 11의 반도체장치(410)를 제조하는 방법의 일 예를 설명하기 위한 도면이다.
도 10a에 도시된 일련의 공정에 의해, 기판(101) 상에 게이트절연물질(102), 카본-언도프드 폴리실리콘층(103) 및 카본-도프드 폴리실리콘층(104)을 순차적으로 형성할 수 있다. 카본-언도프드 폴리실리콘층(103)은 디실레인-베이스 폴리실리콘층으로 형성할 수 있고, 카본-도프드 폴리실리콘층(104)은 디실레인-베이스 폴리실리콘층 또는 모노실레인-베이스 폴리실리콘층으로 형성할 수 있다. 카본-도프드 폴리실리콘층(104)의 두께를 증가시키기 위해, 모노실레인-베이스 폴리실리콘층으로 형성할 수 있다.
도 10b에 도시된 바와 같이, 카본-도프드 폴리실리콘층(104)의 표면에 계면산화물질(106)이 형성될 수 있다.
계면산화물질(106)은 카본-도프드 폴리실리콘층(104) 증착 이후에 형성될 수 있다. 계면산화물질(106)은 카본-도프드 폴리실리콘층(104)의 표면 산화물일 수 있다. 계면산화물질(106)은 카본(110)을 포함할 수 있다. 계면산화물질(106)을 형성하기 위해 카본-언도프드 폴리실리콘층(104)이 산소함유 분위기에 노출될 수 있다. 계면산화물질(106)을 형성하기 위해, ISSG 공정, 오존 산화, 열산화, RTO 또는 오존 클리닝 처리를 이용할 수 있다. 계면산화물질(106)은 카본(110)을 포함하는 실리콘산화물일 수 있다.
계면산화물질(106)은 극히 얇을(ultra thin) 수 있다. 계면산화물질(106)은 카본-도프드 폴리실리콘층(104)과 후속 카본-언도프드 폴리실리콘층(107) 사이에 절연을 방지하도록 최대한 얇게 형성할 수 있다. 계면산화물질(106)은 10Å 이하의 두께일 수 있고, 이에 따라 계면산화물질(106)은 카본-도프드 폴리실리콘층(104)과 후속 카본-언도프드 폴리실리콘층(107) 간의 전기적 통로를 제공할 수 있다.
도 10c에 도시된 바와 같이, 제1보론(111)의 제1도핑공정(121)을 수행할 수 있다. 계면산화물질(106) 및 카본-도프드 폴리실리콘층(104)에 제1보론(111)이 도핑될 수 있다. 제1보론(111)의 제1도핑공정(121)시에 제1보론(111)과 함께 불소가 도핑될 수 있다. 제1도핑공정(121)은 제1보론(111) 외에 N형 도펀트를 도핑할 수도 있다.
다음으로, 도 12a에 도시된 바와 같이, 계면산화물질(106D) 상에 카본-언도프드 폴리실리콘층(107)이 형성될 수 있다. 카본-언도프드 폴리실리콘층(107)은 비-방향성 다결정 폴리실리콘층을 포함할 수 있다. 카본-언도프드 폴리실리콘층(107)은 카본-언도프드 폴리실리콘층(103)보다 두꺼울 수 있다. 카본-언도프드 폴리실리콘층(107)은 모노실레인-베이스 폴리실리콘층으로 형성할 수 있다.
다른 실시예에서, 카본-언도프드 폴리실리콘층(107)은 카본-도프드 폴리실리콘층으로 형성될 수도 있다.
도 12b에 도시된 바와 같이, 제2보론(112)의 제2도핑공정(122)을 수행할 수 있다. 제2보론(112)의 제2도핑공정(122)은 이온빔임플란트, 플라즈마도핑(PLAD) 또는 이들의 조합을 포함할 수 있다. 제2보론(112)의 제2도핑공정(122)은 보론(B) 이온빔 임플란트, BF2 이온빔임플란트 또는 BF3 플라즈마도핑을 포함할 수 있다. 제2보론(112)의 제2도핑공정(122)은 보론(B) 이온빔 임플란트와 불소(F) 이온빔임플란트를 순차적으로 수행할 수도 있다. 불소(F) 이온빔임플란트, BF2 이온빔임플란트 및 BF3 플라즈마도핑에 의해 카본-언도프드 폴리실리콘층(107)에 불소가 도핑될 수 있다.
제2도핑공정(122)에 의해 제2보론(112)으로 도핑된 카본-언도프드 폴리실리콘층(107)은 보론-도프드 폴리실리콘층(107D)이 될 수 있다.
도 12c에 도시된 바와 같이, 어닐링(131)이 수행될 수 있다. 어닐링(131)에 의해 제1보론(111) 및 제2보론(112)의 확산 및 활성화가 수행될 수 있다.
제2보론(112)의 확산은 보론-도프드 폴리실리콘층(107D) 내에서 제2보론(112)이 균일하게 확산되는 것을 지칭할 수 있다. 또한, 제2보론(112)의 확산은 보론-도프드 폴리실리콘층(107D)으로부터 보론 및 카본-도프드 폴리실리콘층(104D)의 내부로 제2보론(112)이 확산되는 것을 지칭할 수 있다. 보론-도프드 폴리실리콘층(105D)으로부터 확산되는 대부분의 확산 제2보론(112D)은 보론 및 카본-도프드 폴리실리콘층(104D) 내에 위치할 수 있다. 확산 제2보론(112D)이 도핑된 보론 및 카본-도프드 폴리실리콘층(104D")은 확산 제2보론(112D), 제1보론(111) 및 카본(110)을 포함할 수 있다. 확산 제2보론(112D) 및 제1보론(111)은 카본(110)에 포획되어 보론 및 카본-도프드 폴리실리콘층(104D") 내에 축적될 수 있다.
어닐링(131)이 수행되는 동안에, 제2보론(112) 및 제1보론(111)의 지속적인 확산이 일어날 수 있다. 따라서, 카본-언도프드 폴리실리콘층(103)은 보론-도프드 폴리실리콘층(103D)이 될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 제1보론(111D)을 포함할 수 있다. 보론-도프드 폴리실리콘층(103D)은 카본이 도핑되지 않을 수 있다. 보론-도프드 폴리실리콘층(103D) 내 보론 농도는 보론 및 카본-도프드 폴리실리콘층(104D") 내 보론 농도보다 낮을 수 있다.
한편, 어닐링(131)이 수행되는 동안에, 보론-도프드 폴리실리콘층(103D)의 확산 제1보론(111D)이 게이트절연물질(102)과의 계면으로 확산될 수는 있으나, 게이트절연물질(102)로 침투하지 않을 수 있다.
어닐링(131)이 수행되는 동안에, 보론 및 카본-도프드 폴리실리콘층(104D") 및 계면산화물질(106)의 카본(110)에 의해 불소가 포획될 수 있다.
상술한 바와 같이, 어닐링(131)이 수행되더라도, 대부분의 제1보론(111) 및 확산 제2보론(112D)은 카본(110)에 의해 포획되므로 게이트절연물질(102)로 침투하지 않을 수 있다. 따라서, 폴리실리콘공핍율(PDR)을 개선할 수 있다.
어닐링(131) 후에, 게이트절연물질(102) 상에 보론-도프드 폴리실리콘층(103D), 보론 및 카본-도프드 폴리실리콘층(104D"), 계면산화물질(106D) 및 보론-도프드 폴리실리콘층(107D)의 스택이 형성될 수 있다. 보론-도프드 폴리실리콘층(103D)은 확산 보론(111D)으로 도핑될 수 있고, 보론 및 카본-도프드 폴리실리콘층(104D")은 카본(110), 제1보론(111) 및 확산 보론(112D)으로 도핑될 수 있다. 보론-도프드 폴리실리콘층(107D)은 제2보론(112)으로 도핑될 수 있다.
후속하여 보론-도프드 폴리실리콘층(107D), 계면산화물질(106D), 보론 및 카본-도프드 폴리실리콘층(104D"), 보론-도프드 폴리실리콘층(103D) 및 게이트절연물질(102)이 순차적으로 식각될 수 있다. 이에 따라, 도 11에 도시된 바와 같이, 게이트구조물(410G)이 형성될 수 있다.
게이트구조물(410G)은 게이트절연층(102G) 및 게이트전극(G6)의 스택일 수 있다. 게이트전극(G6)은 제1카본-언도프드 게이트전극(401L), 카본-도프드 게이트전극(402), 계면산화물(404) 및 제2카본-언도프드 게이트전극(403U)을 포함하는 적층구조일 수 있다. 카본-도프드 게이트전극(402) 및 계면산화물(404)은 카본(110)을 함유할 수 있고, 제1카본-언도프드 게이트전극(401L) 및 제2카본-언도프드 게이트전극(403U)은 카본(110)을 함유하지 않을 수 있다. 제1카본-언도프드 게이트전극(401L)은 확산 제1보론(111D)을 포함할 수 있고, 보론 및 카본-도프드 게이트전극(402) 및 계면산화물(404)은 제1보론(111) 및 확산 제2보론(112D)을 포함할 수 있다. 제2카본-언도프드 게이트전극(403U)은 제2보론(112)을 포함할 수 있다. 카본-도프드 게이트전극(402) 및 계면산화물(404)은 불소를 더 포함할 수 있다. 제1카본-언도프드 게이트전극(401L)은 보론-도프드 폴리실리콘층(103D)의 식각에 의해 형성될 수 있다. 카본-도프드 게이트전극(402)은 보론 및 카본-도프드 폴리실리콘층(104D")의 식각에 의해 형성될 수 있다. 계면산화물(404)은 계면산화물질(106D)의 식각에 의해 형성될 수 있다. 제2카본-언도프드 게이트전극(403U)은 보론-도프드 폴리실리콘층(107D)의 식각에 의해 형성될 수 있다. 게이트절연층(102G)은 게이트절연물질(102)의 식각에 의해 형성될 수 있다.
도 12a 내지 도 12c에 도시된 실시예는, 제1보론(111)의 제1도핑공정(121)과 제2보론(112)의 제2도핑공정(122)을 모두 실시하고 있다.
보론 도핑 공정의 다른 실시예들로서, 제2보론(112)의 제2도핑공정(122)을 생략하고, 제1보론(111)의 제1도핑공정(121)만을 실시할 수 있다. 또한, 제1보론(111)의 제1도핑공정(121)을 생략하고, 제2보론(112)의 제2도핑공정(122)만을 실시할 수도 있다.
이와 같이, 제1보론(111)의 제1도핑공정(121) 및 제2보론(112)의 제2도핑공정(122)을 선택적으로 수행하므로써, 카본-도프드 게이트전극(402), 계면산화물(404) 및 제2카본-언도프드 게이트전극(403U)의 보론 농도를 용이하게 조절할 수 있다.
전술한 실시예들에서, 불소는 카본(110)에 의해 포획될 수 있고, 후속되는 공정들(예, 소스/드레인 형성 공정, 층간절연층 형성 공정 등)의 써멀(Thermal)에 의해 확산될 수 있다. 예컨대, 후속 써멀에 의해 불소가 게이트절연층(102G)과 기판(101)의 계면으로 확산하여 댕글링본드를 제거할 수 있다. 카본(110) 및 계면산화물(404)은 후속 써멀에 의한 불소의 외확산을 방지할 수 있다.
비교예로서, 카본(110) 또는/및 계면산화물(404)이 없는 경우, 후속되는 공정들의 써멀에 의해 게이트전극들(G1~G6)의 외부로 불소의 외확산이 발생되어 패시베이션효율이 저하될 수 있다.
이에 반해, 실시예들은 후속되는 공정들의 써멀을 받더라도 카본(110) 또는/및 계면산화물(404)에 의해 불소의 외확산이 방지되므로, 패시베이션효율을 향상시킬 수 있다.
전술한 실시예들의 게이트구조물(100G, 120G, 200G, 300G, 400G)은 PMOSFET 의 게이트구조물에 적용될 수 있다. 또한, CMOSFET의 PMOSFET의 게이트구조물에 적용될 수 있다.
다른 실시예들로서, 게이트구조물(100G, 120G, 200G, 300G, 400G)은 NMOSFET의 게이트구조물에 적용될 수도 있다.
카본-언도프드 게이트전극(100U', 100U, 201, 301, 401), 카본-도프드 게이트전극(100D', 100D, 202, 302, 402), 계면산화물(404), 주상결정립 게이트전극(203, 303, 403), 제1카본-언도프드 게이트전극(401L) 및 제2카본-언도프드 게이트전극(403U)은 인(P) 또는 비소(As)와 같은 N형 도펀트를 포함할 수 있고, 패시베이션종으로서 불소를 더 포함할 수 있다. N형 도펀트의 도핑공정은 이온빔임플란트, 플라즈마도핑(PLAD) 또는 이들의 조합을 포함할 수 있다. 불소는 불소 이온빔 임플란트에 의해 주입될 수 있다.
도 13은 다른 실시예에 따른 반도체장치를 설명하기 위한 도면이다.
도 13을 참조하면, 반도체장치(500)는 기판(101) 및 기판(101) 상의 게이트구조물(500G)을 포함할 수 있다. 게이트구조물(500G)은 게이트절연층(102G) 및 폴리실리콘 게이트전극(501), 배리어메탈(502) 및 금속 게이트전극(503)의 스택일 수 있다. 폴리실리콘 게이트전극(501)은 전술한 실시예들에 따른 게이트전극들(G1~G6) 중 어느 하나일 수 있다. 배리어메탈(502)은 티타늄질화물과 같은 금속질화물을 포함할 수 있다. 금속 게이트전극(503)은 텅스텐과 같은 금속을 포함할 수 있다.
전술한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
101 : 기판
102G : 게이트절연층
401 : 카본-언도프드 게이트전극
402 : 카본-도프드 게이트전극
403 : 주상결정립 게이트전극
404 : 계면산화물

Claims (31)

  1. 기판 상에 게이트절연물질을 형성하는 단계;
    상기 게이트절연물질 상에 카본-언도프드 폴리실리콘층 및 카본-도프드 폴리실리콘층을 순차적으로 형성하는 단계;
    상기 카본-도프드 폴리실리콘층에 도펀트를 도핑하는 단계;
    상기 도펀트가 도핑된 카본-도프드 폴리실리콘층 상에 주상결정립 폴리실리콘층을 형성하는 단계; 및
    상기 도펀트를 활성화시키기 위한 어닐링 단계
    를 포함하는 반도체 장치 제조 방법.
  2. 제1항에 있어서,
    상기 카본-언도프드 폴리실리콘층은 상기 카본-도프드 폴리실리콘층보다 얇은 두께로 형성하는 반도체장치 제조 방법.
  3. 제1항에 있어서,
    상기 카본-언도프드 폴리실리콘층 및 카본-도프드 폴리실리콘층을 순차적으로 형성하는 단계는,
    상기 카본-언도프드 폴리실리콘층을 형성하는 단계; 및
    상기 카본-언도프드 폴리실리콘층 상에 인시튜로 상기 카본-언도프드 폴리실리콘층보다 두꺼운 상기 카본-도프드 폴리실리콘층을 형성하는 단계
    를 포함하는 반도체장치 제조 방법.
  4. 제1항에 있어서,
    상기 카본-언도프드 폴리실리콘층을 형성하는 단계는 제1실리콘소스물질을 단독으로 이용하고,
    상기 카본-도프드 폴리실리콘층을 형성하는 단계는 제2실리콘소스물질과 카본소스물질을 이용하는 반도체장치 제조 방법.
  5. 제4항에 있어서,
    상기 제1실리콘소스물질은 디실레인(disilane)을 포함하고, 상기 제2실리콘소스물질은 모노실레인(mono-silane) 또는 디실레인을 포함하는 반도체장치 제조 방법.
  6. 제4항에 있어서,
    상기 카본소스물질은 C2H4를 포함하는 반도체장치 제조 방법.
  7. 제1항에 있어서,
    상기 주상결정립 폴리실리콘층은, 카본을 미함유하는 카본-언도프드 주상결정립 폴리실리콘층을 포함하는 반도체장치 제조 방법.
  8. 제1항에 있어서,
    상기 도펀트는 보론을 포함하는 반도체장치 제조 방법.
  9. 제1항에 있어서,
    상기 어닐링 단계 이후에,
    상기 주상결정립 폴리실리콘층, 카본-도프드 폴리실리콘층, 카본-언도프드 폴리실리콘층 및 게이트절연물질을 순차적으로 식각하여 게이트구조물을 형성하는 단계
    를 더 포함하는 반도체장치 제조 방법.
  10. 제1항에 있어서,
    상기 카본-도프드 폴리실리콘층에 도펀트를 도핑하는 단계에서,
    상기 도펀트와 함께 패시베이션종을 도핑하는 단계를 포함하는 반도체장치 제조 방법.
  11. 제1항에 있어서,
    상기 도펀트를 활성화시키기 위한 어닐링 단계 이전에,
    상기 주상결정립 폴리실리콘층에 다른 도펀트(another dopant)를 도핑하는 단계를 더 포함하되,
    상기 카본-도프드 폴리실리콘층에 도핑된 도펀트와 상기 주상결정립 폴리실리콘층에 도핑된 다른 도펀트는 동일 물질을 포함하는 반도체장치 제조 방법.
  12. 기판 상에 게이트절연물질을 형성하는 단계;
    상기 게이트절연물질 상에 카본-언도프드 폴리실리콘층 및 카본-도프드 폴리실리콘층을 순차적으로 형성하는 단계;
    상기 카본-도프드 폴리실리콘층의 표면을 산화시켜 계면산화물질을 형성하는 단계;
    상기 계면산화물질 및 카본-도프드 폴리실리콘층에 도펀트를 도핑하는 단계;
    상기 도펀트가 도핑된 계면산화물질 상에 주상결정립 폴리실리콘층을 형성하는 단계; 및
    상기 도펀트를 활성화시키기 위한 어닐링 단계
    를 포함하는 반도체 장치 제조 방법.
  13. 제12항에 있어서,
    상기 카본-언도프드 폴리실리콘층은 상기 카본-도프드 폴리실리콘층보다 얇은 두께로 형성하는 반도체장치 제조 방법.
  14. 제12항에 있어서,
    상기 카본-언도프드 폴리실리콘층 및 카본-도프드 폴리실리콘층을 순차적으로 형성하는 단계는,
    상기 카본-언도프드 폴리실리콘층을 형성하는 단계; 및
    상기 카본-언도프드 폴리실리콘층 상에 인시튜로 상기 카본-언도프드 폴리실리콘층보다 두꺼운 상기 카본-도프드 폴리실리콘층을 형성하는 단계
    를 포함하는 반도체장치 제조 방법.
  15. 제12항에 있어서,
    상기 카본-언도프드 폴리실리콘층을 형성하는 단계는 제1실리콘소스물질을 단독으로 이용하고,
    상기 카본-도프드 폴리실리콘층을 형성하는 단계는 제2실리콘소스물질과 카본소스물질을 이용하는 반도체장치 제조 방법.
  16. 제15항에 있어서,
    상기 제1실리콘소스물질은 디실레인(di-silane)을 포함하고, 상기 제2실리콘소스물질은 모노실레인(mono-silane) 또는 디실레인을 포함하는 반도체장치 제조 방법.
  17. 제15항에 있어서,
    상기 카본소스물질은 C2H4를 포함하는 반도체장치 제조 방법.
  18. 제12항에 있어서,
    상기 주상결정립 폴리실리콘층은, 카본을 미함유하는 카본-언도프드 주상결정립 폴리실리콘층을 포함하는 반도체장치 제조 방법.
  19. 제12항에 있어서,
    상기 도펀트는 보론을 포함하는 반도체장치 제조 방법.
  20. 제12항에 있어서,
    상기 어닐링 단계 이후에,
    상기 주상결정립 폴리실리콘층, 계면산화물질, 카본-도프드 폴리실리콘층, 카본-언도프드 폴리실리콘층 및 게이트절연물질을 순차적으로 식각하여 게이트구조물을 형성하는 단계
    를 더 포함하는 반도체장치 제조 방법.
  21. 제12항에 있어서,
    상기 계면산화물질은 카본을 함유하는 도전성 산화물을 포함하는 반도체장치 제조 방법.
  22. 제12항에 있어서,
    상기 계면산화물질은 상기 카본-도프드 폴리실리콘층과 주상결정립 폴리실리콘층 간의 전기적 통로를 제공하는 얇은 두께를 갖는 반도체장치 제조 방법.
  23. 제12항에 있어서,
    상기 카본-도프드 폴리실리콘층에 도펀트를 도핑하는 단계에서,
    상기 도펀트와 함께 패시베이션종을 도핑하는 단계를 포함하는 반도체장치 제조 방법.
  24. 제12항에 있어서,
    상기 도펀트를 활성화시키기 위한 어닐링 단계 이전에,
    상기 주상결정립 폴리실리콘층에 다른 도펀트(another dopant)를 도핑하는 단계를 더 포함하되,
    상기 카본-도프드 폴리실리콘층 및 계면산화물질에 도핑된 도펀트와 상기 주상결정립 폴리실리콘층에 도핑된 다른 도펀트는 동일 물질을 포함하는 반도체장치 제조 방법.
  25. 기판 상의 게이트절연층; 및
    상기 게이트절연층 상의 게이트전극을 포함하고,
    상기 게이트전극은,
    상기 게이트절연층 상의 카본-언도프드 폴리실리콘층;
    상기 카본-언도프드 폴리실리콘층 상의 카본-도프드 폴리실리콘층;
    상기 카본-도프드 폴리실리콘층 상의 주상결정립 폴리실리콘층; 및
    상기 카본-도프드 폴리실리콘층과 주상결정립 폴리실리콘층 사이에 형성된 도전성 계면산화물
    을 포함하는 반도체장치.
  26. 제25항에 있어서,
    상기 카본-언도프드 폴리실리콘층, 카본-도프드 폴리실리콘층, 주상결정립 폴리실리콘층 및 도전성 계면산화물은 각각 도펀트로서 보론을 포함하는 반도체장치.
  27. 제25항에 있어서,
    상기 카본-언도프드 폴리실리콘층은 디실레인-베이스 폴리실리콘층을 포함하는 반도체장치.
  28. 제25항에 있어서,
    상기 도전성 계면산화물은,
    상기 카본-도프드 폴리실리콘층의 산화물을 포함하는 반도체장치.
  29. 제25항에 있어서,
    상기 도전성 계면산화물은,
    보론 및 카본이 도핑된 실리콘산화물을 포함하는 반도체장치.
  30. 제25항에 있어서,
    상기 도전성 계면산화물은,
    보론 및 카본이 도핑된 실리콘산화물을 포함하는 반도체장치.
  31. 제25항에 있어서,
    상기 카본-언도프드 폴리실리콘층은 상기 카본-도프드 폴리실리콘층보다 얇은 두께인 반도체장치.
KR1020190026972A 2019-03-08 2019-03-08 반도체장치 및 그 제조 방법 KR20200107599A (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020190026972A KR20200107599A (ko) 2019-03-08 2019-03-08 반도체장치 및 그 제조 방법
CN201911265646.1A CN111668095B (zh) 2019-03-08 2019-12-11 半导体器件及其制造方法
US16/715,036 US11152212B2 (en) 2019-03-08 2019-12-16 Semiconductor device and method for fabricating the same
US17/482,081 US11784051B2 (en) 2019-03-08 2021-09-22 Semiconductor device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190026972A KR20200107599A (ko) 2019-03-08 2019-03-08 반도체장치 및 그 제조 방법

Publications (1)

Publication Number Publication Date
KR20200107599A true KR20200107599A (ko) 2020-09-16

Family

ID=72334701

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190026972A KR20200107599A (ko) 2019-03-08 2019-03-08 반도체장치 및 그 제조 방법

Country Status (3)

Country Link
US (2) US11152212B2 (ko)
KR (1) KR20200107599A (ko)
CN (1) CN111668095B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200107599A (ko) * 2019-03-08 2020-09-16 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
KR20220036132A (ko) * 2020-09-15 2022-03-22 삼성전자주식회사 게이트 전극을 가지는 반도체 소자 및 이를 포함하는 전자 시스템
CN115863348A (zh) * 2021-09-24 2023-03-28 联华电子股份有限公司 绝缘体覆硅基板及其制作方法
CN114050190B (zh) * 2021-11-19 2024-02-13 常州时创能源股份有限公司 双面钝化接触电池及其制备方法
CN115425073A (zh) * 2022-09-08 2022-12-02 厦门市三安集成电路有限公司 一种半导体器件及其制作方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5189504A (en) * 1989-12-11 1993-02-23 Nippon Telegraph And Telephone Corporation Semiconductor device of MOS structure having p-type gate electrode
US6232172B1 (en) * 1999-07-16 2001-05-15 Taiwan Semiconductor Manufacturing Company Method to prevent auto-doping induced threshold voltage shift
JP2002280550A (ja) 2001-03-22 2002-09-27 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
TW502323B (en) * 2001-08-30 2002-09-11 Applied Materials Inc Si stacked gate structure of P-type MOSFET
US6991999B2 (en) * 2001-09-07 2006-01-31 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060263992A1 (en) * 2005-05-20 2006-11-23 Chien-Hao Chen Method of forming the N-MOS and P-MOS gates of a CMOS semiconductor device
JP4703277B2 (ja) * 2005-06-13 2011-06-15 株式会社東芝 半導体装置の製造方法
KR20080078469A (ko) * 2007-02-23 2008-08-27 주식회사 하이닉스반도체 모스펫 소자의 제조방법
KR101594031B1 (ko) 2009-08-28 2016-02-15 삼성전자주식회사 불순물이 도핑된 폴리실리콘층 내에 불순물 확산 방지층을 갖는 반도체 소자 및 이를 이용한 디램 소자
KR101819744B1 (ko) 2011-04-26 2018-01-18 에스케이하이닉스 주식회사 반도체 소자의 제조방법
KR102015866B1 (ko) * 2012-06-29 2019-08-30 에스케이하이닉스 주식회사 리세스게이트를 구비한 트랜지스터 및 그 제조 방법
KR102014934B1 (ko) * 2012-12-28 2019-08-28 에스케이하이닉스 주식회사 Cmos 회로 및 그 제조 방법
KR20200107599A (ko) * 2019-03-08 2020-09-16 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법

Also Published As

Publication number Publication date
US11784051B2 (en) 2023-10-10
US20200286734A1 (en) 2020-09-10
CN111668095B (zh) 2023-09-29
US11152212B2 (en) 2021-10-19
CN111668095A (zh) 2020-09-15
US20220013363A1 (en) 2022-01-13

Similar Documents

Publication Publication Date Title
KR20200107599A (ko) 반도체장치 및 그 제조 방법
US7947562B2 (en) Noise reduction in semiconductor device using counter-doping
US5923999A (en) Method of controlling dopant diffusion and metal contamination in thin polycide gate conductor of mosfet device
US9034747B2 (en) Semiconductor device with metal gates and method for fabricating the same
US5567638A (en) Method for suppressing boron penetration in PMOS with nitridized polysilicon gate
KR100568859B1 (ko) 디램 반도체 장치의 트랜지스터 제조방법
US6110784A (en) Method of integration of nitrogen bearing high K film
US11508733B2 (en) Integrated circuit device with ion doped regions that provide dopant ions to gate dielectric film
US20040087124A1 (en) Method for fabricating semiconductor device
US20090189224A1 (en) Semiconductor device and fabrication process thereof
JP2002299607A (ja) Mis型電界効果トランジスタ及びこれの製造方法
US7173296B2 (en) Reduced hydrogen sidewall spacer oxide
US8304333B2 (en) Method of forming a high-k gate dielectric layer
CN103855014B (zh) P型mosfet及其制造方法
US7060610B2 (en) Method for forming contact in semiconductor device
US9153586B2 (en) Semiconductor device having metal carbon nitride electrodes with different work functions
US5600177A (en) Semiconductor device having an electrically conductive layer including a polycrystalline layer containing an impurity and a metallic silicide layer
US9530842B2 (en) Semiconductor devices
GB2371676A (en) Modification of dielectric constant of insulating layer by ion implantation
KR20090111481A (ko) 주상폴리실리콘막을 이용한 폴리실리콘게이트 제조 방법 및그를 이용한 반도체장치 제조 방법
KR100810071B1 (ko) 반도체 소자의 제조 방법
KR20130051283A (ko) 반도체장치 및 그의 제조 방법
JP2010267713A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal