KR20200106878A - 나노-라미네이트 광학 코팅을 형성하기 위한 시스템 - Google Patents

나노-라미네이트 광학 코팅을 형성하기 위한 시스템 Download PDF

Info

Publication number
KR20200106878A
KR20200106878A KR1020207003503A KR20207003503A KR20200106878A KR 20200106878 A KR20200106878 A KR 20200106878A KR 1020207003503 A KR1020207003503 A KR 1020207003503A KR 20207003503 A KR20207003503 A KR 20207003503A KR 20200106878 A KR20200106878 A KR 20200106878A
Authority
KR
South Korea
Prior art keywords
substrate
layer
refractive index
processing
carriers
Prior art date
Application number
KR1020207003503A
Other languages
English (en)
Other versions
KR102388528B1 (ko
Inventor
테리 블럭
웬델 토마스 블로니간
Original Assignee
인테벡, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인테벡, 인코포레이티드 filed Critical 인테벡, 인코포레이티드
Publication of KR20200106878A publication Critical patent/KR20200106878A/ko
Application granted granted Critical
Publication of KR102388528B1 publication Critical patent/KR102388528B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • C23C14/505Substrate holders for rotation of the substrates
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/3411Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials
    • C03C17/3417Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials all coatings being oxide coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/06Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain multicolour or other optical effects
    • B05D5/061Special surface effect
    • B05D5/063Reflective effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10165Functional features of the laminated safety glass or glazing
    • B32B17/10174Coatings of a metallic or dielectric material on a constituent layer of glass or polymer
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/3411Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials
    • C03C17/3429Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials at least one of the coatings being a non-oxide coating
    • C03C17/3441Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials at least one of the coatings being a non-oxide coating comprising carbon, a carbide or oxycarbide
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/3411Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials
    • C03C17/3429Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials at least one of the coatings being a non-oxide coating
    • C03C17/3482Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials at least one of the coatings being a non-oxide coating comprising silicon, hydrogenated silicon or a silicide
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/42Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions at least one coating of an organic material and at least one non-metal coating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/042Coating with two or more layers, where at least one layer of a composition contains a polymer binder
    • C08J7/0423Coating with two or more layers, where at least one layer of a composition contains a polymer binder with at least one layer of inorganic material and at least one layer of a composition containing a polymer binder
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/006Anti-reflective coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0047Activation or excitation of reactive gases outside the coating chamber
    • C23C14/0052Bombardment of substrates by reactive ion beams
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0089Reactive sputtering in metallic mode
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/3442Applying energy to the substrate during sputtering using an ion beam
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3464Sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/113Anti-reflection coatings using inorganic layer materials only
    • G02B1/115Multilayers
    • G02B1/116Multilayers including electrically conducting layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/70Properties of coatings
    • C03C2217/73Anti-reflective coatings with specific characteristics
    • C03C2217/734Anti-reflective coatings with specific characteristics comprising an alternation of high and low refractive indexes
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/70Properties of coatings
    • C03C2217/76Hydrophobic and oleophobic coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/44Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by a measurable physical property of the alternating layer or system, e.g. thickness, density, hardness
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/111Anti-reflection coatings using layers comprising organic materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/113Anti-reflection coatings using inorganic layer materials only
    • G02B1/115Multilayers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/18Coatings for keeping optical surfaces clean, e.g. hydrophobic or photo-catalytic films

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Laminated Bodies (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Acyclic And Carbocyclic Compounds In Medicinal Compositions (AREA)

Abstract

기판 상에 광학 코팅을 형성하기 위한 처리 시스템이 제공되며, 상기 광학 코팅은 반사 방지 코팅 및 소유성 코팅을 가지며, 상기 시스템은, 기판 캐리어를 개별적으로 및 한 번에 하나씩 선형 방향으로 처리 및 이송하도록 구성된 선형 이송 처리 섹션; 상기 선형 이송 처리 시스템에 위치되고, 소유성 코팅을 형성하도록 구성된 적어도 하나의 증발 처리 시스템; 축에 대해 기판 캐리어를 일제히 이송하도록 구성된 배치 처리 섹션; 상기 배치 처리 섹션에 위치된 적어도 하나의 이온 빔 보조 증착 처리 챔버- 상기 이온 빔 보조 증착 처리 챔버는 상기 반사 방지 코팅의 층을 증착하도록 구성됨-; 기판을 장착하기 위한 복수의 기판 캐리어; 및 상기 기판 캐리어를 대기에 노출시키지 않고 상기 선형 이송 처리 섹션과 상기 배치 처리 섹션 사이에서 상기 기판 캐리어를 이송하기 위한 수단을 포함한다.

Description

나노-라미네이트 광학 코팅을 형성하기 위한 시스템
본 개시는 일반적으로 반사 방지 코팅과 같은 광학 코팅 분야, 및 이러한 광학 코팅의 제조에 관한 것이다.
본 출원은 2017년 7월 19일 출원된 미국 가출원 제62/534,438호, 및 2018년 4월 2일 출원된 미국 가출원 제62/651,617호로부터의 우선권을 주장하며, 상기 출원들의 개시 내용은 본원에 그 전문이 참고로 포함된다.
반사 방지 코팅(ARC)은 예를 들어 안경, 판유리(예: 자동차 앞유리), 평면 디스플레이, 및 터치 스크린과 같은 많은 응용 분야에 사용된다. 이러한 많은 응용 분야에 있어서, ARC에 대한 요구 사항에는 가시 파장에서의 효율적인 반사 방지 특성, 높은 투명도, 및 내구성이 포함된다. 물론, 이들 특성은 상업적으로 허용되는 비용으로 달성될 필요가 있다.
일반적으로, 기판 상에 ARC를 형성하는 두 가지 방법은, 습식 및 건식 방법이 있다. 건식 방법은 증착 또는 스퍼터링(sputtering)을 사용하여 교대하는 고굴절률 및 저굴절률의 복수의 박층을 적층(laminate)한다. 이 방법은 층간 계면에서 우수한 부착력과 매우 정확한 층 두께의 제어를 제공하지만, 상대적으로 높은 제조 비용을 필요로 한다. 습식 방법은 기판을 습식 용액으로 코팅한 후 용매를 건조시키는 것을 포함한다. 이 방법에서도, 저굴절률과 고굴절률의 교대 측이 형성되므로 건식 방법과 비교하여 공정이 반복될 필요가 있어, 층의 계면에서 부착력이 상대적으로 약해진다.
ARC를 형성하기 위한 습식 및 건식 방법의 예는, 예를 들어, 미국 특허 제9,482,789호, 제8,358,467호, 제6,532,112호, 및 제5,106,671호에 기재되어 있다.
종래의 ARC의 한 가지 문제점은, ARC를 생성하는 가장 많은 양의 비용 경쟁적인 방법이 연속적으로 사용되거나 열악한 환경에서 사용되는 장치에 사용되기에 충분한 스크래치 또는 마모 내성 코팅을 생성하지 못한다는 점이다. 휴대폰과 같은 모바일 장치는 종래의 ARC 코팅에 의해 제공될 수 없는 응용 분야의 예이다. 그 이유는 ARC에 대한 임의의 손상이 스크린에 의해 방출되는 빛을 강하게 굴절시켜, 장치에서 매우 눈에 띄는 결함을 생성하기 때문이다. 이러한 매우 가시적인 결함은 AR 코팅의 반사 방지 이점을 제거한다.
또한, AR 또는 컬러 코팅을 생성하는 다중 층과 같이, 광학 스택에 사용되는 필름에서의 응력은 매우 높을 수 있다. 이는, 장치를 떨어뜨리거나 유리 표면에 충격을 가하면 파손이 증가하도록 할 수 있다. 파손은 모바일 장치 제조업체의 보증 반품의 큰 원인이며, 파손의 위험이 높아 필름의 사용이 제조업체에 바람직하지 않다.
따라서, 예를 들어 평판 디스플레이 및 터치스크린에 사용될 수 있는 개선된 ARC가 당 업계에 필요하다.
본 발명의 일부 측면 및 특징에 대한 기본적인 이해를 제공하기 위해 본 개시의 다음 요약이 포함된다. 이 요약은 본 발명의 광범위한 개요가 아니며, 따라서 본 발명의 핵심 또는 중요한 요소를 특별히 식별하거나 본 발명의 범위를 설명하기 위한 것은 아니다. 그 유일한 목적은 본 발명의 일부 개념을 하기에 제시되는 보다 상세한 설명의 서두로서 단순화된 형태로 제시하는 것이다.
개시된 실시예는 개선된 광학적 및 기계적 특성, 특히 높은 내구성 및 스크래치에 대한 내성을 갖는 개선된 광학 코팅 구조를 형성하도록 특별히 설계된 시스템을 제공한다. 개시된 실시예는 허용되는 상업적 비용으로 대량 제조로 구현될 수 있는 제조 방법을 이용한다.
개시된 실시예에서, 광학 코팅, 예를 들어 ARC는 개선된 ARC 구조를 생성하기 위해 교대하는 굴절률의 나노층을 각각 갖는 나노 라미네이트로도 지칭되는 복수의 초격자(superlattice)를 사용하여 형성된다. 각각의 초격자는 교대하는 조성 및/또는 결정 상을 갖지만 매칭 굴절률을 갖는 적어도 2개의 nm-스케일의 층(즉, 하나의 이중층)으로 구성된다. 복수의 초격자는 교대하는 효과적인 굴절률로 적층된다. 초격자의 효과적인 굴절률은 이중층을 구성하는 2개의 나노층의 평균 굴절률이며, 나노층의 두께에 의해 가중된다. 개시된 실시예에서, 각각의 나노층은 30 나노미터 이하의 두께이고, 보다 전형적으로는 2nm 내지 10nm의 범위이다. 일부 실시예에서, 전체 ARC 구조는 나노층으로 만들어진다. 대안적인 실시예에서, 표준 ARC 층이 형성되는데, 여기서 하나의, 전형적으로 마지막 광학 층은 다수의 나노층으로 만들어져 하드 캡 층을 형성한다.
일반적인 측면에서, 기판 상에 광학 코팅을 형성하기 위한 처리 시스템이 제공되며, 상기 광학 코팅은 반사 방지 코팅 및 소유성(oleophobic) 코팅을 가지며, 상기 시스템은, 기판 캐리어를 개별적으로 및 한 번에 하나씩 선형 방향으로 처리 및 이송하도록 구성된 선형 이송 처리 섹션; 선형 이송 처리 시스템에 위치되고, 소유성 코팅을 형성하도록 구성된 적어도 하나의 증발 처리 시스템; 축에 대해 기판 캐리어를 일제히 이송하도록 구성된 배치 처리 섹션; 배치 처리 섹션에 위치된 적어도 하나의 이온 빔 보조 증착 처리 챔버- 이온 빔 보조 증착 처리 챔버는 반사 방지 코팅의 층을 증착하도록 구성됨-; 기판을 장착하기 위한 복수의 기판 캐리어; 및 기판 캐리어를 대기에 노출시키지 않고 선형 이송 처리 섹션과 배치 처리 섹션 사이에서 기판 캐리어를 이송하기 위한 수단을 포함한다.
일 실시예에서, 배치 처리 섹션은 유성(planetary) 처리 챔버를 포함하고, 복수의 캐리어는 유성 처리 챔버의 축에 대해 회전되는 한편, 각각의 캐리어는 또한 자신의 축에 대해 회전한다. 다른 실시예에서, 배치 처리 시스템은 백투백(back-to-back) 배향으로 2열로 배열된 복수의 처리 챔버, 및 레이스 트랙을 형성하는 복수의 챔버를 통과하는 컨베이어 벨트를 포함하며, 컨베이어 벨트는 복수의 기판 캐리어와 맞물리고 레이스 트랙 주위에서 복수의 기판 캐리어를 함께 일제히 이동시킨다.
개시된 실시예는 광학 코팅을 형성하기 위한 방법으로서, 투명 기판을 제공하는 단계; 제1 굴절률을 갖는 제1 굴절률 층과 제2 굴절률을 갖는 제2 굴절률 층을 복수 회 교대로 형성함으로써 기판 위에 복수의 투명 층을 형성하는 단계를 포함하며, 여기서 복수의 투명 층을 형성하는 단계는, 스퍼터링 챔버 내에 투명 기판을 배치하는 단계; 스퍼터링 챔버를 작동하여 상이한 물질의 2개의 나노층의 적어도 하나의 이중층을 형성하는 단계에 의해 적어도 하나의 층을 형성하는 단계를 포함하며, 각각의 나노층은 2 나노미터 내지 10 나노미터의 두께를 가지며, 각각의 나노층은 기판 위에 형성된 나노층 상에 산소 또는 질소 이온을 동시에 주입하면서 타겟으로부터 물질을 스퍼터링함으로써 형성된다. 상기 방법은 복수의 투명 층을 형성하기 전에 기판 상에 직접 시드 층(seed layer)을 형성하는 단계를 더 포함할 수 있다. 상기 방법은 또한 복수의 투명 층 위에 다이아몬드-유사 코팅을 형성하는 단계를 포함할 수 있다. 이 방법은 또한 다이아몬드-유사 코팅 위에 실리콘 층을 형성하는 단계, 실리콘 층 위에 실리콘 산화물 층을 형성하는 단계, 및 실리콘 산화물 층 위에 지문 방지(anti-finger) 인쇄 층을 형성하는 단계를 포함할 수 있다. 상기 방법은 (n1-n2)/(n1+n2) ≤ 0.07의 관계가 만족되도록 굴절률 n1 및 n2를 갖도록 상이한 물질을 선택하는 단계를 더 포함할 수 있다. 상기 방법은 (nf-ns)/(nf+ns) ≥ 0.10의 관계가 유지되도록 복수의 투명층을 형성하는 단계를 더 포함할 수 있으며, nf는 이중층의 효과적인 굴절률이고, ns는 제1 굴절률 또는 제2 굴절률 중 하나의 굴절률이다.
본 발명의 다른 측면 및 특징은 다음의 도면을 참조하여 이루어진 상세한 설명으로부터 명백해질 것이다. 상세한 설명 및 도면은 첨부된 청구범위에 의해 정의되는 본 발명의 다양한 실시예의 다양한 비제한적인 예시를 제공한다는 것으로 이해되어야 한다.
본 명세서에 포함되고 본 명세서의 일부를 구성하는 첨부 도면은 본 발명의 실시예를 예시하고, 상세한 설명과 함께 본 발명의 원리를 설명하고 예시하는 역할을 한다. 도면은 예시적인 실시예의 주요 특징을 개략적으로 의도된다. 도면은 실제 실시예의 모든 특징 또는 도시된 요소의 상대적인 치수를 나타내도록 의도된 것은 아니며, 축적대로 도시되어 있지는 않다.
도 1a는 미국 특허 제6,532,112호에 개시된 종래 기술의 ARC 구조를 도시한 한편, 도 1b 내지 도 1d는 본 발명의 실시예에 따른 변형된 ARC 구조를 도시한다.
도 2a 내지 도 2c는 일 실시예에 따른 광학 코팅을 형성하기 위한 공정을 도시한다.
도 3a 내지 도 3c는 광학 코팅의 또 다른 실시예를 도시하고, 도 3d는 중간 보호 접착층을 갖는, DLC 및 지문 방지 층의 보호 스택을 갖는 실시예를 도시한다.
도 4a 내지 도 4c는 광학 코팅을 형성하기 위한 시스템의 실시예를 도시한다.
도 5a 내지 도 5d는 도 4a 내지 도 4c의 시스템에서 사용될 수 있는 캐리어의 실시예를 도시한다.
도 6a 및 도 6b는 광학 코팅을 형성하기 위한 시스템의 실시예를 도시한다.
도 6c 내지 도 6e는 도 6a 및 도 6b의 시스템에서 사용될 수 있는 캐리어의 실시예를 도시한다.
광학 코팅을 제조하기 위한 본 발명의 시스템 및 그 처리의 실시예가 이제 도면을 참조하여 설명될 것이다. 상이한 실시예 또는 이들의 조합은 상이한 응용을 위해 또는 상이한 이점을 달성하기 위해 사용될 수 있다. 달성하고자 하는 결과에 따라, 본 명세서에 개시된 상이한 특징들은 요구 사항 및 제약과 이점의 균형을 맞추기 위해, 부분적으로 또는 전체적으로, 단독으로 또는 다른 특징과 조합하여 이용될 수 있다. 그러므로, 특정 이점은 상이한 실시예들을 참조하여 강조될 것이지만, 개시된 실시예에 한정되지는 않는다. 즉, 본 명세서에 개시된 특징은 이들이 기술된 실시예로 제한되지 않고 다른 특징과 “혼합 및 매칭”될 수 있고 다른 실시예에 포함될 수 있다.
개시된 실시예는 나노-라미네이트 구조를 갖는 광학 코팅을 형성하기 위한 시스템 및 방법을 포함한다. 본 개시의 맥락에서, 나노-라미네이트는 상이한 조성의 교대하는 나노층이며, 각각의 나노층은 30nm 이하의 두께를 갖는다. 다양하게 개시된 실시예에서, 각각의 나노층이 2nm 내지 10nm로 얇을 때 유리한 결과가 나타난다. 나노-라미네이트 구조의 부식, 마모, 스크래치, 및 경도 특성은 개별 필름 또는 30nm보다 큰 두께의 필름 스택의 그것들보다 훨씬 크다.
개시된 실시예에 따르면, ARC 층은 유리(Gorilla Glass®와 같은 처리된 유리를 포함함), 사파이어, 및 플라스틱과 같은 다양한 결정질 또는 비결정질 기판 상에 형성될 수 있다. 그러나, 개시된 실시예에서, 층은 300°C 이하의 온도에서 기판을 유지하면서 형성된다.
광학 필름은 전형적으로 매우 높은 마찰 계수를 가지는데, 이는 매우 단단하지만, 반복적인 연마 접촉(abrasive contact)에 의해 손상될 수 있음을 의미한다. 광학 코팅을 연마 마모로부터 보호하기 위해 그것이 윤활성 필름으로 코팅되어야 한다. 개시된 실시예에서, 일반적으로 20% 초과의 수소를 갖는 고도로 수소화된 DLC가 제공된다.
또한, 소비자 디스플레이 제품의 경우 지문으로 인해 디스플레이의 시각적 성능이 저하된다. 이러한 유형의 제품의 최종 표면에는 얼룩 방지 또는 지문 방지 코팅(소유성 코팅(Oleophobic Coating)이라고도 함)이 있어야 한다. 소유성 코팅은 일반적으로 플루오로카본(fluorocarbon)으로 만들어지며; 그러나 지문 방지 응용에 일반적으로 사용되는 플루오로카본 물질은 DLC에 부착하기가 매우 어렵다. 접착력을 개선하기 위해 DLC와 AF 코팅 사이에 2층 필름이 사용된다. DLC를 제2 SiO2 층을 생성하는데 사용되는 산소로부터 보호하기 위하여, 얇은(약 5 옹스트롬) 실리콘 필름이 DLC 상에 증착된다. 결과적으로, 최종 필름 스택은 ARC이고, DLC에 의해 덮힌 다음 Si 및 SiO2에 의해 덮히고 AF 코팅으로 마무리된다.
휴대폰 디스플레이 전면 및 후면 커버 유리, 태블릿, 자동차 디스플레이 또는 컴퓨터 모니터와 같은 소비자 제품 상에 이들 필름을 비용 경쟁력 있게 증착하기 위해, 시스템은 기판의 상이한 폼 팩터(form factor)에 대해 매우 유연해야 하지만, 이온 빔 보조 반응성 스퍼터링된 나노 라미네이트 필름의 요구 사항에 맞게 조정되어야 한다. 즉, 바람직하게는 시스템은 스마트 워치에 사용되는 것과 같은 소형 기판 및 컴퓨터 터치 스크린에 사용되는 것과 같은 대형 기판을 효율적으로 다룰 수 있어야 한다.
2d 및 3d 유리 및 기타 모바일 디스플레이에 필요한 기판 크기 및 형상을 용이하게 변경할 수 있는 유연성을 갖춘 휴대폰 크기 디스플레이의 경우 시간당 수백 개의 기판을 초과하는 높은 처리량을 확보해야 한다. 모바일 장치 디스플레이는 상당한 범위에 걸쳐 크기가 다양하며, 시스템이 업계에서 경쟁력을 갖추기 위해서는 광범위한 처리 유연성이 필요하다.
개시된 실시예에서, 시스템은 처리 스테이션 확장을 위해 선형으로 추가될 수 있는 작은 챔버를 사용한다. 기판은 캐리어 상에서 이송되어 진공을 파괴하지 않으면서 기판 크기 및 폼 팩터를 빠르게 변경할 수 있다. 이 설계는 기판이 처리 챔버를 통과할 때 기판이 회전 또는 진동(oscillated)되도록 한다. 소형 2d 또는 3d 기판에서 균일한 에지 코팅을 위해서는 회전이 필요하다. 챔버를 통해 회전하기에는 너무 큰 2d 기판의 경우 진동이 필요하다. 진동은 에지 및 표면을 균일하게 코팅하기 위해 기판의 선단(leading edge) 및 후단(trailing edge)이 증착 소스를 향하여 정확한 양의 시간 동안 경사질 수 있도록 한다.
시스템의 배치 부분에서, 캐리어는 모노레일 이송에서 증착 챔버를 통해 반복적으로 이동한다. 캐리어는 단일 모터로 구동되는 벨트 시스템에 의해 추진된다. 전체 배치 다중 층 처리가 완료된 후, 캐리어는 잠금을 통해 한 번에 하나씩 배치 처리 챔버에 출입한다. 시스템의 이 부분에서 캐리어는 여전히 모노레일에 있지만 자기(magnetic) 구동 휠에 의해 개별적으로 구동된다. 모든 캐리어가 배치 챔버 내외로 교환된 후, 다음 처리를 시작하고 새로운 기판 상에 필요한 모든 층을 코팅한다.
시스템의 선형 비-배치 처리 부분에서, 고진공, 고순도, 저입자 배치 챔버와 호환되지 않는 추가 공정 단계가 수행될 수 있다. 모바일 디스플레이 상의 마지막 층으로서 적용되는 진공 지문 방지 코팅과 같은 공정은 공정들 간 진공 분리가 필요하다.
개시된 실시예에서, 처리 시스템은 선형 운동 섹션과 유성 운동 섹션을 모두 포함하며, 여기서 기판은 진공을 파괴하지 않고 두 개의 섹션 사이에서 이송된다. 기판은 선형 운동 섹션 및 유성 배치 처리 섹션 모두를 제공하는 기판 캐리어 상에 장착된다. 일부 개시된 실시예에서, 캐리어는 배치 처리 섹션에 있는 동안 일제히 이동하지만, 선형 운동 섹션에 있는 동안 개별적으로 이동한다. 배치 처리 시스템 내부에서, 캐리어는 챔버의 중심축 주위로 회전하고 또한 자신의 축에 대해 회전한다. 배치 챔버에서 기판은 여러 마그네트론(magnetron) 또는 이온 소스를 지나 이동한다. 이는 이온 빔 보조 증착(ion beam assisted deposition: IBAD) 또는 메타모드(Metamode) 처리가 산화물, 질화물, 산질화물 등의 많은 교대하는 층을 증착하도록 한다.
처리 시스템의 실시예들을 설명하기 앞서, 유리 코팅으로서 형성되는 필름 구조를 다음과 같이 설명한다.
도 1a는 미국 특허 제6,532,112호에 개시된 ARC 구조를 도시한 한편, 도 1b 내지 도 1d는 본 발명의 실시예에 따른 변형된 ARC 구조를 도시한다. 종래 기술에 개시된 바와 같이, 도 1a에 도시된 ARC 층은 상이한 굴절률을 갖는 산화물 물질의 교대하는 층으로 구성된다. 따라서, 도 1a의 예시에서, 층(2 및 4)는 1.45 내지 1.50의 굴절률을 갖는 SiO2로 만들어지는 한편, 층(3 및 5)는 2.1 내지 2.3의 굴절률을 갖는 NbO로 만들어진다. 상기 배열은 520nm의 파장에서 1.9 내지 2.1의 굴절률을 갖는 ITO 층에 의해 캡핑된다.
개시된 실시예는 적어도 하나의 ARC 층을 대체된 층의 굴절률과 유사한 효과적인 굴절률을 갖는 교대하는 나노층의 물질로 만들어진 초격자로 대체함으로써 ARC 구조의 성능을 향상시킨다. 도 1b는 ITO 캡 층(1)이 다수의 나노층(1')의 초격자 나노-라미네이트로 대체된 일 예시를 도시한다. ITO 층(1)은 1.9 내지 2.1의 굴절률을 갖는 것으로 보고되었다. 따라서, 이 예시에서 나노층은 유사한 굴절률을 갖도록 선택된다. 일 실시예에 따르면, ITO 층(1)은 총 25nm 두께에 대해, 각각 2.5nm의 두께를 갖는 SiN 및 AlN의 10개의 교대하는 나노층으로 대체된다. SiN은 520nm의 파장에서 2.05의 굴절률을 갖는다. AlN은 520nm의 파장에서 2.15의 굴절률을 갖는다. 나노-라미네이트 층(1')은 향상된 기계적 특성을 제공하여 ARC 구조를 더욱 내구성 있게 만들며, 하기에 나타낸 것과 같이, 그것이 교체하는 ITO의 굴절률과 동일한 2.10의 굴절률을 갖는다.
도 1c는 층(2)가 나노-라미네이트 구조(2')로 대체된 실시예를 도시한다. 층(2)은 520nm 파장에서 1.45 내지 1.50의 굴절률을 갖는 것으로 보고되었다. 따라서, 이 예시에서 나노층은 유사한 굴절률을 갖도록 선택된다. 이 예시에서, 나노-라미네이트 구조(2')는 총 40nm에 대해, 각각 2.5nm 두께의 SiO 및 Al2O3 층의 16개의 교대하는 층으로 만들어진다. SiO는 520nm 파장에서 1.46의 보고된 굴절률을 갖는 한편, Al2O3는 520nm 파장에서 1.77의 보고된 굴절률을 갖는다. 그러나, 본 개시에서 권장되는 바와 같이, 스퍼터링을 사용하여 이들 필름이 형성될 때, SiO는 1.48 내지 1.50의 굴절률을 갖는 한편, Al2O3는 1.65 내지 1.67의 굴절률을 가지므로, 1.57의 효과적인 굴절률을 초래한다(하기 계산 참조).
도 1b 및 도 1c 각각은 하나의 층만이 나노-라미네이트로 대체되는 실시예를 예시한 한편, 개시된 실시예에 따르면, 임의의 수의 층은 유사한 굴절률을 갖는 물질의 나노-라미네이트로 대체될 수 있다. 실제로, 일부 실시예에서, 도 1d의 예시에 나타난 바와 같이 모든 층은 교체될 수 있다.
도 1d의 예시에서, ITO 캡 층(1)은 총 25nm 두께에 대해, 각각 2.5nm 두께를 갖는 SiN 및 AlN의 10개의 교대하는 나노층으로 만들어진 나노-라미네이트 층(1')으로 대체된다. 층(2)은 총 40nm 두께에 대해, 각각 2.5nm 두께의 SiO 및 Al2O3의 16개의 교대하는 층으로 만들어진 나노-라미네이트 층(2')으로 대체된다. 층(3)은 총 60nm 두께에 대해, 각각 3nm 두께를 갖는 SiN 및 AlN의 20개의 교대하는 나노층을 갖는 나노-라미네이트 층(3')으로 대체된다. 층(4)은 총 25nm에 대해, 각각 2.5nm 두께의 SiO 및 Al2O3의 10개의 교대하는 층으로 만들어진 나노-라미네이트 층(4')으로 대체된다. 층(5)은 총 18nm 두께에 대해, 각각 3nm 두께를 갖는 SiN 및 AlN의 6개의 교대하는 나노층을 갖는 나노-라미네이트 층(5')으로 대체된다.
나노 라미네이트의 각 층에 대한 물질은 신중하게 선택되어야 한다. 광학 코팅으로서 기능하기 위해서는, 저 AR 층 나노-라미네이트 스택의 굴절률이 가능한 낮아야 하며, 고 AR 층 나노-라미네이트 스택의 굴절률은 가능한 굴절률만큼 높은 굴절률을 가져야 한다. 그러나, 굴절률만이 유일하게 중요한 특성은 아니다. 견고한 나노-라미네이트 스택을 위해, 개별 나노층의 경도, 전단 탄성률, 및 응력도 중요하다. 고 굴절률 나노층은 다음의 (화학양론적 및 비화학양론적) 광학 필름의 조합으로 만들어질 수 있다: ZrO, Y-ZrO, AlN, SiN, ZrN, TiO, CrO, CrN, CrTiO, 및 CrTiN. 저 굴절률 나노층은 다음의 필름의 조합으로 만들어질 수 있다: SiO, AlO, SiON, SiAlO.
이러한 맥락에서, 저 굴절률 및 고 굴절률이라는 용어는 정량적인 척도로서 사용되지 않으며, 오히려 교대하는 층들 사이의 구별을 가능하게 하는 상대적인 기술어로서 사용되는 것으로 이해되어야 한다. ARC 맥락에서 중요한 것은 굴절률의 특정 값이 아니라, 저 굴절률 층이 필요한 광학 효과를 이끌어내기 위해 고 굴절률의 굴절률 값보다 충분히 낮은 굴절률 값을 갖는다는 것이다.
또한, 나노층의 굴절률이 광학 스택에서의 목적을 위해 매칭되는 것이 중요하다. 높은 나노층과 낮은 나노층 각각에 대해, 2개의 교대하는 나노층을 구성하는 물질의 굴절률이 가까울수록 광학 성능은 더 우수하다. 실제로, 나노-라미네이트 내에 있는 2개의 나노층의 굴절률이 동일하면, 광학 인터페이스에서 반사된 광의 양이 (n1-n2)/ (n1+n2)에 비례하기 때문에, 광학적 저하는 없다. (n1-n2)/ (n1+n2)의 결과는 이상적으로 나노-라미네이트 층 내의 나노층에 대해 0.07보다 작아야 하고, 고 굴절률 및 저 굴절률 나노-라미네이트 스택 사이에서는 0.1보다 커야 한다.
도 1d의 예시를 보면, 고 굴절률 나노-라미네이트(예를 들어, 층(1', 3', 및 5')에 대한 굴절률의 차이는 다음과 같다: (n1-n2)/ (n1+n2) = (2.15-2.05)/ (2.15+2.05) = 0.02. 보고된 값을 사용하는 저 굴절률 나노-라미네이트에 대한 굴절률의 차이는 다음과 같을 것이다: (n1-n2)/ (n1+n2) = (1.77-1.46)/ (1.77+1.46) = 0.09, 이는 요구된 0.07 이상이다. 그러므로, 본 명세서에 개시된 바와 같이, 스퍼터링에 의해 층을 형성하는 것이 유리하다. 스퍼터링 값을 사용하여, 당업자는 (n1-n2)/ (n1+n2) = (1.67-1.48)/ (1.67+1.48) = 0.06을 얻고, 이것은 요구된 0.07 내에 있다. 고 굴절률과 저 굴절률의 차이는 2개 층의 두께 비율을 고려하여 계산된다. 나노-라미네이트(1')의 효과적인 굴절률은 [(t1*n1)+(t2*n2)]/ (t1+t2)이며, 여기서 t는 두께이다. 따라서, [(2.5*2.05)+(2.5*2.15)]/ 5 = 2.10이다. 층(2')의 효과적인 굴절률은 [(2.5 * 1.48)+(2.5 * 1.67)]/ 5 = 1.57이다. 결과적으로, 이 두 층의 차이는 (n1-n2)/ (n1 + n2) = (2.10-1.57)/ (2.10+1.57) = 0.14이다.
또한, 도 1b 내지 도 1d의 예시에서, 나노-라미네이트 내의 모든 나노층이 동일한 두께를 갖지만, 이것이 항상 그러할 필요는 없다. 예를 들어, 층(1')의 효과적인 굴절률은 AlN 나노층을 SiN 나노층보다 두껍게 만듦으로써 증가될 수 있다. 예를 들어, AlN은 6nm로, SiN는 3nm로 설정될 수 있으며, 이 경우 효과적인 굴절률은 [(3*2.05)+(6*2.15)]/ 9 = 2.12일 것이다. 유사하게, 층(2')의 효과적인 굴절률을 낮추기 위해 당업자는 [(5.5*1.46)+(2.0*1.67)]/ 7.5 = 1.52로 설정할 수 있다.
상기 개시로부터 알 수 있는 것과 같이, 각각의 나노-라미네이트는 유사한 굴절률의 2개의 상이한 물질로 만들어진 다중 나노층을 포함하며, 여기서 나노층은 교대로 적층된다. 따라서, 당업자는 이중층에 대하여, 각각의 이중층은 2개의 나노층의 스택이고, 각각은 상이한 물질로 만들어지지만, 유사한 굴절률을 가진다고 말할 수 있다. 이러한 맥락에서, 유사한 굴절률에 대한 언급은 하나의 나노-라미네이트의 이중층 내에서 한 층의 굴절률 값이 상기한 나노-라미네이트 내의 나노층의 굴절률보다 이중층 내의 다른 나노층의 굴절률 값에 더 가까운 것을 의미한다. 즉, 저 굴절률 나노-라미네이트의 이중층을 구성하는 2개의 물질은 고 굴절률 나노-라미네이트의 이중층을 구성하는 임의의 이중층의 굴절률보다 서로에게 더 가까운 값을 갖는다.
일반적으로, 코팅의 부식, 마모, 스크래치, 및 경도를 개선하기 위해, 이중층은 각각 2 나노미터 내지 10 나노미터의 두께를 갖는 나노층으로 만들어진다. 이중층은 원하는 광학 효과를 생성하기 위해, 굴절률이 교대하는 복수의 나노-라미네이트를 형성하는데 사용된다. 일부 실시예에서, 반사 코팅이 생성된다. 반사 코팅은 예를 들어 모바일 장치의 후면에 형성될 수 있다. 이들 경우에, 광학 스택은 원하는 색을 반사하도록 설계되어, 모바일 장치는 색상으로 보인다. 반사 코팅은 반파장(½λ) 스택으로 설계된다. 역으로, 반사 방지 코팅은 스크린으로부터의 광 반사를 제거하거나 감소시키기 위해 모바일 장치의 디스플레이 측에 형성된다. 반사 방지 코팅은 1/4 파장 스택(¼λ)으로 설계된다. 상기 파장은 스택에 의해 반사될 파장이다. 따라서, 넓은 파장을 반사하는 효과적인 ARC를 생성하기 위해, 복수의 나노-라미네이트는 상이한 두께를 갖도록 형성되어야 한다.
개시된 실시예에서, 나노층은 금속 산화물, 질화물, 또는 산질화물로 만들어진다. 일부 예시로 다음을 포함한다: YsZ, AlxOy, AlN, SixNy, AlSiO, 및 SiON. 일부 실시예에서, 접착 또는 시드 층이 먼저 증착되며, ITO, SnxOy, 및 WOx와 같은 물질로 이루어질 수 있다. 또한, 바람직한 실시예에서, 다양한 층은 이온 빔 보조 증착(IBAD)을 사용하여 형성되어, 타겟 재료는 증착될 금속으로 만들어지고 산소 또는 질소는 증착 중에 이온 주입된다. 따라서, 스퍼터링 공정은 금속 모드(메타 모드라고도 함)에서 수행되며, 여기서 타겟은 전형적으로 아르곤 이온에 의해 (비산화된) 금속으로서 스퍼터링되며, 기판 상에 형성된 매우 얇은(전형적으로 1nm까지) 필름이 증착된 금속을 O2 또는 N2 이온 빔으로 때림으로써 산화물 또는 질화물로 전환된다. 예를 들어, 스퍼터링을 위한 타겟은 순수한 실리콘 또는 알루미늄으로 만들어질 수 있는 한편, 이온 빔은 SiO, SiN, AlO 등의 층을 형성하기 위해 아르곤이 있거나 없이, O2 또는 N2를 포함한다. 또한, 바람직한 실시예에서, 이온 전류 대 원자 도달 속도 비율은 0.5 미만이며, 이온은 600eV 이하의 포텐셜 에너지를 갖는다.
일부 실시예에서, 임의의 층의 굴절률은 물질을 합금화함으로써 변경될 수 있다. 예를 들어, MgO는 ZrOx와 같은 고굴절률 물질 또는 AlOx와 같은 저굴절률 물질을 합금하기 위해 사용될 수 있다. 합금화는 약 8% 내지 10%의 MgO를 첨가함으로써 수행될 수 있으며, 이는 층의 결정화 온도를 낮출 것이다. 다른 예시에서, 약 10% 내지 12%의 크롬이 인성(toughness)을 개선하기 위해 티타늄과 합금될 수 있다. 이산화티타늄의 3가지 미네랄 형태 중 하나인 아나타제는 2.4의 높은 굴절률을 갖지만, 낮은 경도를 가지므로, 합금하기에 좋은 후보이다. 티타늄 자체는 굴절률을 변화시키기 위한 합금제로서 사용될 수 있다. 탄탈럼은 고 굴절률 물질의 특성을 변화시키기 위한 합금제일 수 있는 한편, 붕소는 저 굴절률 물질의 특성을 변화시키기 위한 합금제일 수 있다.
도 2a 내지 도 2c는 일 실시예에 따른 광학 코팅을 형성하기 위한 공정을 도시한다. 도 2a에서, 공정은 예를 들어 플라즈마 또는 이온 충격(ion bombardment)을 사용하여 기판(200)의 표면을 처리함으로써 시작될 수 있다. 플라즈마 또는 이온 충격은 아르곤 및/또는 수소 종을 포함할 수 있다. 도 2b에서, 결정 성장 패턴을 설정하거나 및/또는 접착력을 개선하기 위해 시드 층(205)이 기판(200)의 표면 상에 형성된다. 시드 층(205)은 ITO, SnxOy, 및 WOx와 같은 물질일 수 있으며, 이온 빔 보조 증착을 사용하여 형성된다. 도 2c에서, 나노-라미네이트 층(210)은 시드 층(205) 위에 형성된다.
도 3a를 참조하면, 광학 코팅, 이 예시에서는 ARC가 도시되어 있으며, 이는 부분적으로 표준 층 및 부분적으로 나노-라미네이트로 구성된다. 이 예시에서, 기판(300)은 유리, 예를 들어, 휴대폰 또는 다른 모바일 장치의 전면 유리 또는 터치 스크린일 수 있다. 디스플레이 유리에 적용하면, ARC는 증가된 배터리 수명을 제공할 수 있고, 밝은 광에서 디스플레이의 가시성을 향상시킬 수 있다. 그러나, 코팅에 흠집이 생기면 장치에 보기 흉한 부분이 생긴다. 이러한 외관 손상은 눈에 잘 띄며 높은 마모 및 사용 응용에 대해 이들 필름의 사용을 바람직하지 않게 한다. 또한, 종래 기술의 광학 스택에 사용된 필름에서의 응력은 매우 높을 수 있다. 이것은 만약 장치가 떨어지거나 유리 표면에 충격을 받게 되면 파손의 증가를 유발할 수 있다. 파손은 모바일 장치 제조업체의 보증 반품의 큰 원인이며 파손의 위험이 높아 상기 필름의 사용이 제조업체에게 바람직하지 않도록 한다. 따라서, 도 3a의 실시예는 코팅의 내구성을 증가시키기 위해 제공되어, 디스플레이 장치에 사용될 수 있다.
도 3a의 코팅은 다층의 고 굴절률 및 저 굴절률의 다중 층을 포함한다. 제1 층은 10nm의 두께를 갖는 고 굴절률의 종래의 박층(305)이다. 이 층, 뿐만 아니라 나머지 높은 n 층 모두는, 2.02의 굴절률을 갖는 Si3N4로 만들어진다. 제2 층(310)은 40nm 저굴절률의 통상적인 층이며, 이 경우, 1.48의 굴절률을 갖는 SiO2로 만들어진다. 이것에 이어 30nm의 높은 n 층(315), 25mm의 낮은 n 층(320), 100nm의 높은 n 층(325), 10nm의 낮은 n 층(330), 및 50nm의 높은 n 층(335)이 뒤따른다. 높은 n 층 모두는 Si3N4로 만들어지고, 낮은 n 층 모두는 SiO2로 만들어진다. 전통적으로, 마지막 층은 낮은 n의 층, 예를 들어 100nm SiO2 층일 것이다. 그러나, 도 3a의 예시에서, 상부 층은 각 이중층이 5nm 나노층 및 Si3N4의 굴절률보다 SiO2의 굴절률에 더 가까운 굴절률을 가진 물질, 이 예시에서는, 스퍼터링에 의해 형성될 때 1.67의 굴절률을 갖는 Al2O3의 5nm 나노층으로 만들어진 10개의 이중층의 나노-라미네이트로 만들어진다. 따라서, 상부 층(340)의 효과적인 굴절률은 [(5*1.48)+(5*1.67)]/ 10 = 1.57이고, 이것은 Si3N4의 고 굴절률보다 SiO2의 저 굴절률에 더 가깝다.
따라서, 일반적으로 도 3a의 실시예는 제1 굴절률을 갖는 복수의 제1 층; 제1 굴절률과는 상이한 제2 굴절률을 갖는 복수의 제2 층; 여기서 복수의 제1 층 및 복수의 제2 층은 기판 위로 인터레이스 방식으로 교대로 형성되며, 복수의 제2 층으로부터의 하나의 층으로 종결되고; 및 상기 하나의 층 위에 형성된 상부 층, 여기서 상부 층은 각각의 이중층이 상이한 물질로 만들어진 2개의 나노층을 포함하는 복수의 이중층을 갖는 나노-라미네이트를 포함하여, 상부 층의 효과적인 굴절률은 제2 굴절률보다 제1 굴절률에 더 가까운 값을 가지게 되는 상부 층을 포함하는 광학 코팅을 제공한다. 또한, 2개의 상이한 물질은 식 (n1-n2)/(n1+n2) ≤ 0.07이 충족되도록 선택되고, 여기서 n1 및 n2는 2개의 상이한 물질의 굴절률이다. 또한, 상부 층의 결과적으로 얻어진 효과적인 굴절률 neff는 식 |(neff-ns)/(neff+ns)| ≥ 0.10을 충족시키는 것이 바람직하고, 여기서 ns는 제2 굴절률이다.
도 3b는 도 3a의 구조를 대체할, 광학 코팅에 대한 또 다른 실시예를 도시한다. 도 3b의 실시예는 증가된 내구성을 제공하지만, 저 굴절률 층의 효과적인 굴절률을 변형시키는 것을 가능하게 한다. 구체적으로, 도 3b에서, 높은 n 층 모두는 표준 층인 한편, 낮은 n 층 모두는 나노-라미네이트이다. 층(311)은 총 40nm에 대해 4개의 이중층으로 구성되며, 각각의 이중층은 SiO2의 5nm 나노층 및 Al2O3의 5nm 나노층을 갖는다. 층(321)은 총 25nm에 대해 예를 들어 Al2O3의 2개의 5nm 나노층과 인터레이스된 SiO2의 3개의 5nm 나노층으로 구성된다. 층(331)은 총 10nm에 대해 SiO2의 5nm의 나노층 및 Al2O3의 5nm 나노층을 갖는 하나의 이중층으로 구성된다. 층(340)은 총 100nm에 대해 10개의 이중층으로 구성되며, 각각의 이중층은 SiO2의 5nm 나노층 및 Al2O3의 5nm 나노층을 갖는다. 결과적으로, 표준 ARC 층을 사용하면, 높은 n은 2.02일 것이고 낮은 n은 1.48일 것인 한편, 도 3b의 실시예에서 높은 n은 여전히 2.02이지만, 낮은 n은 1.57이다.
대안적으로, 저 굴절률을 SiO2의 굴절률에 더 가깝게 유지하기 위해, 이중층은 SiO2 및 SiON으로 만들어질 수 있다. SiON은 N2O와 같은 질소 함유 가스의 흐름을 추가함으로써 형성된다. 제조 중 N2O의 흐름에 따라, SiON의 굴절률을 1.46 내지 1.56으로 조정할 수 있다. 따라서, 높은 단부에서, 당업자는 (1.56-1.46)/(1.56+1.46) = 0.03을 얻으며, 이것은 요구된 0.07 내에 잘 들어맞는다. 유사하게, 이중층은 SiO2 및 SiAlO로 만들어질 수 있다. SiAlO는 약 1.50의 굴절률을 가지므로, 당업자는 (1.50-1.46)/(1.50+1.46) = 0.01을 얻으며, 이는 필요한 0.07 내에 잘 들어맞는다.
따라서, 일반적으로 도 3b의 실시예는 제1 굴절률 n1을 갖는 복수의 제1 층; 제1 굴절률과 상이한 제2 굴절률 n2를 갖는 복수의 제2 층을 포함하는 광학 코팅을 제공하며; 여기서 복수의 제1 층 및 복수의 제2 층은 기판 위에 인터레이스 방식으로 교대로 형성되며; 다수의 제2 층 각각은 적어도 하나의 이중층을 갖는 나노-라미네이트를 포함하고, 각각의 이중층은 제3 굴절률 n3를 갖는 제1 나노층 및 제4 굴절률 n4를 갖는 제2 나노층을 포함하여, 각각의 나노-라미네이트의 효과적인 굴절률은 제2 굴절률과 같아지게 되고, 즉 neff = n2이다. 이 점에 있어서, 효과적인 굴절률은 식 |(neff-n1)/ (neff+n1)| ≥ 0.10을 충족한다. 효과적인 굴절률은 나노층의 굴절률의 가중평균이며, neff = [(t3*n3)+(t4*n4)]/ (t3+t4)로 표현될 수 있고, 여기서 t3과 t4는 각각의 나노층의 두께이다. 또한, 제1 나노층 및 제2 나노층은 식 |(n3-n4)/(n3+n4)| ≤ 0.07을 충족시키도록 선택된다.
광학 코팅의 추가적인 예시가 도 3c에 도시되어 있다. 도 3c의 실시예에서, 모든 층은 나노-라미네이트이다. 낮은 n 라미네이트는 도 2b와 관련하여 기술된 것과 같지만, 높은 n 층은 또한 나노-라미네이트로 대체되었다. 이 예시에서, 제1 층(306)은 하나의 5nm AlN 나노층 및 하나의 5nm Si3N4의 하나의 이중층이다. 층(316)은 3개의 이중층의 나노-라미네이트이고, 각각의 이중층은 하나의 5nm AlN 나노층 및 하나의 5nm Si3N4로 구성된다. 층(326)은 10개의 이중층의 나노-라미네이트이고, 각각의 이중층은 하나의 5nm AlN 나노층 및 하나의 5nm Si3N4로 구성된다. 층(336)은 5개의 이중층의 나노-라미네이트이고, 각각의 이중층은 하나의 5nm AlN 나노층 및 하나의 5nm Si3N4로 구성된다. 결과적으로, 높은 n 라미네이트의 효과적인 굴절률은 [(5*2.02)+(5*2.15)]/ 10 = 2.085이다.
따라서, 일반적으로 도 3c의 실시예는 제1 굴절률을 갖는 복수의 제1 층; 제1 굴절률과 상이한 제2 굴절률을 갖는 복수의 제2 층을 포함하는 광학 코팅을 제공하며; 여기서 복수의 제1 층 및 복수의 제2 층은 기판 위에 인터레이스 방식으로 교대로 형성되며; 복수의 제1 층 각각은 상이한 물질의 2개의 나노층으로 만들어진 적어도 하나의 이중층을 갖는 제1 나노-라미네이트를 포함하여, 제1 나노-라미네이트의 효과적인 굴절률은 제1 굴절률과 같아지며; 복수의 제2 층 각각은 각각의 이중층이 상이한 물질의 2개의 나노층을 포함하는, 적어도 하나의 이중층을 갖는 제2 나노-라미네이트를 포함하여, 제2 나노-라미네이트의 효과적인 굴절률은 제2 굴절률과 같아진다.
또한, 일반적으로 도 3c의 실시예는 제1 굴절률을 갖는 복수의 제1 층; 제1 굴절률과 상이한 제2 굴절률을 갖는 다수의 제2 층을 포함하는 광학 코팅을 제공하며; 여기서 복수의 제1 층 및 복수의 제2 층은 기판 위에 인터레이스 방식으로 교대로 형성되고; 복수의 제1 층 각각은 제1 산화물 나노층 및 제1 산화물 나노층과 상이한 물질의 제2 산화물 나노층으로 만들어진 적어도 하나의 산화물 이중층을 갖는 산화물 나노-라미네이트를 포함하여, 산화물 나노-라미네이트의 효과적인 굴절률이 제1 굴절률과 같아지며; 복수의 제2 층 각각은 제1 질화물 나노층 및 제1 질화물 나노층과 상이한 물질의 제2 질화물 나노층으로 만들어진 적어도 하나의 질화물 이중층을 가진 질화물 나노-라미네이트를 포함하여, 질화물 나노-라미네이트의 효과적인 굴절률은 제2 굴절률과 같아진다.
나노층은 물질 특성(응력, 경도, 화학양론)이 제어될 것을 필요로 한다. 이를 위해, 반응성 스퍼터링된 이온 보조 증착이 바람직한 접근법이다. 반응성 스퍼터링은 화학양론적 필름의 빠른 증착을 가능하게 하고 이온 보조 부분은 증착된 필름의 응력, 밀도 및 경도를 제어하는 것을 돕는 원자 규모 가열을 허용한다. 또한, 나노층 형성 동안 스퍼터링 매개변수 및 가스 흐름을 제어함으로써, 굴절률은 나노-라미네이트 내에서 이중층을 구성하는 2개의 나노층에 대해 (n1-n2)/ (n1+n2)의 결과가 0.07 미만이 되고, 고 굴절률 및 저 굴절률 나노-라미네이트의 효과적인 굴절률에 대해 (n1eff-n2eff)/ (n1eff+n2eff)의 결과가 0.1보다 크게 되도록 조정될 수 있다.
광학 필름은 높은 마찰 계수를 가질 수 있으며, 이는 광학 필름이 매우 단단한 한편, 반복적인 연마 접촉에 의해 손상될 수 있음을 의미한다. 광학 코팅을 연마성 마모로부터 보호하기 위해, 광학 코팅은 윤활성 필름으로 코팅될 수 있다. 이것은 도 3a 내지 도 3c에서 DLC로 표시된 점선 층으로 도시되어 있다. 이 예시에서, 다이아몬드-유사 코팅(DLC) 필름은 적어도 20%의 수소를 갖는 고도로 수소화된 DLC이다.
추가적으로, 소비자 디스플레이 제품의 경우, 지문으로 인해 디스플레이의 시각적 성능이 저하된다. 이들 유형의 제품의 최종 표면은 얼룩 방지 또는 지문 방지(AF) 코팅을 가져야 한다. 지문 방지 응용 프로그램에 일반적으로 사용되는 플루오로카본 물질은 DLC에 부착하기가 매우 어렵다. 부착력을 개선하기 위해 DLC와 AF 코팅 사이에 2층 필름이 사용된다. 제2 SiO2 층을 생성하기 위해 사용된 산소로부터 DLC를 보호하기 위해 얇은 실리콘 막이 DLC 위에 증착된다. 그 결과로 생성된 스택은 도 3d에 도시되어 있지만, DLC, Si, SiO2, 및 AF의 유사한 캡핑 층은 도 3a 내지 도 3c의 임의의 실시예에 대해서도 형성될 수 있다.
알 수 있는 바와 같이, 제안된 코팅은 상이한 재료의 많은 박층들을 포함하고, 상기 코팅은 상이한 공정들을 사용하여 형성된다. 층 형성 사이에 기판을 대기에 노출시키지 않고 모든 층이 기판 상에 형성되도록 하는 것이 바람직하다. 따라서, 시스템은 모든 상이한 층의 진공 처리를 가능하게 해야 한다. 이들 공정들은 상이한 환경들을 필요로 하기 때문에, 시스템은 상이한 섹션들을 포함해야 하고, 각각의 섹션은 그에서 수행되는 공정을 위해 필요한 특정 환경을 제공하도록 구성된다.
도 4a는 내부 요소들을 노출시키기 위해 일부 커버가 제거된, 상기 실시예들의 광학 코팅을 형성하기 위한 시스템의 실시예를 도시한다. 도 4a의 시스템은 배치(batch) 처리 챔버(403) 및 선형 처리 섹션(405)이 필요에 따라 제거 및 추가될 수 있다는 점에서 모듈식이다. 도 4a의 시스템에서, ARC 층은 배치 처리 챔버(403)에 형성되는 한편, 임의의 전처리, DLC, 및 소유성 층은 선형 섹션(405)에서 수행된다. 구체적으로, 도 4a의 실시예에서, 선형 섹션(405)은 상부 터널(407) 및 하부 터널(409)을 가지며, DLC 및 소유성 및 임의의 다른 개재(intervening) 층이 형성될 수 있는 한편, 플라즈마 처리와 같은 임의의 전처리 공정이 상부 터널에서 수행될 수 있다. 기판은 캐리어 상에 장착되고 시스템의 전체 처리에 걸져 캐리어 상에 유지된다. 캐리어는 선형 섹션(405)에서 독립적으로 이동하지만, 배치 처리 챔버(403)에서 일제히 함께 이동된다. 캐리어는 로봇 암(411)에 의해 선형 섹션(405)과 배치 처리 챔버(403) 사이에서 이송된다. 캐리어는 엘리베이터(413)를 통해 상부 터널(407)과 하부 터널(409) 사이에서 이송된다.
도 4b는 하부 터널(409)을 시각화하기 위해 하나의 배치 챔버 및 2개의 로봇이 제거된 도 4a의 시스템을 도시한다. 참조 A는 엘리베이터(413)를 나타내는 한편, 참조 B는 광학 DLC 스퍼터링 챔버의 위치를 가리키고, 이어서 개재 층을 형성하기 위한 다른 스퍼터링 챔버가 이어질 수 있다. 참조 C는 진공 격리 챔버인 한편, 참조 D는 예를 들어 소유성 층을 형성하기 위해 사용될 수 있는 패스-바이(pass-by) 처리 모듈을 가리킨다. 참조 E는 고 진공 로드락(load lock)이고, 참조 F는 시스템에서 기판을 제거하는 데 사용되는 러프한(rough) 진공 로드락이다.
도 4c는 배치 챔버 및 도 4a의 모듈식 시스템의 빌딩 블록을 형성하는 선형 섹션 쌍을 도시한다(명확성을 위해 하부 터널은 도시되지 않음). 시스템은 필요한 만큼 이들 쌍을 사용하여 형성될 수 있으며, 도 4a는 3개의 쌍으로 이루어진 시스템을 도시한다. 도 4a에 도시된 바와 같이, 각각의 연속적인 섹션은 인접한 쌍의 배향으로부터 180도 회전된 방향으로 배향된다.
배치 처리 시스템은 복수의 캐리어를 지지하고, 처리 동안 캐리어를 중심 축에 대해 회전시킨다. 또한, 캐리어는 자체 축에 대해 회전한다. 캐리어가 챔버의 축에 대해 회전함에 따라, 기판은 ARC 코팅을 구성하는 다양한 층을 형성하기 위해 다수의 처리 스테이션을 통과한다. 도 4c의 예시에서, 2개의 처리 스테이션이 각각의 배치 처리 챔버에 포함되며, 각각의 스테이션은 셔터를 갖는 하나의 스퍼터링 소스 및 IBAD 처리를 형성하는 하나의 이온 소스를 갖는다. 작동시, 한 번에 하나의 셔터만이 개방되어, 캐리어가 챔버의 축에 대해 회전할 때 모든 기판이 작동 스퍼터링 스테이션에 의해 연속적으로 스퍼터링되어 하나의 층을 형성한다. 각각의 기판은 적절한 두께의 층을 형성하기 위해 스퍼터링 소스를 여러 번 통과시킨다. 층 두께에 도달하면, 개방 셔터가 닫히고 다른 셔터가 열리고 공정은 제2 층을 형성하기 위해 진행된다. 이러한 방식으로, ARC를 형성하기 위해 2개의 상이한 층들이 교대로 기판 상에 증착될 수 있다.
예를 들어, 제1 배치 처리 시스템은 실리콘 타겟을 갖는 하나의 스퍼터링 소스 및 니오븀(niobium) 타겟을 갖는 하나의 스퍼터링 소스, 및 산소 가스의 공급을 가질 수 있다. 캐리어가 로딩되면, 니오븀 소스의 셔터가 개방되고 산소가 흘러 NbO의 제1 층을 형성한다. 다음에, 니오븀 소스의 셔터가 닫히고 실리콘의 셔터가 개방되어 SiO2의 층을 형성한다. 모든 기판 상에 적절한 두께의 SiO2가 형성되면, 실리콘 셔터는 닫히고 니오븀 셔터는 다음 NbO 층을 형성하기 위해 개방되어, ARC의 전체 스택이 완료될 때까지 계속된다.
당업자가 도 1b에 도시된 구조를 형성하기를 원한다면, 다른 배치 시스템이 사용되는데, 여기서 하나의 타겟은 실리콘이고 하나의 타겟은 알루미늄이며, 질소 가스 소스가 제공된다. 이어서, 공정은 SiN 층을 형성하기 위해 실리콘 타겟의 셔터를 개방시키는 것과 AlN 층을 형성하기 위해 알루미늄 타겟 셔터를 개방시키는 것 사이에서 교대한다. 도 1c에 도시된 구조가 바람직하다면, 산소의 가스 공급이 SiO2 및 Al2O3의 교대하는 층을 형성하기 위해 사용된다. 따라서, 층(5, 4, 3, 2', 및 1')을 갖는 구조를 만들려면, 시스템에 3개의 선형 섹션이 있는 3개의 배치 처리 시스템을 가져야 한다.
도 4c에서, 참조 A는 배치 처리 챔버 내에서 진공을 유지하는 2개의 마이스너 트랩(Meissner traps)을 나타낸다. 참조 B는 배치 처리 챔버(403)에서의 진공 환경과 선형 섹션(405)에서의 진공 환경을 분리하는 슬롯 밸브를 나타낸다. 참조 C는 선형 이송 섹션(405)이다. 도 4c에서, IBAD 처리를 위해 스퍼터링 소스(D) 및 이온 소스(E)를 노출시키기 위해 2개의 커버가 제거된다. 이러한 배열의 특징은 배치 처리 시스템이 “유성(planetary)” 배열을 이용하는 한편, 여기서 웨이퍼는 챔버의 중심축에 대해 회전되고, 캐리어는 배치 처리 챔버를 대기에 노출시키지 않고도 유성 시스템 상으로 직렬 및 진공으로 로딩 및 언로딩될 수 있다. 또한, DLC 및 소유성 층과 같은 배치 처리 시스템과 호환되지 않는 공정은 한 번에 하나의 기판상의 선형 섹션에서 수행될 수 있다.
참조 F는 캐리어 스핀 회전 모터이고, 참조 G는 주 궤도 회전 모터이다. 즉, 모터 G는 배치 챔버의 중심축에 대해 궤도에서 모든 캐리어를 회전시키는 한편, 모터 F는 캐리어의 자체 축에 대해 각각의 캐리어를 회전시킨다. 이러한 배열은 행성이 태양 주위를 공전하는 것처럼 캐리어가 챔버의 중심축에 대해 회전함으로서 유성 챔버라고 하는 한편, 각각의 캐리어는 플레이트가 자체 축에 대해 회전하는 것처럼 자체 축에 대해 회전한다. 따라서, 시스템은 선형 이송 섹션에 커플링된 쌍으로 된 유성 챔버로 구성되며, 행성으로서 기능하는 캐리어는 유성 챔버와 그의 커플링된 선형 섹션 사이에서 진공 상태로 이송될 수 있다. 따라서, 캐리어는 유성 운동과 선형 이송 운동을 모두 받는다. 참조 H는 대기에 노출시키지 않고 배치 챔버와 선형 섹션 사이에서 캐리어를 이송하는 데 사용되는 캐리어 로더(carrier loader)를 도시한다.
도 4a 내지 도 4c의 시스템에서, 캐리어가 배치 처리 챔버에서 처리될 때, 그것은 각각의 스퍼터링 소스 앞에서 다수의 패스를 만든다. 반대로, 캐리어가 선형 섹션에 있을 때, 각각의 처리 챔버에서 단일 패스를 만든다. 또한, 캐리어가 배치 처리 시스템에 있을 때, 그것은 유성 운동 시스템 내의 다른 캐리어와 일제히 이동하는 한편, 캐리어가 선형 이송 섹션에 있을 때, 그것은 선형 이송 섹션 내의 다른 캐리어와 독립적으로 이동한다. 배치 처리 시스템 중 하나에서 처리가 완료되면, 유성 회전 시스템은 캐리어를 슬롯 밸브의 위치로 한 번에 하나씩 가져오고, 로봇 암은 캐리어를 하나씩 제거하여 그것들을 선형 이송 섹션에 배치한다. 로봇은 처리된 캐리어를 처리될 새로운 캐리어와 교환할 수 있다.
도 5a 내지 도 5d는 캐리어의 실시예를 도시하며, 도 5a는 저면도, 도 5b는 측면도, 도 5c는 상면도, 및 도 5d는 등각투상도이다. 도 5c에 도시된 바와 같이, 캐리어는 기판(510)을 지지하도록 구성된 상부 플레이트(505)를 갖는다. 상이한 상부 플레이트는 하나의 큰 또는 여러 개의 작은 기판(510)을 지지하도록 구성될 수 있다. 따라서, 단순히 캐리어의 상부 플레이트를 교환함으로써 시스템은 상이한 크기의 처리 기판으로 변환될 수 있다.
캐리어는 이송 섹션(515)에 의해 시스템 전체에 걸쳐 이송되고, 상부 플레이트(505)는 회전 섹션(520)을 통해 이송 섹션(515)에 부착된다. 배치 처리 챔버 내부에 있는 동안 모터(F)(도 4c) 참조)로부터의 링크는 회전 섹션(520)과 맞물려서 그 자신의 축에 대해 상부 플레이트의 스핀 회전을 부여한다. 이송 섹션 및 상부 플레이트를 갖는 캐리어의 구조는 캐리어가 선형 섹션에서 개별적으로 이송될 수 있고 또한 배치 처리 챔버에서 회전될 수 있게 한다. 회전 섹션(520)은 또한 로봇 암(411)이 캐리어를 선형 섹션으로부터 배치 처리 챔버로 이송하기 위한 결합 메커니즘을 제공할 수 있다.
도 6a 및 도 6b는 개시된 실시예의 광학 코팅을 형성하기 위한 선형 이송 시스템의 실시예를 도시한다. 도 6a는 사시도인 한편, 도 6b는 상부 단면도이다. 도 6a 및 도 6b의 실시예에서, 쌍으로 된 처리 챔버는 배치 처리 섹션(605)을 형성하기 위해 나란히 배열되며, 일련의 처리 챔버는 단일 캐리어 처리 섹션(610)을 형성하기 위해 단일 파일로 배열된다. 배치 처리 섹션(605)에서, 챔버(615)는 섹션(605)의 크기를 확대 또는 축소하기 위해 쌍으로 추가 또는 제거될 수 있다. 단일 캐리어 처리 섹션(610)에서, 챔버(620)는 섹션(610)의 크기를 확대 또는 축소하기 위해 개별적으로 추가 또는 제거될 수 있다. 배치 처리 섹션에는 연속 챔버들 사이에 격리 밸브가 없으므로, 캐리어는 레이스 트랙 코스에서 연속적인 챔버를 연속적으로 통과할 수 있어서, 각각의 캐리어는 각각의 챔버(615)에서 다수의 패스를 수행한다. 반대로, 선형 처리 섹션의 챔버(620) 사이에 격리 밸브가 제공되고, 각각의 캐리어는 각각의 챔버(620)에서 단일 패스만을 수행한다.
배치 섹션(605)은 ARC의 다중 나노층을 형성하는 데 사용될 수 있는 한편, 단일 캐리어 처리 섹션은 ARC 형성 챔버의 진공 요건과 양립할 수 없는 DLC, 개재 층, 및 소유성 코팅을 형성하는 데 사용될 수 있다. 따라서, 챔버(615) 중 적어도 하나는 IBAD 처리 챔버여야 하는 한편, 챔버(620) 중 적어도 하나는 증발 처리 챔버여야 한다. 또한, 도 6b는 시스템의 서비스를 가능하게 하기 위해 개방된 중간 챔버(615)를 도시한다.
도 6a 및 도 6b에서 점선 화살표는 각 섹션에서의 캐리어의 이송을 도시한다. 배치 처리 섹션(605)에서, 캐리어는 레이스 트랙 타입 코스를 따르며, 이에 따라 각각의 처리 챔버(615)를 여러 번 방문한다. 배치 처리 섹션은 여러 개의 IBAD 스테이션(615)을 가질 수 있으며, 여기서 각각의 스테이션은 도 4a 내지 도 4c의 배치 처리와 관련하여 설명된 바와 같이 하나의 물질의 타겟을 갖는다. 각각의 스퍼터링 소스는 셔터를 가지며, 스퍼터링 타겟 및 원하는 층의 두께에 따라 하나 이상의 셔터가 한번에 개방될 수 있다. 챔버들(615) 사이에 격리 밸브가 제공되지 않기 때문에, 하나의 셔터가 개방될 때, 바로 인접한 두 개의 챔버의 셔터는 교차 스퍼터링(cross-sputtering)을 갖지 않도록 폐쇄되는 것이 바람직하다.
캐리어가 ARC 레이어를 형성하기에 충분한 횟수의 레이스 트랙을 통해 처리되면, 이들은 로드록(625)을 통해 한 번에 하나씩 레이스 트랙을 빠져나가 예를 들어 DLC 및 소유성 층의 형성과 같은 후처리를 위해 단일 파일 선형 처리 섹션(610)으로 진행한다. 캐리어가 그의 후처리를 완료하면, 캐리어는 턴테이블에 캐리어를 로드/언로드 섹션으로 보내는 로드락에 존재한다.
시스템 전체에서 캐리어는 단일 트랙에서 자유롭게 주행하지만, 동력은 배치 처리 섹션(605)과 단일 파일 선형 처리 섹션(610)에서 다르게 적용된다. 구체적으로 배치 처리 섹션(605)에서 단일 트랙은 타원형 레이스 트랙 형상으로 형성되며, 단일 모터에 의해 구동되는 컨베이어 벨트는 레이스 트랙 내의 모든 캐리어와 맞물려 모든 캐리어를 일제히 움직인다. 반대로, 단일 파일 선형 처리 섹션(610)에서, 단일 트랙은 직선 모노레일의 형태이고 개별 자기 휠이 캐리어와 결합하여, 각각의 캐리어가 다른 캐리어와 개별적으로 그리고 독립적으로 이동될 수 있다. 이러한 방식으로, 배치 처리 시스템에서 패스-바이 처리가 수행되는 동안, 선형 섹션에서 정적 처리가 수행되며, 여기서 캐리어는 처리 챔버(620) 내부에서 정지하고 캐리어가 정지된 동안 처리가 수행된다.
도 6a 및 도 6b에 도시된 배치 처리의 일 특징은 배치 처리 섹션(605) 내의 대향 챔버(615) 사이에 가시선(line of sight)이 없다는 것이다. 명확히 하기 위해, 도 6a에서 대향 챔버는 615L 및 615R로서 식별되며, 즉, 각각의 챔버(615L)는 대응하는 챔버(615R)와 쌍을 이룬다. 따라서, 챔버(615L)의 하나의 셔터가 개방될 수 있고, 동시에 그것의 대향 챔버(615R)의 셔터도 개방될 수 있으며, 상기 두 개의 챔버 사이에는 직접적인 가시선이 존재하지 않으므로, 하나의 챔버로부터의 입자는 대향 챔버의 입자와 혼합될 수 없다. 물론, 이는 유성 배치 처리 챔버의 경우에는 해당되지 않는다.
도 4a 내지 도 4c의 실시예에서와 같이, 배치 처리 섹션(605)은 ARC를 형성하는 데 사용될 수 있는 한편, 선형 섹션은 DLC, 개재 층 및 소유성 코팅을 형성하는 데 사용될 수 있다. 도 6a 및 도 6b의 예시에서, 4개의 쌍의 챔버(615)가 도시되어 있다. 따라서, 2개의 쌍은 저 n 층의 나노층을 형성하는 데 사용될 수 있는 한편, 2개의 쌍은 고 n 층의 2개의 나노층을 형성하는 데 사용될 수 있다. 예를 들어, 제1 대향 쌍은 질소 가스 공급을 갖는 알루미늄 타겟을 가질 수 있으며, 제2 대향 쌍은 질소 가스 공급을 갖는 실리콘 타겟을 가질 수 있으며, 제3 대향 쌍은 산소 가스 공급을 갖는 알루미늄 타겟을 가질 수 있으며, 제4 대향 쌍은 산소 가스 공급을 갖는 실리콘 타겟을 가질 수 있다. 이러한 배열 하에서, 제1 대향 쌍의 셔터는 AlN 나노층을 형성하기 위해 개방될 수 있으며, 제2 쌍의 셔터는 Si3N4 나노층을 형성하기 위해 개방될 수 있으며, 제3 쌍의 셔터는 Al2O3 나노층을 형성하기 위해 개방될 수 있으며, 제4 쌍의 셔터는 SiO2 나노층을 형성하기 위해 개방될 수 있다. 이러한 방식으로, 각각의 나노층의 제조 동안 2개의 스퍼터링 스테이션이 동시에 작동하고, 각각의 캐리어는 각각의 작동 스퍼터링 스테이션에서 다중 패스를 수행할 수 있다.
일단 나노층이 완전히 형성되면, 캐리어는 배치 처리 섹션(605)을 빠져 나와 선형 처리 섹션(610)으로 개별적으로 이송되며, 이겨서 DLC, 개재 층, 및 소유성 층은 챔버(620)에 형성될 수 있다. 챔버(620)는 게이트 밸브(625)에 의해 서로 격리될 수 있다(단지 몇 개만이 도시됨). 챔버(620)는 정적 또는 패스-바이 처리 스테이션일 수 있다. 일 실시예에서, DLC 챔버는 정적 처리 챔버인 한편, 소유성 증발 챔버는 패스-바이 챔버이다. 도 6a에 도시된 바와 같이, 선형 처리 섹션(610)에서의 처리가 완료되면, 캐리어는 캐러셀(carousel)(635)로 빠져 나가 캐리어를 로드/언로드 섹션으로 이송하며, 처리된 기판은 캐리어로부터 언로딩되는 한편, 새로운 기판은 캐리어에 로딩된다.
도 6c 내지 도 6e는 전술한 시스템에서 사용될 수 있는 캐리어를 도시한다. 이 예에서, 캐리어는 회전 섹션(640)을 통해 이송 베이스(635)에 부착된 2개의 회전 가능한 상부 플레이트(630)을 갖는다. 기판(650)은 수직 배향으로 상부 플레이트(630) 상에 장착된다. 이 실시예의 하나의 특징은 상부 플레이트에 스핀을 부여하기 위해 동력이 필요하지 않으므로, 모터(F) 및 링크(linkage)가 필요하지 않다는 점이다. 대신에, 회전 섹션(640)은 자기 바(645)와 맞물리는 금속 로드(642)를 포함한다. 이송 베이스(635)가 이동함에 따라, 금속 로드(642)는 자기 바(magnetic bar)(645)를 따라 이동하여, 이것이 회전하게 하여, 상부 플레이트(630) 상에 장착된 기판을 회전시킨다.
전술한 시스템을 사용하여, 유리 기판 상에 코팅을 제조하기 위한 방법이 제공되며, 상기 방법은, 기판을 복수의 캐리어 상에 로딩하는 단계; 복수의 캐리어를 복수의 스퍼터링 소스를 갖는 배치 처리 챔버로 이송하는 단계; 스퍼터링 소스들 앞에서 각각의 캐리어를 여러 번 통과시키도록 배치 처리 챔버 내에서 복수의 캐리어들을 일제히 이송하여 기판 상에 반사 방지 코팅(ARC)의 다중 층을 형성하는 단계; 캐리어를 복수의 선형 처리 챔버를 포함하는 선형 이송 섹션으로 한 번에 하나씩 이송하는 단계- 선형 처리 챔버들 각각은 한 번에 단일 캐리어를 처리하도록 구성됨-; 선형 처리 챔버들 중 적어도 하나를 작동시켜 ARC 위에 다이아몬드-유사 코팅(DLC)을 형성하는 단계; 선형 처리 챔버들 중 적어도 하나를 작동시켜 DLC 위에 소유성 층을 형성하는 단계를 포함한다. 상기 공정은 ARC를 형성하기 위해 캐리어를 배치 처리하는 공정 동안 복수의 스퍼터링 소스의 셔터를 한 번에 하나씩 연속적으로 개방하는 단계를 포함할 수 있다.
본 명세서에 기술된 공정들 및 기술들은 본질적으로 임의의 특정 장치와 관련된 것은 아니며, 구성 요소들의 임의의 적절한 조합에 의해 구현될 수 있는 것으로 이해되어야 한다. 나아가, 다양한 유형의 범용 장치가 본 명세서에 기재된 교시들에 따라 사용될 수 있다. 본 발명은 모든 측면에서 제한적이기보다는 예시적인 것으로 의도된 특정 예시들과 관련하여 기술되었다. 기술분야의 당업자는 많은 상이한 조합들이 본 발명을 실시하는 데 적합할 것임을 인정할 것이다.
더욱이, 본 발명의 다른 구현예들은 여기에 개시된 본 발명의 사양 및 실시를 고려하여 기술분야의 당업자에게 명백해질 것이다. 기술된 실시예들의 다양한 측면 및/또는 구성요소는 단독으로 또는 임의의 조합으로 사용될 수 있다. 사양 및 실례는 단지 예시적인 것으로 간주되며, 본 발명의 진정한 범주 및 사상은 다음의 청구범위에 의해 표시되는 것으로 의도된다.

Claims (20)

  1. 반사 방지 코팅 및 소유성(oleophobic) 코팅을 갖는 광학 코팅을 기판 상에 형성하기 위한 처리 시스템에 있어서,
    기판 캐리어를 개별적으로 및 한 번에 하나씩 선형 방향으로 처리 및 이송하도록 구성된 선형 이송 처리 섹션;
    축에 대해 기판 캐리어를 일제히 이송하도록 구성된 배치(batch) 처리 섹션;
    상기 배치 처리 섹션에 위치된 적어도 하나의 이온 빔 보조 증착 처리 챔버- 상기 이온 빔 보조 증착 처리 챔버는 상기 반사 방지 코팅의 층을 증착하도록 구성됨-;
    기판을 장착하기 위한 복수의 기판 캐리어; 및
    상기 기판 캐리어를 대기에 노출시키지 않고 상기 선형 이송 처리 섹션과 상기 배치 처리 섹션 사이에서 상기 기판 캐리어를 이송하기 위한 수단을 포함하는, 시스템.
  2. 제1항에 있어서,
    상기 기판 캐리어 각각은 축에 대해 상기 기판을 회전시키도록 구성된, 시스템.
  3. 제1항에 있어서,
    상기 기판 캐리어 각각은,
    이송 베이스;
    상기 이송 베이스 상에 위치된 회전 섹션;
    상기 회전 섹션에 회전 가능하게 장착된 상부 플레이트를 포함하는, 시스템.
  4. 제3항에 있어서,
    상기 회전 섹션은 상기 상부 플레이트에 회전을 부여하기 위해 자기 스트립과 맞물리도록 구성된 금속 로드를 포함하는, 시스템.
  5. 제1항에 있어서,
    상기 배치 처리 섹션은 유성(planetary) 처리 챔버를 포함하며, 복수의 캐리어는 상기 유성 처리 챔버의 축에 대해 회전되며, 각각의 캐리어는 또한 자신의 축에 대해 회전하는, 시스템.
  6. 제1항에 있어서,
    상기 배치 처리 시스템은 백투백(back-to-back) 배향으로 2열로 배열된 복수의 처리 챔버, 및 레이스 트랙 코스를 형성하는 상기 복수의 챔버를 통과하는 컨베이어 벨트를 포함하며, 상기 컨베이어 벨트는 상기 복수의 기판 캐리어와 맞물리고 상기 레이스 트랙 코스 주위에서 상기 복수의 기판 캐리어를 함께 일제히 이동시키는, 시스템.
  7. 제6항에 있어서,
    상기 선형 이송 처리 섹션은 진공 로드락(vacuum load lock)을 통해 상기 배치 처리 섹션에 연결된, 시스템.
  8. 제6항에 있어서,
    상기 복수의 처리 챔버 각각은 셔터를 갖는 스퍼터링 소스(sputtering source)를 포함하는, 시스템.
  9. 제8항에 있어서,
    상기 복수의 처리 챔버는 그들 사이에 밸브 게이트 없이 공통 대기(atmosphere)에 연결되는, 시스템.
  10. 제8항에 있어서,
    상기 스퍼터링 소스는 적어도 하나의 실리콘 타겟 및 적어도 하나의 알루미늄 타겟을 포함하고, 상기 복수의 처리 챔버는 산소 가스 소스 및 질소 가스 소스를 포함하는, 시스템.
  11. 제1항에 있어서,
    복수의 선형 이송 처리 섹션 및 복수의 배치 처리 섹션을 포함하고, 각각의 선형 이송 처리 섹션은 대응하는 배치 처리 섹션과 쌍을 이룬, 시스템.
  12. 제11항에 있어서,
    상기 기판 캐리어를 이송하기 위한 수단은 복수의 선형 이송 처리 섹션 중 하나에 각각 부착된 복수의 로봇 암을 포함하는, 시스템.
  13. 제1항에 있어서,
    상기 복수의 기판 캐리어 각각은 수직 배향으로 복수의 기판을 장착하고 처리 동안 기판을 회전시키도록 구성된, 시스템.
  14. 제1항에 있어서,
    상기 선형 이송 시스템에 위치된 적어도 하나의 증발 처리 시스템을 추가로 포함하고, 상기 증발 처리 시스템은 상기 소유성 코팅을 형성하도록 구성된, 시스템.
  15. 유리 기판 상에 코팅을 제조하기 위한 방법으로서,
    상기 기판을 복수의 캐리어 상에 로딩하는 단계;
    상기 복수의 캐리어를 복수의 스퍼터링 소스를 갖는 배치 처리 챔버로 이송하는 단계;
    상기 스퍼터링 소스들 앞에서 상기 각각의 캐리어를 여러 번 통과시키도록 배치 처리 챔버 내에서 상기 복수의 캐리어들을 일제히 이송하여 기판 상에 반사 방지 코팅(ARC)의 다중 층을 형성하는 단계;
    상기 캐리어들을 복수의 선형 처리 챔버를 포함하는 선형 이송 섹션으로 한 번에 하나씩 이송하는 단계- 상기 선형 처리 챔버들 각각은 한 번에 단일 캐리어를 처리하도록 구성됨-;
    상기 선형 처리 챔버들 중 적어도 하나를 작동시켜 상기 ARC 위에 다이아몬드-유사 코팅(DLC)을 형성하는 단계; 및
    상기 선형 처리 챔버들 중 적어도 하나를 작동시켜 상기 DLC 위에 소유성 층을 형성하는 단계를 포함하는, 방법.
  16. 제15항에 있어서,
    상기 ARC를 형성하기 위해 상기 캐리어를 배치 처리하는 공정 동안 상기 복수의 스퍼터링 소스의 셔터를 한 번에 하나씩 연속적으로 개방하는 단계를 더 포함하는, 방법.
  17. 제16항에 있어서,
    상기 배치 처리 동안 상기 캐리어를 회전시키는 단계를 더 포함하는, 방법.
  18. 제15항에 있어서,
    다중 층을 형성하는 단계는 다중의 교대하는 나노층을 형성하는 단계를 포함하고, 각각의 나노층은 30 나노미터 이하의 두께로 만들어지는, 방법.
  19. 제15항에 있어서,
    다중 층을 형성하는 단계는 다중의 교대하는 나노층을 형성하는 단계를 포함하고, 각각의 나노층은 2 나노미터 내지 10 나노미터의 두께로 만들어지지 않는, 방법.
  20. 제15항에 있어서,
    다중 층을 형성하는 단계는 AlN, Si3N4, Al2O3, 및 SiO로 이루어진 다중 교번 나노층을 형성하는 단계를 포함하는, 방법.
KR1020207003503A 2017-07-19 2018-07-19 나노-라미네이트 광학 코팅을 형성하기 위한 시스템 KR102388528B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762534438P 2017-07-19 2017-07-19
US62/534,438 2017-07-19
US201862651617P 2018-04-02 2018-04-02
US62/651,617 2018-04-02
PCT/US2018/042971 WO2019018698A1 (en) 2017-07-19 2018-07-19 SYSTEM FOR FORMING A NANO-LAMINATED OPTICAL COATING

Publications (2)

Publication Number Publication Date
KR20200106878A true KR20200106878A (ko) 2020-09-15
KR102388528B1 KR102388528B1 (ko) 2022-04-20

Family

ID=65015882

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207003503A KR102388528B1 (ko) 2017-07-19 2018-07-19 나노-라미네이트 광학 코팅을 형성하기 위한 시스템

Country Status (8)

Country Link
US (2) US11236013B2 (ko)
EP (1) EP3655562A1 (ko)
JP (1) JP7440912B2 (ko)
KR (1) KR102388528B1 (ko)
CN (1) CN112166208B (ko)
SG (1) SG11202000479WA (ko)
TW (1) TWI688011B (ko)
WO (1) WO2019018698A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019018698A1 (en) 2017-07-19 2019-01-24 Intevac, Inc. SYSTEM FOR FORMING A NANO-LAMINATED OPTICAL COATING
CN207067963U (zh) * 2017-08-31 2018-03-02 合肥鑫晟光电科技有限公司 一种触控基板和显示装置
US11694913B2 (en) 2018-12-18 2023-07-04 Intevac, Inc. Hybrid system architecture for thin film deposition
US11414748B2 (en) * 2019-09-25 2022-08-16 Intevac, Inc. System with dual-motion substrate carriers
KR102543798B1 (ko) * 2018-12-18 2023-06-14 인테벡, 인코포레이티드 박막 증착을 위한 하이브리드 시스템 아키텍처
US11352292B2 (en) 2019-01-30 2022-06-07 Ppg Industries Ohio, Inc. Method for preparing optical articles with multi-layer antireflective coatings
WO2020167630A1 (en) * 2019-02-11 2020-08-20 Kateeva, Inc. Printing system, process chamber and printing method for handling substrates in different orientations
CN113774345B (zh) * 2021-09-01 2023-05-30 星浪光学科技(江苏)有限公司 一种基于磁控溅射的复合板手机后盖印刷镀膜方法
CN115652265B (zh) * 2022-12-08 2023-04-04 常州夸克涂层科技有限公司 多弧离子镀用输送系统及多弧离子镀膜工艺

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060231382A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Rotating pallet in sputtering system
US20090134012A1 (en) * 2007-11-22 2009-05-28 Canon Anelva Corporation Sputtering apparatus and sputtering method
KR101028065B1 (ko) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5798027A (en) * 1988-02-08 1998-08-25 Optical Coating Laboratory, Inc. Process for depositing optical thin films on both planar and non-planar substrates
JPH06240445A (ja) * 1993-02-15 1994-08-30 Canon Inc イオンビームアシスト蒸着による光学薄膜の製造方法および装置
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
JP2002167661A (ja) * 2000-11-30 2002-06-11 Anelva Corp 磁性多層膜作製装置
KR20050023215A (ko) * 2001-10-12 2005-03-09 어낵시스 발처스 악티엔게젤샤프트 컴포넌트 및 초고진공 cvd 반응기의 제조 방법
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP2004319889A (ja) 2003-04-18 2004-11-11 Seiko Epson Corp 製造対象物の受け渡し装置および製造対象物の受け渡し方法
JP4580636B2 (ja) 2003-12-11 2010-11-17 大日本印刷株式会社 成膜装置および成膜方法
JP2006039007A (ja) 2004-07-23 2006-02-09 Toppan Printing Co Ltd 反射防止部材
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
WO2010014761A1 (en) * 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
DE102008062332A1 (de) * 2008-12-15 2010-06-17 Gühring Ohg Vorrichtung zur Oberflächenbehandlung und/oder -beschichtung von Substratkomponenten
TWI394224B (zh) 2009-02-24 2013-04-21 Intevac Inc 載送及處理基板之裝置與方法
TWI463452B (zh) * 2009-04-21 2014-12-01 Ind Tech Res Inst 觸控式顯示裝置及其製造方法
US8647437B2 (en) * 2010-05-31 2014-02-11 Ci Systems (Israel) Ltd. Apparatus, tool and methods for depositing annular or circular wedge coatings
CN103649370B (zh) 2011-07-06 2016-03-23 株式会社神户制钢所 真空成膜装置
WO2013072760A2 (en) * 2011-10-26 2013-05-23 Brooks Automation, Inc. Semiconductor wafer handling and transport
US10106883B2 (en) 2011-11-04 2018-10-23 Intevac, Inc. Sputtering system and method using direction-dependent scan speed or power
US9957609B2 (en) 2011-11-30 2018-05-01 Corning Incorporated Process for making of glass articles with optical and easy-to-clean coatings
MY171044A (en) * 2011-12-27 2019-09-23 Intevac Inc System architecture for combined static and pass-by processing
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
JP2014093489A (ja) 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
JP2014208577A (ja) 2013-03-29 2014-11-06 旭硝子株式会社 光学素子及び光学素子の製造方法
WO2014167615A1 (ja) 2013-04-10 2014-10-16 キヤノンアネルバ株式会社 スパッタリング装置
CN103594551B (zh) * 2013-10-17 2015-10-28 中国电子科技集团公司第四十八研究所 硅基砷化镓外延材料及器件制造设备和制造方法
KR20150060086A (ko) * 2013-11-25 2015-06-03 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템
WO2015112918A1 (en) 2014-01-24 2015-07-30 General Plasma, Inc. Scratch and fingerprint resistant anti-reflective films for use on display windows of electronic devices and other related technology
KR101985922B1 (ko) * 2014-02-04 2019-06-04 어플라이드 머티어리얼스, 인코포레이티드 캐리어에 의해 지지되는 기판 상에 하나 또는 그 초과의 층들을 증착하기 위한 시스템 및 그러한 시스템을 사용하는 방법
US20150345007A1 (en) 2014-05-28 2015-12-03 Apple Inc. Combination vapor deposition chamber
DE102014108679A1 (de) 2014-06-20 2015-12-24 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Optisches Element mit einer reflektierenden Beschichtung
US20160023941A1 (en) 2014-07-22 2016-01-28 Intevac, Inc. Coating for glass with improved scratch/wear resistance and oleophobic properties
ES2748667T3 (es) 2015-10-30 2020-03-17 Rioglass Solar Systems Ltd Método para la deposición de capas funcionales adecuadas para tubos receptores de calor
WO2019018698A1 (en) 2017-07-19 2019-01-24 Intevac, Inc. SYSTEM FOR FORMING A NANO-LAMINATED OPTICAL COATING

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101028065B1 (ko) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
US20060231382A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Rotating pallet in sputtering system
US20090134012A1 (en) * 2007-11-22 2009-05-28 Canon Anelva Corporation Sputtering apparatus and sputtering method

Also Published As

Publication number Publication date
TWI688011B (zh) 2020-03-11
US20190025469A1 (en) 2019-01-24
SG11202000479WA (en) 2020-02-27
US11897811B2 (en) 2024-02-13
KR102388528B1 (ko) 2022-04-20
WO2019018698A1 (en) 2019-01-24
US20220185726A1 (en) 2022-06-16
US11236013B2 (en) 2022-02-01
CN112166208A (zh) 2021-01-01
JP2020527651A (ja) 2020-09-10
JP7440912B2 (ja) 2024-02-29
TW201909288A (zh) 2019-03-01
CN112166208B (zh) 2023-12-12
EP3655562A1 (en) 2020-05-27

Similar Documents

Publication Publication Date Title
KR102388528B1 (ko) 나노-라미네이트 광학 코팅을 형성하기 위한 시스템
TWI564409B (zh) 光學塗佈方法、設備與產品
TWI763686B (zh) 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
US9365450B2 (en) Base-layer consisting of two materials layer with extreme high/low index in low-e coating to improve the neutral color and transmittance performance
KR20140098178A (ko) 광학 코팅 및 etc 코팅을 가진 유리 물품 제조 방법
EP0505547A4 (en) D.c. reactively sputtered antireflection coatings
US9963773B2 (en) Durable MgO—MgF2 composite film for infrared anti-reflection coatings
JP3952017B2 (ja) 光学的に有効な多層膜を形成するための方法および装置
CN110612001A (zh) 多色介电涂层及uv喷墨打印
JP2002363745A (ja) スパッタによる膜の形成方法、光学部材、およびスパッタ装置
US20120263885A1 (en) Method for the manufacture of a reflective layer system for back surface mirrors
TWI713228B (zh) 用於移動設備外殼的多色的介電質塗層及其製法與系統
US10955589B2 (en) Optical coating having nano-laminate for improved durability
US20050064642A1 (en) Optical component and method of manufacturing the same
JP2019035100A (ja) 反射膜の製造方法
JP2008275918A (ja) 防汚層を備えた反射防止層の成膜方法及び同成膜を行うための成膜装置
EP1126045A2 (en) Apparatus for coating substrates
TW202415787A (zh) 藉由磁控濺鍍以沉積量子化奈米層的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant