KR20200027665A - 플라즈마 증착 방법 및 플라즈마 증착 장치 - Google Patents

플라즈마 증착 방법 및 플라즈마 증착 장치 Download PDF

Info

Publication number
KR20200027665A
KR20200027665A KR1020180105731A KR20180105731A KR20200027665A KR 20200027665 A KR20200027665 A KR 20200027665A KR 1020180105731 A KR1020180105731 A KR 1020180105731A KR 20180105731 A KR20180105731 A KR 20180105731A KR 20200027665 A KR20200027665 A KR 20200027665A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
insulating plate
forming
gap
Prior art date
Application number
KR1020180105731A
Other languages
English (en)
Other versions
KR102581681B1 (ko
Inventor
카오루 야마모토
김창현
송현재
신건욱
신현진
안성주
이장희
이창석
전기영
정근오
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180105731A priority Critical patent/KR102581681B1/ko
Priority to US16/385,441 priority patent/US11270881B2/en
Priority to CN201910552879.3A priority patent/CN110880447B/zh
Publication of KR20200027665A publication Critical patent/KR20200027665A/ko
Application granted granted Critical
Publication of KR102581681B1 publication Critical patent/KR102581681B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

플라즈마 증착 방법에 있어서, 챔버 내의 기판 스테이지 상에 기판을 로딩한다. 상기 기판 상부에 상기 기판으로부터 제1 거리만큼 이격된 위치에 제1 플라즈마를 형성한다. 상기 제1 플라즈마 영역에 제1 공정 가스를 공급하여 상기 기판 상에 전처리 공정을 수행한다. 상기 기판 상부에 상기 기판으로부터 상기 제1 거리와 다른 제2 거리만큼 이격된 위치에 제2 플라즈마를 형성한다. 상기 제2 플라즈마 영역에 제2 공정 가스를 공급하여 상기 기판 상에 증착 공정을 수행한다.

Description

플라즈마 증착 방법 및 플라즈마 증착 장치{PLASMA DEPOSITION METHOD AND PLASMA DEPOSITION APPARATUS}
본 발명은 플라즈마 증착 방법 및 플라즈마 증착 장치에 관한 것이다. 보다 자세하게, 본 발명은 마이크로웨이브형 플라즈마를 이용한 증착 방법 및 이를 수행하기 위한 플라즈마 증착 장치에 관한 것이다.
마이크로웨이브 증착 장치에 있어서, 챔버 상부의 절연 플레이트의 하부면 상의 플라즈마 밀도가 극단적으로 높고 하부로 갈수록 밀도가 점차적으로 감소할 수 있다. 증착 공정 시에는 웨이퍼에 데미지를 감소시키기 위하여 상기 웨이퍼와 상기 절연 플레이트 사이의 거리가 클수록 좋고, 전처리 공정 시에는 수소 라디칼의 수명을 고려하여 플라즈마 영역과 상기 웨이퍼 사이의 거리를 짧을수록 좋다. 기존의 증착 장치는 성막 공정 및 전처리 공정 모두를 충족시키는 공정 조건을 제공하지 못하는 문제점이 있다.
본 발명의 일 과제는 플라즈마 처리 시에 웨이퍼의 데미지를 감소시키고 플라즈마 균일성, 공정 제어성 및 생산성을 향상시킬 수 있는 플라즈마 증착 방법을 제공하는 데 있다.
본 발명의 다른 과제는 상술한 플라즈마 증착 방법을 수행하기 위한 플라즈마 증착 장치를 제공하는 데 있다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 플라즈마 증착 방법에 있어서, 챔버 내의 기판 스테이지 상에 기판을 로딩한다. 상기 기판 상부에 상기 기판으로부터 제1 거리만큼 이격된 위치에 제1 플라즈마를 형성한다. 상기 제1 플라즈마 영역에 제1 공정 가스를 공급하여 상기 기판 상에 전처리 공정을 수행한다. 상기 기판 상부에 상기 기판으로부터 상기제1 거리와 다른 제2 거리만큼 이격된 위치에 제2 플라즈마를 형성한다. 상기 제2 플라즈마 영역에 제2 공정 가스를 공급하여 상기 기판 상에 증착 공정을 수행한다.
상기 본 발명의 일 과제를 달성하기 위한 예시적인 실시예들에 따른 플라즈마 증착 방법에 있어서, 챔버 내의 기판 스테이지 상에 기판을 로딩한다. 상기 기판 스테이지와 상기 절연 플레이트 사이를 제1 갭으로 유지한다. 상기 기판 스테이지와 상기 절연 플레이트 사이에서 제1 플라즈마를 형성한다. 상기 제1 플라즈마 영역에 제1 공정 가스를 공급하여 상기 기판 상에 전처리 공정을 수행한다. 상기 기판 스테이지와 상기 절연 플레이트 사이를 상기 제1 갭보다 큰 제2 갭으로 유지한다. 상기 기판 스테이지와 상기 절연 플레이트 사이에서 제2 플라즈마를 형성한다. 상기 제2 플라즈마 영역에 제2 공정 가스를 공급하여 상기 기판 상에 증착 공정을 수행한다.
상기 본 발명의 다른 과제를 달성하기 위한 예시적인 실시예들에 따른 플라즈마 증착 장치는 챔버, 상기 챔버 내에 기판을 지지하기 위한 기판 스테이지, 상기 챔버 상부의 절연 플레이트 아래에 플라즈마를 형성하기 위한 플라즈마 생성부, 상기 플라즈마 영역에 공정 가스를 공급하기 위한 가스 분배 어셈블리, 상기 기판 스테이지를 승하강 시키기 위한 리프트 메커니즘, 및 상기 플라즈마 생성부, 상기 가스 분배 어셈블리 및 상기 리프트 메커니즘에 연결되어 이들의 동작을 제어하기 위한 제어부를 포함한다. 제1 갭을 갖는 상기 기판 스테이지와 상기 절연 플레이트 사이에 제1 플라즈마를 형성하여 상기 기판 상에 전처리 공정을 수행하고 상기 제1 갭보다 큰 제2 갭을 갖는 상기 기판 스테이지와 상기 절연 플레이트 사이에 제2 플라즈마를 형성하여 상기 기판 상에 증착 공정을 수행한다.
상기 본 발명의 다른 과제를 달성하기 위한 예시적인 실시예들에 따른 플라즈마 증착 장치는 복수 개의 기판 스테이지들을 갖는 회전 가능한 서셉터 어셈블리, 및 상기 기판 스테이지들에 대응하는 복수 개의 플라즈마 발생부들을 가지고 상기 서셉터 어셈블리와 맞물려 서로 대응하는 상기 기판 스테이지와 상기 플라즈마 발생부를 각각 포함하는 복수 개의 챔버들을 형성하는 주입기 어셈블리를 포함한다. 상기 챔버들 중에서 제1 챔버의 상기 기판 스테이지와 상기 절연 플레이트 사이가 제1 갭을 갖고, 상기 챔버들 중에서 제2 챔버의 상기 기판 스테이지 사이는 상기 제1 갭보다 큰 제2 갭을 갖도록 배치된다.
예시적인 실시예들에 따르면, 플라즈마 증착장치는 기판 스테이지와 절연 플레이트 사이의 거리를 조절하고 서로 다른 갭들에서 플라즈마를 형성하여 인시튜로 전처리 공정과 증착 공정을 수행할 수 있다.
따라서, 플라즈마 영역과 기판 사이의 거리를 제어함으로써, 전처리 공정과 증착 공정 모두를 만족시키는 공정 조건을 제공할 수 있다. 상기 기판 스테이지와 상기 절연 플레이트 사이를 조절하여, 플라즈마 밀도, 플라즈마 균일성, 가스 균일성, 가스 퍼지 시간 등을 제어할 수 있다.
다만, 본 발명의 효과는 상기 언급한 효과에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
도 1은 예시적인 실시예들에 따른 플라즈마 증착 장치를 나타내는 블록도이다.
도 2는 도 1의 플라즈마 증착 장치의 기판 히터가 하강된 상태를 나타내는 블록도이다.
도 3은 도 1의 기판 히터와 절연 플레이트 사이의 제1 플라즈마 상태를 나타내는 단면도이다.
도 4는 도 1의 기판 히터와 절연 플레이트 사이의 제2 플라즈마 상태를 나타내는 단면도이다.
도 5는 도 1의 플라즈마 증착 장치에 의해 인시튜로 수행되는 전처리 공정 및 증착 공정을 나타내는 타이밍도이다.
도 6은 예시적인 실시예들에 따른 플라즈마 처리 장치를 나타내는 평면도이다.
도 7은 도 6의 I-I' 라인을 따라 절단한 단면도이다.
도 8 내지 도 11은 도 7의 플라즈마 처리 장치를 이용하여 웨이퍼를 처리하는 방법을 나타내는 단면도들이다.
도 12는 예시적인 실시예들에 따른 플라즈마 증착 방법을 나타내는 순서도이다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다.
도 1은 예시적인 실시예들에 따른 플라즈마 증착 장치를 나타내는 블록도이다. 도 2는 도 1의 플라즈마 증착 장치의 기판 히터가 하강된 상태를 나타내는 블록도이다. 도 3은 도 1의 기판 히터와 절연 플레이트 사이의 제1 플라즈마 상태를 나타내는 단면도이다. 도 4는 도 1의 기판 히터와 절연 플레이트 사이의 제2 플라즈마 상태를 나타내는 단면도이다. 도 5는 도 1의 플라즈마 증착 장치에 의해 인시튜로 수행되는 전처리 공정 및 증착 공정을 나타내는 타이밍도이다. 도 1은 기판 히터가 상승되어 기판 히터와 절연 플레이트 사이에 제1 갭이 유지된 상태를 나타내고, 도 2는 기판히터가 하강되어 기판 히터와 절연 플레이트 사이에 제2 갭이 유지된 상태를 나타낸다.
도 1 내지 도 5를 참조하면, 플라즈마 증착 장치(100)는 챔버(110), 기판 히터 어셈블리, 가스 분배 어셈블리, 및 챔버(110) 상부의 절연 플레이트(140)를 거쳐 챔버(110) 내에 플라즈마를 형성하기 위한 플라즈마 생성부를 포함할 수 있다. 플라즈마 증착 장치(100)는 배기부를 더 포함할 수 있다.
예시적인 실시예들에 있어서, 플라즈마 증착 장치(100)는 마이크로웨이브형 플라즈마를 생성하여 반도체 웨이퍼(W)와 같은 기판 상에 막을 형성하기 위한 장치일 수 있다. 하지만, 상기 플라즈마 증착 장치에 의해 생성된 플라즈마는 마이크로웨이브형 플라즈마에 제한되지는 않으며, 예를 들면, 용량 결합형 플라즈마, 유도 결합형 플라즈마일 수 있다. 여기서, 상기 기판은 반도체 기판, 유리 기판 등을 포함할 수 있다.
챔버(110)는 실린더 형상의 처리 용기를 포함할 수 있다. 챔버(110)는 알루미늄, 스테인레스 등을 포함할 수 있다. 챔버(110)의 측벽에는 웨이퍼(W)의 출입을 위한 게이트(도시되지 않음)가 설치될 수 있다. 상기 게이트를 통해 웨이퍼(W)가 기판 스테이지로서의 기판 히터 상으로 로딩 및 언로딩될 수 있다.
상기 배기부는 챔버(110)의 하부에 설치된 배기 포트(114)에 배기관을 통해 연결될 수 있다. 상기 배기부는 터보 분자 펌프와 같은 진공 펌프를 포함하여 챔버(110) 내부의 처리 공간을 원하는 진공도의 압력으로 조절할 수 있다. 또한, 챔버(110) 내에 발생된 공정 부산물들을 배기 포트(114)를 통하여 배출될 수 있다.
상기 기판 히터 어셈블리는 챔버(110) 내부에 배치되어 상기 기판을 지지하기 위한 기판 스테이지로서의 기판 히터(120)를 포함할 수 있다. 기판 히터(120)는 상기 기판을 원하는 온도로 유지하기 위한 히터(122)를 포함할 수 있다. 예를 들면, 히터(122)는 저항성 코일을 포함할 수 있다. 기판 히터(120)는 알루미나 또는 질화알루미나 등의 절연 재료를 포함할 수 있다. 히터(122)는 약 100℃ 내지 700℃의 온도 범위로 가열될 수 있다. 상기 코일은 동심원으로 배열될 수 있다.
또한, 기판 히터(120)는 상부에 웨이퍼(W)를 정전 흡착력으로 유지하기 위한 정전 전극(도시되지 않음)을 더 포함할 수 있다. 또한, 기판 히터(120)에는 고주파가 인가되는 하부 전극(도시되지 않음)이 추가적으로 설치될 수 있다.
예시적인 실시예들에 있어서, 상기 기판 히터 어셈블리는 기판 히터(120)를 승하강 시키기 위한 리프트 메커니즘을 포함할 수 있다. 상기 리프트 메커니즘은 기판 히터(120)에 부착된 지지 샤프트(124)를 승하강 시키기 위한 구동 모터(130)를 포함할 수 있다. 구동 모터(130)는 적절한 기어 드라이브를 통해 지지 샤프트(124)를 승하강 시킬 수 있다.
상기 리프트 메커니즘은 지지 샤프트(124)의 일단부와 챔버(110)의 바닥부 사이에 부착되는 벨로우즈(bellows)(132)를 포함할 수 있다. 벨로우즈(132)는 지지 샤프트(124)의 자유로운 상하 운동을 허용하면서, 챔버(110) 내부를 외부로부터 밀봉시킬 수 있다.
상기 플라즈마 생성부는 래디얼 라인 슬롯 안테나(radial line slot antenna)를 포함할 수 있다. 상기 플라즈마 생성부는 플라즈마를 여기하기 위하여 슬롯 안테나로부터 방사된 마이크로웨이브를 이용할 수 있다. 하지만, 이에 제한되지는 않으며, 플라즈마를 생성하는 다른 플라즈마 소스도 가능함을 이해할 수 있을 것이다.
예시적인 실시예들에 있어서, 상기 플라즈마 생성부는 챔버(110) 상부의 유전체 창으로서의 역할을 수행하는 절연 플레이트(140)를 거쳐서 마이크로웨이브를 챔버(110) 내부로 도입할 수 있다. 상기 마이크로웨이브에 의해 절연 플레이트(140) 바로 아래의 영역에 고밀도의 플라즈마가 여기될 수 있다. 상기 생성된 플라즈마는 표면 웨이브 플라즈마라 할 수 있다.
절연 플레이트(140)는 챔버(110) 상부의 개구 부분을 커버하도록 배치될 수 있다. 예를 들면, 절연 플레이트(140)는 석영, 알루미늄, 질화물 또는 알루미늄 산화물을 포함할 수 있다. 절연 플레이트(140)의 하부면은 기판 히터(120)와 대면하는 전방 표면일 수 있다.
상기 플라즈마 생성부는 마이크로웨이브 전력 공급부(150), 도파관(152) 및 슬롯 안테나(154)를 포함할 수 있다. 마이크로웨이브 파워는 복수의 슬롯들을 갖는 슬롯 안테나(154)를 경유하여 절연 플레이트(140)를 통해 챔버(110) 내부로 제공될 수 있다.
슬롯 안테나(154)는, 예를 들면, 구리를 포함한 금속 플레이트일 수 있다. 도파관(152)은, 예를 들어, 약 2.45 GHz의 마이크로웨이브 주파수로 전자파를 발생하기 위하여 마이크로웨이브 전력 공급부(150)에 연결될 수 있다. 도파관(152)은 슬롯 안테나(154)에 연결되어 슬롯 안테나(154)에 마이크로웨이브 파워를 공급할 수 있다.
마이크로웨이브 전력은 예를 들어, 약 0.5 W/cm2 내지 약 4 W/cm2일 수 있다. 마이크로웨이브는 약 300 MHz 내지 약 10 GHz, 예를 들어 약 2.45 GHz의 마이크로파 주파수를 포함할 수 있다. 플라즈마는 약 1 x 1010/cm3 내지 약 1 x 1013/cm3의 밀도를 가질 수 있다.
예시적인 실시예들에 있어서, 상기 가스 분배 어셈블리는 상기 유전체 창으로서의 역할을 수행하는 절연 플레이트(140)를 포함할 수 있다. 상기 가스 분배 어셈블리는 절연 플레이트(140)에 형성된 가스 분사구(142)을 통해 공정 가스를 공급할 수 있다. 상기 가스 분사구는 절연 플레이트(140)의 중심 영역을 포함한 복수 영역들에 관통 형성될 수 있다. 절연 플레이트(140)의 중심 영역에 형성된 가스 분사구로부터 상대적으로 전자 온도가 높은 플라즈마 영역(P1, P2)에 공정 가스가 공급될 수 있다.
상기 가스 분배 어셈블리는 챔버(110)의 상부 측벽에 배치되어 상기 플라즈마 영역에 공정 가스를 공급하기 위한 가스 분사 노즐들을 더 포함할 수 있다. 이 경우에 있어서, 상기 가스 분사 노즐들은 챔버(110)의 상부 측벽을 따라 원주 방향으로 서로 이격배치될 수 있다.
상기 가스 분배 어셈블리는 전처리 공정을 위한 제1 공정 가스를 공급할 수 있다. 예를 들면, 상기 제1 공정 가스는 수소(H2)를 포함할 수 있다. 상기 가스 분배 어셈블리는 증착 공정을 위한 제2 공정 가스를 공급할 수 있다. 예를 들면, 상기 제2 공정 가스는 탄소 함유 가스를 포함할 수 있다. 상기 탄소 함유 가스는 아세틸렌(C2H2,), 메탄(CH4) 등을 포함할 수 있다. 또한, 상기 가스 분배 어셈블리는 아르곤(Ar), 헬륨(He) 등과 플라즈마 가스를 공급할 수 있다.
제어기(160)는 플라즈마 증착 장치(100)로부터의 출력들을 모니터링하고 이들의 동작들을 제어할 수 있는 마이크로프로세서, 메모리, 디지털 I/O 포트 등을 포함할 수 있다. 제어기(160)는 히터(122), 구동 모터(130), 상기 배기부, 마이크로웨이브 전력 공급부(150) 등에 연결되고, 정보를 교환할 수 있다. 메모리에 저장된 프로그램은 저장된 공정 레시피에 따라 플라즈마 증착 장치(100)의 구성요소들을 제어하기 위해 이용될 수 있다. 구도구
도 1 및 도 3에 도시된 바와 같이, 기판 히터(120)와 절연 플레이트(140) 사이의 거리를 제1 갭(G1)으로 유지하고, 기판 히터(120)와 절연 플레이트(140) 사이에서 제1 플라즈마(P1)를 형성할 수 있다.
상기 리프트 메커니즘은 지지 샤프트(124)를 승강시켜 기판 히터(120)와 절연 플레이트(140) 사이를 제1 갭(G1)으로 유지시킬 수 있다. 슬롯 안테나(154)로부터 방사된 마이크로웨이브에 의해 절연 플레이트(140)의 하부면 바로 아래의 영역에 제1 플라즈마(P1)를 형성하고, 상기 가스 분배 어셈블리는 제1 플라즈마 영역에 공정 가스를 공급할 수 있다. 제1 플라즈마(P1)는 제1 플라즈마 밀도를 가질 수 있다.
도 2 및 도 4에 도시된 바와 같이, 기판 히터(120)와 절연 플레이트(140) 사이의 거리를 제1 갭(G1)보다 큰 제2 갭(G2)으로 유지하고, 기판 히터(120)와 절연 플레이트(140) 사이에서 제2 플라즈마(P2)를 형성할 수 있다.
상기 리프트 메커니즘은 지지 샤프트(124)를 하강시켜 기판 히터(120)와 절연 플레이트(140) 사이를 제2 갭(G2)으로 유지시킬 수 있다. 슬롯 안테나(154)로부터 방사된 마이크로웨이브에 의해 절연 플레이트(140)의 하부면 바로 아래의 영역에 제2 플라즈마(P2)를 형성하고, 상기 가스 분배 어셈블리는 제2 플라즈마 영역에 공정 가스를 공급할 수 있다. 제2 플라즈마(P2)는 상기 제1 플라즈마 밀도보다 작은 제2 플라즈마 밀도를 가질 수 있다.
플라즈마 여기 영역의 플라즈마는 고밀도이며 전자 온도도 비교적 높지만, 플라즈마는 확산에 의해 발생 영역으로부터 기판 처리 영역으로 수송되므로, 전자온도가 저하할 수 있다. 전자 밀도도 마찬가지로 확산에 의해 감쇠할 수 있다. 고밀도의 플라즈마 여기 영역에서 활성화된 라디칼들이 상기 기판 처리 영역으로 전달되는 양은 기판 히터(120)와 절연 플레이트(140) 사이의 거리에 따라 결정될 수 있다.
도 5에 도시된 바와 같이, 예시적인 실시예들에 있어서, 플라즈마 처리장치(100) 내에서 전처리 공정 및 증착 공정을 인시튜로 수행하여 웨이퍼(W) 상에 그래핀 층을 형성할 수 있다.
상기 전처리 공정에서, 제1 갭(G1)을 갖는 기판 히터(120)와 절연 플레이트(140) 사이에 제1 플라즈마(P1)를 형성되고 수소(H2) 가스가 공급되어 웨이퍼(W) 상의 자연 산화막 또는 이물질을 제거할 수 있다. 제1 갭(G1)은 10mm이고, 제1 플라즈마(P1)는 1 x 1011/cm3의 밀도를 가질 수 있다.
상기 증착 공정에서, 제2 갭(G2)을 갖는 기판 히터(120)와 절연 플레이트(140) 사이에 제2 플라즈마(P2)를 형성되고 아세틸렌(C2H2) 가스가 공급되어 웨이퍼(W) 표면 상에 그래핀 층을 형성할 수 있다. 제2 갭(G2)은 100mm이고, 제1 플라즈마(P1)는 1 x 1010/cm3의 밀도를 가질 수 있다. 상기 그래핀 층은 4 내지 10의 적층된 막들을 포함할 수 있다.
그래핀 증착 공정은 균일한 (가스 상태) 반응을 이용하고, 아세틸렌(C2H2)과 같은 전구체는 슬롯 안테나(154)와 매우 인접한, 즉, 절연 플레이트(140)의 하부면 바로 아래의 영역에서의 반응할 수 있다. 하지만, 웨이퍼(W)는 플라즈마로부터 데미지를 받지 않아야 하므로 기판 히터(120)와 절연 플레이트(140) 사이의 거리가 상대적으로 크게 설정될 수 있다. 상기 아세틸렌 전구체는 플라즈마에 의해 다음의 화학 반응식(1)과 같이 분해될 수 있다.
C2H2 → C* + H2* ------ (1)
상기 전처리 공정은 고 품질의 그래핀 층을 형성하기 위해 요구될 수 있다. 상기 전처리 공정은 수소 가스를 사용하고, 플라즈마에 의해생성된 수소 라디칼의 수명은 매우 짧다. 따라서, 기판 히터(120)와 절연 플레이트(140) 사이의 거리가 상대적으로 작게 설정될 수 있다. 상기 수소 가스는 플라즈마에 의해 다음의 화학 반응식(2)와 같이 분해될 수 있다.
H2 → H* ------ (2)
상술한 바와 같이, 플라즈마 증착장치(100)는 기판 히터(120)와 절연 플레이트(140) 사이의 거리를 조절하고 서로 다른 갭들에서 플라즈마를 형성하여 인시튜로 전처리 공정과 증착 공정을 수행할 수 있다.
따라서, 플라즈마 영역과 기판 사이의 거리를 제어함으로써, 전처리 공정과 증착 공정 모두를 만족시키는 공정 조건을 제공할 수 있다. 기판 히터(120)와 절연 플레이트(140) 사이를 조절하여, 플라즈마 밀도, 플라즈마 균일성, 가스 균일성, 가스 퍼지 시간 등을 제어할 수 있다.
도 6은 예시적인 실시예들에 따른 플라즈마 처리 장치를 나타내는 평면도이다. 도 7은 도 6의 I-I' 라인을 따라 절단한 단면도이다. 상기 플라즈마 처리 장치는 캐러설-타입(carousel-type) 챔버 구성을 제외하고는 도 1 내지 도 5를 참조로 설명한 플라즈마 처리 장치와 실질적으로 동일하거나 유사하다. 이에 따라, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다.
도 6 및 도 7을 참조하면, 플라즈마 처리 장치(200)는 순차적으로 서로 다른 공정들을 수행하는 복수 개의 챔버들(210-A, 210-B, 210-C)을 포함할 수 있다. 플라즈마 처리 장치(200)는 처리 공간을 분리된 상기 챔버들로 분할하는 측벽 파티션들을 포함할 수 있다. 상기 챔버들 중 적어도 일부들은 마이크로웨이브형 플라즈마를 생성하여 웨이퍼(W)를 처리할 수 있다.
구체적으로, 플라즈마 처리 장치(200)는 서로 맞물려 복수 개의 챔버들(210-A, 210-B, 210-C)을 정의하는 주입기 어셈블리(208) 및 서셉터 어셈블리(206)를 포함할 수 있다. 주입기 어셈블리(208)는 강성의 정지형 몸체를 포함할 수 있다. 서셉터 어셈블리(206)는 주입기 어셈블리(208) 아래에 위치하고 복수 개의 웨이퍼들을 각각 홀딩(hold)할 수 있는 캐러셀-타입 챔버(carousel-type chamber)일 수 있다. 주입기 어셈블리(208) 및 서셉터 어셈블리(206)는 서로 맞물리는 표면들을 가질 수 있다.
서셉터 어셈블리(206)는 상승, 하강 및 회전시킬 수 있는 지지 포스트(224)를 포함할 수 있다. 서셉터 어셈블리(206)는 지지 포스트(224) 내에 히터를 위한 라인들을 포함할 수 있다. 지지 포스트(224)는 서셉터 어셈블리(206)와 주입기 어셈블리(208) 사이의 갭을 감소시켜 서로 맞물려 결합시킬 수 있다.
서셉터 어셈블리(206)는 상기 챔버들 각각의 챔버 하부를 형성하는 리세스를 정의하는 측벽(212a, 212b)을 포함할 수 있다. 서셉터 어셈블리(206)는 상기 리세스들 내에 수용된 기판 스테이지로서의 기판 히터들(220a, 220b)을 포함할 수 있다.
주입기 어셈블리(208)는 상기 챔버들 각각의 챔버 상부를 형성하는 리세스를 정의하는 측벽을 포함할 수 있다. 주입기 어셈블리(208)는 상기 기판 히터들 각각에 대응하며 절연 플레이트(240a, 240b)를 거쳐서 마이크로웨이브를 각각의 챔버 내부로 도입하기 위한 플라즈마 생성부를 포함할 수 있다. 마이크로웨이브 파워는 복수의 슬롯들을 갖는 슬롯 안테나(254a, 254b)를 경유하여 절연 플레이트(240a, 240b)를 통해 각각의 챔버 내부로 제공될 수 있다.
주입기 어셈블리(208)는 절연 플레이트(140)에 형성된 가스 분사구(142)를 통해 공정 가스를 공급하기 위한 가스 분배 어셈블리를 포함할 수 있다. 또한, 주입기 어셈블리(208)는 각각의 챔버의 상부 측벽에 배치되어 플라즈마 영역에 공정 가스를 공급하기 위한 가스 분사 노즐들을 더 포함할 수 있다.
따라서, 주입기 어셈블리(208)와 서셉터 어셈블리(206)는 서로 맞물려 복수 개(예를 들면, 6개)의 챔버들(210-A, 210-B, 210-C)을 형성할 수 있다.
예시적인 실시예들에 있어서, 제1 챔버(210-A)는 전처리 공정을 수행하기 위한 공정 조건을 제공할 수 있다. 제2 챔버(210-B)는 증착 공정을 수행하기 위한 공정 조건을 제공할 수 있다. 제3 챔버(210-C)는 후처리 공정을 수행하기 위한 공정 조건을 제공할 수 있다.
도 7에 도시된 바와 같이, 제1 챔버(210-A)의 기판 히터(220a)와 절연 플레이트(240a) 사이의 거리는 제1 갭(G1)을 갖도록 배치되고, 제2 챔버(210-B)의 기판 히터(220b)와 절연 플레이트(240b) 사이의 거리는 제1 갭(G1)보다 큰 제2 갭(G2)을 갖도록 배치될 수 있다.
제1 챔버(210-A)에서는 제1 갭(G1)을 갖는 기판 히터(220a)와 절연 플레이트(240a) 사이에 제1 플라즈마(P1)를 형성되고 수소(H2) 가스가 공급되어 웨이퍼(W) 상의 자연 산화막 또는 이물질을 제거할 수 있다.
제2 챔버(210-B)에서는 제2 갭(G2)을 갖는 기판 히터(220b)와 절연 플레이트(240b) 사이에 제2 플라즈마(P2)를 형성되고 아세틸렌(C2H2) 가스가 공급되어 웨이퍼(W) 표면 상에 그래핀 층을 형성할 수 있다.
도면에 도시되지는 않았지만, 제3 챔버(210-C)에서는 제3 갭(G1)을 갖는기판 히터와 절연 플레이트 사이에 제3 플라즈마가 형성되고 퍼지 가스 또는 어닐링 가스가 공급되어 웨이퍼(W) 상에 어닐링 공정을 수행할 수 있다. 이 경우에 있어서, 제3 플라즈마 대신에 램프가 사용될 수 있다. 상기 램프는 수은 램프, 아크 램프, 할로겐 램프 등을 포함할 수 있다.
게이트 밸브(204)를 통해 웨이퍼가 제1 챔버(210-A) 내에 로딩된 후, 서셉터 어셈블리(206)는 일정 각도(예를 들면, 60도)만큼 회전하고, 각각의 챔버들 내에서 서로 다른 공정들을 순차적으로 수행할 수 있다.
이하에서는, 도 6 및 도 7의 플라즈마 처리 장치를 이용하여 웨이퍼를 처리하는 방법에 대하여 설명하기로 한다.
도 8 내지 도 11은 도 7의 플라즈마 처리 장치를 이용하여 웨이퍼를 처리하는 방법을 나타내는 단면도들이다. 도 8 내지 도 11은 도 6의 I-I' 라인을 따라 절단한 단면도들이다.
도 8을 참조하면, 서셉터 어셈블리(206)가 하강한 후, 게이트 밸브(240)를 통해 제1 웨이퍼(W1)가 기판 히터(220a) 상에 로딩될 수 있다.
도 9를 참조하면, 서셉터 어셈블리(206)가 상승하여 주입기 어셈블리(208)와 맞물려 제1 챔버(210-A)를 형성할 수 있다.
제1 챔버(210-A)의 기판 히터(220a)와 절연 플레이트(240a) 사이의 거리는 제1 갭(G1)을 가질 수 있다. 제1 갭(G1)을 갖는 기판 히터(220a)와 절연 플레이트(240a) 사이에 제1 플라즈마(P1)를 형성되고 수소(H2) 가스가 공급되어 제1 웨이퍼(W1) 상의 자연 산화막 또는 이물질을 제거할 수 있다.
도 10을 참조하면, 서셉터 어셈블리(206)가 하강하고 일정 각도(예를 들면, 60도)만큼 회전한 후, 게이트 밸브(240)를 통해 제2 웨이퍼(W2)가 기판 히터(220f) 상에 로딩될 수 있다.
서셉터 어셈블리(206)의 회전에 따라, 제1 웨이퍼(W1)가 홀딩된 기판 히터(220a)는 절연 플레이트(240b)와 대향하도록 위치하고, 기판 히터(220f)는 절연 플레이트(240a)와 대향하도록 위치할 수 있다.
도 11을 참조하면, 서셉터 어셈블리(206)가 상승하여 주입기 어셈블리(208)와 맞물려 제1 챔버(210-A) 및 제2 챔버(210-B)를 형성할 수 있다.
제2 챔버(210-B)의 기판 히터(220a)와 절연 플레이트(240b) 사이의 거리는 제2 갭(G2)을 가질 수 있다. 제2 갭(G2)을 갖는 기판 히터(220a)와 절연 플레이트(240b) 사이에 제2 플라즈마(P2)를 형성되고 아세틸렌(C2H2) 가스가 공급되어 제1 웨이퍼(W1) 표면 상에 그래핀 층을 형성할 수 있다.
이와 함께, 제1 챔버(210-A)의 기판 히터(220f)와 절연 플레이트(240a) 사이의 거리는 제1 갭(G1)을 가질 수 있다. 제1 갭(G1)을 갖는 기판 히터(220f)와 절연 플레이트(240a) 사이에 제1 플라즈마(P1)를 형성되고 수소(H2) 가스가 공급되어 제1 웨이퍼(W1) 상의 자연 산화막 또는 이물질을 제거할 수 있다.
이어서, 도면에 도시되지는 않았지만, 서셉터 어셈블리(206)가 하강하고 일정 각도(예를 들면, 60도)만큼 회전한 후, 게이트 밸브(240)를 통해 제3 웨이퍼가 기판 히터 상에 로딩될 수 있다. 서셉터 어셈블리(206)가 상승하여 주입기 어셈블리(208)와 맞물려 제1 챔버(210-A), 제2 챔버(210-B) 및 제3 챔버(210-C)를 형성할 수 있다.
제3 챔버(210-C)의 기판 히터(220a)와 절연 플레이트 사이의 거리는 제3 갭을 가질 수 있다. 제3 갭을 갖는 기판 히터(220a)와 상기 절연 플레이트 사이에 제3 플라즈마를 형성되고 제1 웨이퍼(W1) 상의 상기 그래핀 층에 어닐링 공정을 수행할 수 있다.
제2 챔버(210-B)의 기판 히터(220f)와 절연 플레이트(240b) 사이의 거리는 제2 갭(G2)을 가질 수 있다. 제2 갭(G2)을 갖는 기판 히터(220f)와 절연 플레이트(240b) 사이에 제2 플라즈마(P2)를 형성되고 아세틸렌(C2H2) 가스가 공급되어 제2 웨이퍼(W2) 표면 상에 그래핀 층을 형성할 수 있다.
이와 함께, 제1 챔버(210-A)의 기판 히터와 절연 플레이트(240a) 사이의 거리는 제1 갭(G1)을 가질 수 있다. 제1 갭(G1)을 갖는 상기 기판 히터와 절연 플레이트(240a) 사이에 제1 플라즈마(P1)를 형성되고 수소(H2) 가스가 공급되어 상기 제3 웨이퍼 상의 자연 산화막 또는 이물질을 제거할 수 있다.
상술한 바와 같이, 캐러셀-타입의 서셉터 어셈블리(206)가 일정 각도만큼 회전한 후 주입기 어셈블리(208)와 서셉터 어셈블리(206)는 서로 맞물려 복수 개의 챔버들(210-A, 210-B, 210-C)을 형성할 수 있다. 서셉터 어셈블리(206)가 회전함에 따라, 상기 웨이퍼는 서로 다른 챔버들 내에서 순차적으로 서로 다른 공정들이 수행될 수 있다.
특히, 서로 다른 챔버들 내의 상기 기판 히터와 상기 절연 플레이트 사이의 갭들은 서로 다르도록 배치되므로, 플라즈마 영역과 기판 사이의 거리가 서로 다르게 조절됨으로써, 그래핀 막 형성에서의 전처리 공정과 증착 공정 모두를 만족시키는 공정 조건을 제공할 수 있다.
이하에서는, 도 1 및 도 6의 플라즈마 증착 장치를 이용하여 기판 상에 막을 증착하는 방법에 대하여 설명하기로 한다.
도 12는 예시적인 실시예들에 따른 플라즈마 증착 방법을 나타내는 순서도이다. 상기 플라즈마 증착 방법은 마이크로웨이브 플라즈마를 이용하여 웨이퍼 상에 그래핀 층을 형성하기 위해 사용될 수 있는, 반드시 이에 한정되는 것은 아니다.
도 1, 도 2, 도 6, 도 7 및 도 12를 참조하면, 먼저, 챔버 내의 기판 히터 상에 웨이퍼(W)와 같은 기판을 로딩한 후, 제1 갭을 갖는 상기 기판 히터와 절연 플레이트 사이에서 제1 플라즈마를 형성할 수 있다(S100). 이어서, 상기 제1 플라즈마 영역에 제1 공정 가스를 공급하여 상기 기판 상에 전처리 공정을 수행할 수 있다(S110). 이후, 제2 갭을 갖는 상기 기판 히터와 절연 플레이트 사이에서 제2 플라즈마를 형성한 후(S120), 상기 제2 플라즈마 영역에 제2 공정 가스를 공급하여 상기 기판 상에 증착 공정을 수행할 수 있다(S130).
도 1 및 도 2에 도시된 바와 같이, 기판 히터(120)와 절연 플레이트(140) 사이를 제1 갭(G1)으로 유지하고, 상기 기판 상부에 상기 기판으로부터 제1 거리만큼 이격된 위치에 제1 플라즈마를 형성할 수 있다. 챔버(110) 상부의 절연 플레이트(140)를 통해 마이크로웨이브를 도입하여 절연 플레이트(140)의 하부면 상에 표면 웨이브 플라즈마를 형성할 수 있다.
가스 분배 어셈블리는 제1 플라즈마 영역에 전처리 공정을 위한 제1 공정 가스를 공급할 수 있다. 예를 들면, 상기 제1 공정 가스는 수소(H2)를 포함할 수 있다. 제1 갭(G1)은 10mm이고, 제1 플라즈마(P1)는 1 x 1011/cm3의 밀도를 가질 수 있다.
상기 제1 플라즈마를 형성한 후에, 기판 히터(120)와 절연 플레이트(140) 사이의 거리를 변경시킬 수 있다. 리프트 메커니즘에 의해 기판 히터(120)를 하강시킬 수 있다.
도 2 및 도 4에 도시된 바와 같이, 기판 히터(120)와 절연 플레이트(140) 사이를 제1 갭(G1)보다 큰 제2 갭(G2)으로 유지하고, 상기 기판상부에 상기 기판으로부터 상기 제1 거리보다 큰 제2 거리만큼 이격된 위치에 제2 플라즈마를 형성할 수 있다. 챔버(110) 상부의 절연 플레이트(140)를 통해 마이크로웨이브를 도입하여 절연 플레이트(140)의 하부면 상에 표면 웨이브 플라즈마를 형성할 수 있다.
상기 가스 분배 어셈블리는 제2 플라즈마 영역에 증착 공정을 위한 제2 공정 가스를 공급할 수 있다. 예를 들면, 상기 제1 공정 가스는 아세틸렌(C2H2) 가스를 포함할 수 있다. 제2 갭(G1)은 100mm이고, 제1 플라즈마(P1)는 1 x 1010/cm3의 밀도를 가질 수 있다.
도 6 및 도 7에 도시된 바와 같이, 복수 개의 챔버들(210-A, 210-B, 210-C) 중에서 제1 챔버(210-A)의 기판 히터(220a) 상에 기판을 로딩할 수 있다. 상기 챔버들은 복수 개의 기판 히터들을 갖는 회전 가능한 서셉터 어셈블리(206) 및 상기 기판 히터들에 대응하는 복수 개의 플라즈마 생성부들을 갖는 주입기 어셈블리(208)가 서로 맞물려 형성될 수 있다.
기판 히터(220a)와 절연 플레이트(240a) 사이가 제1 갭(G1)을 갖는 제1 챔버(210-A)내에서 제1 플라즈마를 형성할 수 있다. 가스 분배 어셈블리는 제1 플라즈마 영역에 전처리 공정을 위한 제1 공정 가스를 공급할 수 있다. 예를 들면, 상기 제1 공정 가스는 수소(H2)를 포함할 수 있다.
상기 제1 플라즈마를 형성한 후에, 서셉터 어셈블리(206)는 일정 각도만큼 회전하여 기판 히터(220a)와 절연 플레이트(240b) 사이가 제2 갭(G2)을 갖는 제2 챔버(210-B)를 형성할 수 있다.
기판 히터(220a)와 절연 플레이트(240b) 사이가 제1 갭(G1)보다 큰 제2 갭(G2)을 갖는 제2 챔버(210-B)내에서 제2 플라즈마를 형성할 수 있다. 상기 가스 분배 어셈블리는 제2 플라즈마 영역에 증착 공정을 위한 제2 공정 가스를 공급할 수 있다. 예를 들면, 상기 제2 공정 가스는 아세틸렌(C2H2) 가스를 포함할 수 있다.
전술한 플라즈마 증착 장치 및 상기 플라즈마 증착 방법에 의해 형성된 상기 그래핀 층은 얇은 두께 대비 기계적 강성, 열적 안정성, 확산 방지막 역할 성능의 우수한 성능을 가질 수 있다. 상기 그래핀 층은 반도체 소자의 게이트 금속막, 배선 공정에서의 인터커넥트 등으로 사용될 수 있다.
전술한 플라즈마 증착 장치 및 플라즈마 증착 방법을 이용하여 형성된 반도체 소자는 컴퓨팅 시스템과 같은 다양한 형태의 시스템들에 사용될 수 있다. 상기 반도체 소자는 fin FET, DRAM, VNAND 등을 포함할 수 있다. 상기 시스템은 컴퓨터, 휴대용 컴퓨터, 랩톱 컴퓨터, 개인휴대단말기, 태블릿, 휴대폰, 디지털 음악 재생기 등에 적용될 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100, 200: 플라즈마 증착장치 110, 210: 챔버
120, 220a, 220b, 220f: 기판 히터 124: 지지 샤프트
130: 구동 모터 132: 벨로우즈
140, 240a, 240b: 절연 플레이트 142, 242a, 242b: 가스 분사구
150: 마이크로웨이브 전력 공급부 152: 도파관
154, 254a, 254b: 슬롯 안테나 160: 제어기
204: 게이트 밸브 206: 서셉터 어셈블리
208: 주입기 어셈블리 212a, 212b: 측벽
224: 지지 포스트

Claims (20)

  1. 챔버 내의 기판 스테이지 상에 기판을 로딩하고;
    상기 기판 상부에 상기 기판으로부터 제1 거리만큼 이격된 위치에 제1 플라즈마를 형성하고;
    상기 제1 플라즈마 영역에 제1 공정 가스를 공급하여 상기 기판 상에 전처리 공정을 수행하고;
    상기 기판 상부에 상기 기판으로부터 상기 제1 거리와 다른 제2 거리만큼 이격된 위치에 제2 플라즈마를 형성하고; 그리고
    상기 제2 플라즈마 영역에 제2 공정 가스를 공급하여 상기 기판 상에 증착 공정을 수행하는 것을 포함하는 플라즈마 증착 방법.
  2. 제 1 항에 있어서, 상기 제1 플라즈마 및 상기 제2 플라즈마를 형성하는 것은 상기 챔버 상부의 절연 플레이트를 통해 마이크로웨이브를 도입하는 것을 포함하는 플라즈마 증착 방법.
  3. 제 2 항에 있어서, 상기 제1 플라즈마를 형성하는 것은 상기 기판 스테이지와 상기 절연 플레이트 사이를 제1 갭으로 유지하는 것을 포함하고,
    상기 제2 플라즈마를 형성하는 것은 상기 기판 스테이지와 상기 절연 플레이트 사이를 상기 제1 갭보다 큰 제2 갭으로 유지하는 것을 포함하는 플라즈마 증착 방법.
  4. 제 2 항에 있어서, 상기 제1 플라즈마를 형성한 후에, 상기 기판 스테이지와 상기 절연 플레이트 사이의 거리를 변경시키는 것을 더 포함하는 플라즈마 증착 방법.
  5. 제 4 항에 있어서, 상기 기판 스테이지와 상기 절연 플레이트 사이의 거리를 변경시키는 것은 상기 기판 스테이지를 하강시키는 것을 포함하는 플라즈마 증착 방법.
  6. 제 1 항에 있어서, 상기 제1 플라즈마 및 상기 제2 플라즈마를 형성하는 것은 동일한 챔버 내에서 수행되는 것을 포함하는 플라즈마 증착 방법.
  7. 제 1 항에 있어서, 상기 챔버 내의 상기 기판 스테이지 상에 상기 기판을 로딩하는 것은 복수 개의 챔버들 중에서 어느 하나의 상기 기판 스테이지 상에 상기 기판을 로딩하는 것을 포함하고,
    상기 챔버들은 복수 개의 기판 스테이지들을 갖는 회전 가능한 서셉터 어셈블리 및 상기 기판 스테이지들에 대응하는 복수 개의 플라즈마 생성부들을 갖는 주입기 어셈블리가 서로 맞물려 형성되는 플라즈마 증착 방법.
  8. 제 7 항에 있어서, 상기 제1 플라즈마를 형성하는 것은 상기 기판 스테이지와 상기 절연 플레이트 사이가 제1 갭을 갖는 상기 챔버들 중에서 제1 챔버 내에서 상기 제1 플라즈마를 형성하는 것을 포함하고,
    상기 제2 플라즈마를 형성하는 것은 상기 기판 스테이지와 상기 절연 플레이트 사이가 상기 제1 갭보다 큰 제2 갭을 갖는 상기 챔버들 중에서 제2 챔버 내에서 상기 제2 플라즈마를 형성하는 것을 포함하는 플라즈마 증착 방법.
  9. 제 8 항에 있어서, 상기 제1 플라즈마를 형성한 후에, 상기 서셉터 어셈블리를 일정 각도만큼 회전하여 상기 기판 스테이지와 상기 절연 플레이트 사이가 상기 제2 갭을 갖는 상기 제2 챔버를 형성하는 것을 더 포함하는 플라즈마 증착 방법.
  10. 제 1 항에 있어서, 상기 제1 공정 가스는 수소 가스를 포함하고, 상기 제2 공정 가스는 탄소를 포함하는 전구체 가스를 포함하는 플라즈마 증착 방법.
  11. 챔버 내의 기판 스테이지 상에 기판을 로딩하고;
    상기 기판 스테이지와 상기 절연 플레이트 사이를 제1 갭으로 유지하고;
    상기 기판 스테이지와 상기 절연 플레이트 사이에서 제1 플라즈마를 형성하고;
    상기 제1 플라즈마 영역에 제1 공정 가스를 공급하여 상기 기판 상에 전처리 공정을 수행하고;
    상기 기판 스테이지와 상기 절연 플레이트 사이를 상기 제1 갭보다 큰 제2 갭으로 유지하고;
    상기 기판 스테이지와 상기 절연 플레이트 사이에서 제2 플라즈마를 형성하고; 그리고
    상기 제2 플라즈마 영역에 제2 공정 가스를 공급하여 상기 기판 상에 증착 공정을 수행하는 것을 포함하는 플라즈마 증착 방법.
  12. 제 11 항에 있어서, 상기 제1 플라즈마 및 상기 제2 플라즈마를 형성하는 것은 상기 챔버 상부의 절연 플레이트를 통해 마이크로웨이브를 도입하는 것을 포함하는 플라즈마 증착 방법.
  13. 제 12 항에 있어서, 상기 제1 플라즈마를 형성한 후에, 상기 기판 스테이지와 상기 절연 플레이트 사이의 거리를 변경시키는 것을 더 포함하는 플라즈마 증착 방법.
  14. 제 13 항에 있어서, 상기 기판 스테이지와 상기 절연 플레이트 사이의 거리를 변경시키는 것은 상기 기판 스테이지를 하강시키는 것을 포함하는 플라즈마 증착 방법.
  15. 제 11 항에 있어서, 상기 제1 플라즈마 및 상기 제2 플라즈마를 형성하는 것은 동일한 챔버 내에서 수행되는 것을 포함하는 플라즈마 증착 방법.
  16. 제 11 항에 있어서, 상기 챔버 내의 상기 기판 스테이지 상에상기 기판을 로딩하는 것은 복수 개의 챔버들 중에서 어느 하나의 상기 기판 스테이지 상에 상기 기판을 로딩하는 것을 포함하고,
    상기 챔버들은 복수 개의 기판 스테이지들을 갖는 회전 가능한 서셉터 어셈블리 및 상기 기판 스테이지들에 대응하는 복수 개의 플라즈마 생성부들을 갖는 주입기 어셈블리가 서로 맞물려 형성되는 플라즈마 증착 방법.
  17. 제 16 항에 있어서, 상기 제1 플라즈마를 형성하는 것은 상기 기판 스테이지와 상기 절연 플레이트 사이가 제1 갭을 갖는 상기 챔버들 중에서 제1 챔버 내에서 상기 제1 플라즈마를 형성하는 것을 포함하고,
    상기 제2 플라즈마를 형성하는 것은 상기 기판 스테이지와 상기 절연 플레이트 사이가 상기 제1 갭보다 큰 제2 갭을 갖는 상기 챔버들 중에서 제2 챔버 내에서 상기 제2 플라즈마를 형성하는 것을 포함하는 플라즈마 증착 방법.
  18. 제 17 항에 있어서, 상기 제1 플라즈마를 형성한 후에, 상기 서셉터 어셈블리를 일정 각도만큼 회전하여 상기 기판 스테이지와 상기 절연 플레이트 사이가 상기 제2 갭을 갖는 상기 제2 챔버를 형성하는 것을 더 포함하는 플라즈마 증착 방법.
  19. 제 18 항에 있어서, 상기 제1 공정 가스는 수소 가스를 포함하고, 상기 제2 공정 가스는 탄소를 포함하는 전구체 가스를 포함하는 플라즈마 증착 방법.
  20. 제 11 항에 있어서, 상기 제1 플라즈마는 제1 플라즈마 밀도를 포함하고, 상기 제2 플라즈마는 상기 제1 플라즈마 밀도보다 작은 제2 플라즈마 밀도를 포함하는 플라즈마 증착 방법.

KR1020180105731A 2018-09-05 2018-09-05 플라즈마 증착 방법 및 플라즈마 증착 장치 KR102581681B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020180105731A KR102581681B1 (ko) 2018-09-05 2018-09-05 플라즈마 증착 방법 및 플라즈마 증착 장치
US16/385,441 US11270881B2 (en) 2018-09-05 2019-04-16 Plasma deposition method, plasma deposition apparatus and method of manufacturing semiconductor device
CN201910552879.3A CN110880447B (zh) 2018-09-05 2019-06-25 等离子体沉积方法和等离子体沉积设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180105731A KR102581681B1 (ko) 2018-09-05 2018-09-05 플라즈마 증착 방법 및 플라즈마 증착 장치

Publications (2)

Publication Number Publication Date
KR20200027665A true KR20200027665A (ko) 2020-03-13
KR102581681B1 KR102581681B1 (ko) 2023-09-22

Family

ID=69640206

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180105731A KR102581681B1 (ko) 2018-09-05 2018-09-05 플라즈마 증착 방법 및 플라즈마 증착 장치

Country Status (3)

Country Link
US (1) US11270881B2 (ko)
KR (1) KR102581681B1 (ko)
CN (1) CN110880447B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230029064A (ko) * 2021-08-23 2023-03-03 재단법인 한국전자기계융합기술원 플라즈마 코팅 및 세정을 위한 장치 및 이를 위한 방법

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220129598A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167729A (ja) * 1997-08-21 1999-03-09 Sony Corp プラズマ処理装置
KR20080014527A (ko) * 2006-08-11 2008-02-14 삼성전자주식회사 전처리 단계를 포함하는 화학 기상 증착 방법
JP2013100205A (ja) * 2011-11-09 2013-05-23 Tokyo Electron Ltd 前処理方法、グラフェンの形成方法及びグラフェン製造装置
KR20170030082A (ko) * 2017-03-03 2017-03-16 주식회사 아바코 도전 산화물층의 증착 방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6172322B1 (en) 1997-11-07 2001-01-09 Applied Technology, Inc. Annealing an amorphous film using microwave energy
JP4504511B2 (ja) * 2000-05-26 2010-07-14 忠弘 大見 プラズマ処理装置
EP1202330A2 (en) 2000-10-26 2002-05-02 Applied Materials, Inc. De-coupled wafer lift and five axis adjustable heater lift system for CVD process chamber
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
DE102011119013B4 (de) * 2011-11-21 2022-11-03 Hq-Dielectrics Gmbh Verfahren zum ausbilden einer dielektrischen schicht auf einem substrat
US9783889B2 (en) 2012-03-26 2017-10-10 Applied Materials, Inc. Apparatus for variable substrate temperature control
KR101673016B1 (ko) * 2013-08-27 2016-11-07 삼성디스플레이 주식회사 박막봉지 제조장치 및 이를 이용한 표시 장치의 제조방법
KR102247560B1 (ko) * 2014-07-14 2021-05-03 삼성전자 주식회사 Rps에서의 플라즈마 생성방법, 및 그 플라즈마 생성방법을 포함한 반도체 소자 제조방법
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
CN108048820A (zh) * 2017-12-22 2018-05-18 江苏鲁汶仪器有限公司 气相沉积设备和气相沉积方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1167729A (ja) * 1997-08-21 1999-03-09 Sony Corp プラズマ処理装置
KR20080014527A (ko) * 2006-08-11 2008-02-14 삼성전자주식회사 전처리 단계를 포함하는 화학 기상 증착 방법
JP2013100205A (ja) * 2011-11-09 2013-05-23 Tokyo Electron Ltd 前処理方法、グラフェンの形成方法及びグラフェン製造装置
KR20170030082A (ko) * 2017-03-03 2017-03-16 주식회사 아바코 도전 산화물층의 증착 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230029064A (ko) * 2021-08-23 2023-03-03 재단법인 한국전자기계융합기술원 플라즈마 코팅 및 세정을 위한 장치 및 이를 위한 방법

Also Published As

Publication number Publication date
US11270881B2 (en) 2022-03-08
CN110880447A (zh) 2020-03-13
US20200075324A1 (en) 2020-03-05
CN110880447B (zh) 2024-04-09
KR102581681B1 (ko) 2023-09-22

Similar Documents

Publication Publication Date Title
JP4853857B2 (ja) 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US10041174B2 (en) Method for forming carbon nanotubes and carbon nanotube film forming apparatus
US10388557B2 (en) Placing bed structure, treating apparatus using the structure, and method for using the apparatus
KR101612622B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6750534B2 (ja) 成膜装置
WO2011125471A1 (ja) プラズマ処理装置及びプラズマ処理方法
US11996296B2 (en) Substrate processing method and substrate processing system
TW201830519A (zh) 半導體裝置的製造方法、基板處理裝置及程式
KR102581681B1 (ko) 플라즈마 증착 방법 및 플라즈마 증착 장치
KR20180014656A (ko) 기판 처리 장치 및 기판 처리 방법
JP4865352B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5479013B2 (ja) プラズマ処理装置及びこれに用いる遅波板
KR102256516B1 (ko) 기판 처리 장치
JP2015221930A (ja) 基板処理装置
JP5728565B2 (ja) プラズマ処理装置及びこれに用いる遅波板
JP2020065032A (ja) シリコン窒化膜の成膜方法、および成膜装置
WO2024029320A1 (ja) 成膜方法および成膜装置
US20220235462A1 (en) Film forming method and film forming apparatus
JP2006128529A (ja) 成膜装置、成膜方法及び記憶媒体
CN112786442A (zh) 等离子体处理方法及等离子体处理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant