KR20190036891A - 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법 - Google Patents

반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법 Download PDF

Info

Publication number
KR20190036891A
KR20190036891A KR1020170126349A KR20170126349A KR20190036891A KR 20190036891 A KR20190036891 A KR 20190036891A KR 1020170126349 A KR1020170126349 A KR 1020170126349A KR 20170126349 A KR20170126349 A KR 20170126349A KR 20190036891 A KR20190036891 A KR 20190036891A
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
frequency
light
component
amount
Prior art date
Application number
KR1020170126349A
Other languages
English (en)
Other versions
KR102491575B1 (ko
Inventor
김연태
김도형
양광현
이창윤
최영욱
박기수
최은석
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170126349A priority Critical patent/KR102491575B1/ko
Priority to US15/887,186 priority patent/US10541182B2/en
Priority to CN201810992183.8A priority patent/CN109585319B/zh
Publication of KR20190036891A publication Critical patent/KR20190036891A/ko
Priority to KR1020220187971A priority patent/KR102595901B1/ko
Application granted granted Critical
Publication of KR102491575B1 publication Critical patent/KR102491575B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Toxicology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

일부 실시예들에 따른 반도체 기판을 검사하는 방법은 회전하는 반도체 기판에 반사된 광량을 측정하는 단계, 측정된 상기 광량의 주파수 분포를 분석하는 단계, 및 상기 주파수 분포를 이용하여 상기 반도체 기판의 상태를 결정하는 단계를 포함하고, 상기 측정된 상기 광량의 주파수 분포를 분석하는 단계는 복수개의 주파수에 각각 대응하는 복수개의 주파수 성분들을 추출하는 것을 포함한다.

Description

반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법{Method for inspecting a semiconductor substrate and method for manufacturing a semiconductor device}
본 발명은 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제공하는 방법에 관한 것으로서, 더욱 상세하게는 회전하는 반도체 기판의 상태를 검사하는 방법 및 이를 이용하여 반도체 소자를 제공하는 방법에 관한 것이다.
반도체 기판을 처리하기 위해, 반도체 기판을 챔버 내에 배치된 서셉터 등의 기판 홀더에 고정시킬 수 있다. 이때, 반도체 기판이 기판 홀더에 오정렬된 상태로 고정되거나, 고정된 반도체 기판이 처리 중에 기판 홀더에 대해 상대적으로 이동할 수 있다. 이에 따라, 반도체 기판에 의도치 않은 방식의 처리가 수행되거나, 반도체 기판을 처리하는 동안 반도체 기판에 의도치 않은 온도 구배가 발생하여 반도체 기판이 손상될 수 있다. 따라서 반도체 기판의 오정렬, 기울어짐 및 휨의 발생 등 반도체 기판의 상태를 검사하는 것에 대한 필요성이 높아지고 있다.
본 발명이 이루고자 하는 과제 중 하나는 별도의 광원 없이, 실시간으로 회전하는 반도체 기판의 상태를 결정하는 방법을 제공하는 것이다.
본 발명이 해결하고자 하는 과제들은 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상술한 과제를 해결하기 위한, 일부 실시예들에 따른 반도체 기판을 검사하는 방법은 회전하는 반도체 기판에 반사된 광량을 측정하는 단계, 측정된 상기 광량의 주파수 분포를 분석하는 단계; 및 상기 주파수 분포를 이용하여 상기 반도체 기판의 상태를 결정하는 단계를 포함하고, 상기 측정된 상기 광량의 주파수 분포를 분석하는 단계는 복수개의 주파수에 각각 대응하는 복수개의 주파수 성분들을 추출하는 것을 포함한다.
일부 실시예들에 따른 반도체 기판을 검사하는 방법은 회전하는 반도체 기판에 반사된 광량을 측정하는 단계, 측정된 상기 광량의 주파수 분포를 분석하는 단계; 및 상기 광량의 주파수 분포를 이용하여 상기 반도체 기판의 상태를 결정하는 단계를 포함하고, 상기 측정된 상기 광량의 주파수 분포를 분석하는 단계는 상기 광량의 주파수 분포를 표준화하는 단계를 포함한다.
일부 실시예들에 따른 반도체 소자를 제조하는 방법은 반도체 기판의 처리를 세팅하는 단계, 상기 반도체 기판을 처리하는 단계, 상기 반도체 기판의 상태를 실시간으로 검사하는 단계; 및 상기 반도체 기판의 처리의 계속을 결정하는 단계를 포함하고, 상기 반도체 기판의 상태를 실시간으로 검사하는 단계는, 회전하는 반도체 기판에 반사된 광량을 측정하는 단계, 측정된 상기 광량의 주파수 분포를 산출하는 단계, 및 상기 광량의 주파수 분포를 이용하여 반도체 기판의 상태를 결정하는 단계를 포함하고, 상기 측정된 상기 광량의 주파수 분포를 분석하는 단계는 상기 광량의 주파수 분포를 산출하는 단계는 복수개의 주파수에 대응 하는 복수개의 주파수 성분들을 추출하는 것을 포함한다.
일부 실시예들에 따르면, 회전하는 반도체 기판에 반사된 광량의 주파수 분포를 분석하여, 반도체 기판의 상태를 결정할 수 있다. 이에 따라 예컨대, 레이저 등의 별도의 광원 없이 반도체 기판의 오정렬, 기울어짐, 휨의 발생 여부를 결정할 수 있다. 나아가 공정 챔버를 가열하기 위한 열광원이 제공되는 고휘도 공정 환경에서도 반도체 기판의 상태를 결정할 수 있다.
도 1은 일부 실시예들에 따른 반도체 기판을 검사하는 방법 및 이를 이용하는 반도체 소자를 제조하는 방법을 설명하기 위한 단면도이다.
도 2는 일부 실시예들에 따른 반도체 기판을 검사하는 방법을 이용하는 반도체 소자를 제조하는 방법을 설명하기 위한 순서도이다.
도 3은 일부 실시예들에 따른 반도체 기판을 검사하는 방법을 설명하기 위한 순서도이다.
도 4a 내지 4c는 일부 실시예들에 따른 반도체 기판을 검사하는 방법을 설명하기 위한 평면도들이다.
도 5a 내지 5c는 일부 실시예들에 따른 반도체 기판을 검사하는 방법을 설명하기 위한 측단면도들이다.
도 7 내지 14는 일부 실시예들에 따른 반도체 기판을 검사하는 방법을 설명하기 위한 그래프들이다.
이하, 첨부 도면들을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면 상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 일부 실시예들에 따른 반도체 기판을 검사하는 방법 및 이를 이용하는 반도체 소자를 제조하는 방법을 설명하기 위한 단면도이다. 도 1을 참조하면, 공정 챔버(100), 공정 컨트롤러(150) 및 기판 상태 검사 장치(200)를 포함하는 기판 처리 시스템(1)이 도시되어있다.
반도체 소자를 제조하기 위해, 공정 챔버(100) 내에서 반도체 기판(W)에 대한 소정의 처리가 수행될 수 있다. 일부 실시예들에서, 반도체 기판(W)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에서, 반도체 기판(W)은 SOI(Silicon on Insulator) 구조를 가질 수 있다. 일부 실시예들에서, 반도체 기판(W)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다. 이하에서 '반도체 기판'을 중심으로 다양한 실시예들을 설명하지만, 이러한 실시예들은 보다 넓은 적용범위를 가진다. 구체적으로, 실시예들은 주어진 반도체 기판(W) 또는 작업물들이 처리 환경 내에서 적절하게 정렬되고, 평탄하게 배치되었는지(예컨대, 기울기나 휨 등이 발생하지 않았는지)를 결정하는 것이 필요한 임의의 경우에 적용될 수 있다.
일부 실시예들에 따르면, 반도체 기판(W) 상에 소정의 막들을 형성하는 퇴적 공정이 수행될 수 있다. 일부 실시예들에 따르면, 원자층 퇴적법(Atomic layer deposition, ALD) 및 화학적 기상 퇴적법(Chemical vapor deposition, CVD), 플라즈마 촉진 화학적 기상 퇴적법(plasma-enhanced CVD, PECVD), 물리적 기상 퇴적법(physical vapor deposition, PVD) 및/또는 반응성 펄스 레이저 퇴적법(reactive pulsed laser deposition)에 의해 반도체 기판(W) 상에 소정의 막들이 형성될 수 있다. 일부 실시예들에 따르면, 분자 빔 에피택시(molecular beam epitaxy), 금속 유기 CVD(metal organic CVD)에 의해 반도체 기판(W) 상에 소정의 막들이 형성될 수 있다. 일부 실시예들에 따르면, 스퍼터링 가스로서 크립톤(Kr)을 사용하는 DC 마그네트론 스퍼터링(magnetron sputtering) 공정에 의해 반도체 기판(W) 상에 소정의 막들이 형성될 수 있다.
일부 실시예들에 따르면, 반도체 기판(W) 상에 형성된 소정의 막들을 식각하여 패턴을 형성하는 공정을 포함할 수 있다. 일부 실시예들에 따르면 반도체 기판(W) 상에 퇴적된 소정의 막들이 건식 식각에 의해 식각될 수 있다. 일부 실시예들에 따르면, 반도체 기판(W) 상에 퇴적된 소정의 막들이 플라즈마 식각, 반응성 이온 식각(reactive ion etching, RIE), 깊은 반응성 이온 식각(Deep RIE, DRIE), 이온 빔 식각(ion beam etching, IBE), 또는 Ar 밀링(milling) 등의 공정에 의해 식각될 수 있다. 일부 실시예들에 따르면 반도체 기판(W) 상에 형성된 소정의 막들은 습식 식각에 의해 식각될 수 있다. 일부 실시예들에 따르면 반도체 기판(W) 상에 형성된 소정의 막들이 Cl2, HCl, CHF3, CH2F2, CH3F, H2, BCL3, SiCl4, Br2, HBr, NF3, CF4, C2F6, C4F8, SF6, O2, SO2 및 COS 중 적어도 어느 하나를 에천트 가스로 사용한 습식 식각 공정에 의해 식각될 수 있다. 일부 실시예들에 따르면 반도체 기판(W) 상에 형성된 소정의 막들은 원자층 식각(Atomic Layer Etching, ALE)에 의해 식각될 수 있다. 일부 실시예들에 따르면, 반도체 기판(W) 상에 형성된 패턴 상에 앞서 설명한 소정의 막들을 형성하는 것과 실질적으로 동일한 방식으로 추가적인 막들을 더 형성할 수 있다.
일부 실시예들에 따르면, 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정 및/또는 에치-백(etch-back) 공정과 같은 평탄화 공정, 이온 주입 공정, 포토리소그래피 공정 등이 수행될 수 있다.
공정 챔버(100)는 서셉터(110), 램프(120), 리플렉터(130) 및 온도 감지 센서(140)를 포함할 수 있다.
정상적으로 배치된 반도체 기판(W)의 상면과 평행하면서 서로 교차하는 방향을 제1 방향(X) 및 제2 방향(Y)으로, 그리고 반도체 기판(W)의 상면에 실질적으로 수직인 방향을 제3 방향(Z)으로 지칭한다. 여기서 정상적으로 배치되었다 함은, 반도체 기판(W)이 공정을 수행하기에 적합하게 배치되었음을 의미할 수 있다. 구체적으로, 반도체 기판(W)에 오정렬, 기울어짐, 휨 등이 발생하지 않은 상태를 의미할 수 있으나, 이에 제한되지 않는다. 제1 방향(X) 및 제2 방향(Y)은 제3 방향(Z)에 대하여 실질적으로 수직할 수 있다. 도면 상에 화살표로 표시된 방향과 이의 반대 방향은 동일 방향으로 지칭한다. 전술한 방향에 대한 정의는 이하 모든 도면들에서 동일하다.
서셉터(110)는 반도체 기판(W)이 장착될 수 있는 기판 포켓(111P)을 포함하는 기판 지지부(111) 및 서셉터 창(115)을 포함할 수 있다. 일부 실시예들에 따르면 서셉터(110)는 장착된 반도체 기판(W)을 회전시킬 수 있다. 서셉터(110)는 모터(119)에 작동 가능하게 연결된 지지체(117) 상에 장착될 수 있다. 모터(119)는 반도체 기판(W)이 장착된 서셉터(110)를 회전시키는 지지체(117)를 구동할 수 있다. 일부 실시예들에 따르면, 서셉터(110)는 서셉터(110)의 중심을 지나고 제3 방향(Z)과 실질적으로 평행한 축을 중심으로 회전할 수 있다. 일부 실시예들에 따르면, 기판 포켓(111P)은 특정 종류의 반도체 기판(W, 예를 들어, 약 200 mm 또는 약 300 mm 반도체 기판)을 수용할 수 있는 크기를 가질 수 있다. 하지만 본 발명의 기술적 사상은 본 실시예에 제한되지 않고, 적어도 하나의 반도체 기판(W)을 회전시킬 수 있는 임의의 구성 및 형상에 적용될 수 있다.
반도체 기판(W)은 기판 지지부(111) 및 서셉터 창(115)에 의해 서셉터(110)의 외부로부터 격리되어 소정의 공정 분위기(atmosphere) 하에 놓여질 수 있다. 서셉터 창(115)은 램프는 발생된 광에 대해 투명할 수 있다. 이에 따라 램프(120)에 의해 방출된 광이 서셉터 창(115)을 투과하여 반도체 기판(W)에 도달할 수 있다.
램프(120)는 반도체 기판(W)의 처리 환경을 세팅하기 위해 광의 형태로 열 에너지를 발생시킬 수 있다. 램프(120)는 반도체 기판(W) 상에 배치될 수 있으나, 이에 제한되지 않는다. 램프(120)는 공정 챔버(100) 내부, 반도체 기판(W) 및 서셉터(110)의 온도를 조절할 수 있다. 램프(120)는 반도체 기판(W) 및 공정 챔버(100) 내부의 온도를 공정에 필요한 소정의 온도가 되도록 반도체 기판(W) 및 공정 챔버(100)를 가열시킬 수 있다. 리플렉터(130)는 램프(120)로부터 리플렉터(130)로 진행한 광을 반도체 기판(W)을 향하는 방향으로 반사하도록 구성될 수 있다. 하지만 이에 제한되는 것은 아니고, 일부 실시예들에서 리플렉터(130)는 생략될 수 있다.
일부 실시예들에 따르면 온도 감지 센서(140)는 반도체 기판(W) 또는 서셉터(110)의 온도를 측정할 수 있다. 일부 실시예들에 따르면 온도 감지 센서(140) 반도체 기판(W) 또는 서셉터(110)에 의해 방출된 흑체 복사광을 통해 반도체 기판(W) 또는 서셉터(110)의 온도를 측정할 수 있다. 온도 감지 센서(140)는 적외선 센서에 해당할 수 있으나, 이에 제한되지 않는다.
공정 컨트롤러(150)는 반도체 기판(W)에 대한 처리의 수행 및/또는 선택을 제어할 수 있다. 공정 컨트롤러(150)는 반도체 기판(W)에 대한 처리 환경을 제어할 수 있다. 공정 컨트롤러(150)는 워크 스테이션 컴퓨터, 데스크탑 컴퓨터, 랩 탑 컴퓨터, 태블릿 컴퓨터 등의 컴퓨팅 장치일 수 있다. 공정 컨트롤러(150)는 반도체 기판(W)의 처리에 대한 피드백을 수신하고, 측정 데이터를 수신하며, 공정을 조정하는 등의 기능을 수행하는 소프트웨어를 포함할 수 있다. 일부 실시예들에 따르면, 공정 컨트롤러(150)는 예를 들어, 램프(120)의 발광을 제어하여 반도체 기판(W) 또는 서셉터의 온도를 조절할 수 있다. 일부 실시예들에 따르면, 공정 컨트롤러(150)는 예를 들어, 서셉터(110) 내의 분위기(atmosphere) 및 반도체 기판(W)의 회전 속도를 조절할 수 있으나 이에 제한되지 않는다.
기판 검사 장치(200)는 광 센서(210) 및 검사 프로세서(250)를 포함할 수 있다. 기판 검사 장치(200)는 광 섬유(OF)에 의해 공정 챔버(100)와 결합될 수 있다. 하지만 이에 제한되는 것이 아니고, 광 센서(210)가 직접 공정 챔버(100)에 결합될 수 있다.
일부 실시예들에 따르면, 광 섬유(OF)는 램프(120)에서 방출된 광, 반도체 기판(W)으로부터 방사된 복사광, 램프(120)에서 방출된 후 반도체 기판(W)으로부터 반사된 반사 광 및 공정 챔버(100) 내의 다른 구성 요소로부터 반사된 광을 수용할 수 있다. 일부 실시예들에 따르면, 광섬유(OF)는 주로 반도체 기판(W)의 상면에 반사된 광을 수용할 수 있다. 일부 실시예들에 따르면, 광섬유(OF)는 반도체 기판(W)의 상면의 일부로부터 반사된 광을 수용하나, 반도체 기판(W)의 회전함에 따라 실질적으로 반도체 기판(W)의 상면의 대부분으로부터 반사된 광을 수용할 수 있다. 하지만 이에 제한되는 것은 아니고, 광 섬유(OF)는 반도체 기판(W)의 가장 자리에 인접한 부분에 의해 반사된 광을 수용할 수 있다. 반도체 기판(W)의 가장 자리 부분이라 함은 대략 원판 형태의 반도체 기판(W)의 외주에 인접한 부분들을 의미할 수 있다.
일부 실시예들에 따르면, 광 섬유(OF)는 기판 검사 장치(200)의 광 센서(210)와 결합될 수 있다. 광 섬유(OF)는 수용한 광을 광 센서(210)에 전달할 수 있다. 광 센서(210)는 회전하는 반도체 기판(W)의 상면에 의해 반사된 광량을 측정할 수 있다. 광 센서(210)는 측정된 광량에 대한 신호를 검사 프로세서(250)에 제공할 수 있다.
검사 프로세서(250)는 주파수 변환기(260) 및 주파수 분석기(270)를 포함할 수 있다. 주파수 변환기(260)는 광 센서(210)에 의해 측정된 시간 영역에서의 광량에 대한 데이터를 주파수 영역에서의 광량에 대한 데이터로 변환할 수 있다. 주파수 변환기(260)는 시간 영역에서의 광량에 대한 데이터를 퓨리에 변환할 수 있다. 주파수 변환기(260)의 주파수 변환에 대해서는 도 3을 참조하여 뒤에서 더욱 자세히 설명하도록 한다.
주파수 분석기(270)는 주파수 변환된 데이터를 분석할 수 있다. 주파수 분석기의 분석에 대해서는 도 6을 참조하여 후술하도록 한다.
일부 실시예들에 따르면 검사 프로세서(250)는 분석된 데이터를 바탕으로 반도체 기판의 물성들을 기준치 또는 임계치들과 비교할 수 있다. 일부 실시예들에 따르면, 검사 프로세서(250)는 반도체 기판(W)의 정렬, 반도체 기판(W)의 상면의 기울기, 반도체 기판(W)의 휨, 반도체 기판(W) 상에 퇴적된 막들의 두께, 반도체 기판 상에 형성된 패턴들의 임계 치수 등을 기준치 또는 임계치들과 비교할 수 있다. 일부 실시예들에 따르면, 검사 프로세서(250)는 측정 데이터에 대한 룩업 기능 또는 기존의 온라인/오프라인 데이터와 비교 등을 통해 측정 데이터를 분석할 수 있다. 검사 프로세서(250)는 수신한 측정 데이터를 분석하여 공정 컨트롤러(150)에 피드백 신호를 제공할 수 있다.
피드백 신호에 의한 반도체 기판(W)의 처리에 대한 피드백은, 반도체 기판(W)을 처리하기 위한 공정의 수행 및/또는 선택에 사용되는 다양한 파라미터에 영향을 줄 수 있다. 일부 실시예들에 따르면 공정 컨트롤러(150)는 분석된 측정 데이터를 사용하여 하나 이상의 공정 파라미터를 조정하거나, 복수의 공정 세트로부터 공정 세트의 선택 등을 수행할 수 있다. 공정 파라미터의 예는 온도, 압력, 분위기, 조도, 지속 시간 등을 포함할 수 있지만, 이에 한정되는 것은 아니다.
도 2는 일부 실시예들에 따른 반도체 소자를 제조하는 방법을 설명하기 위한 순서도이다.
도 1 및 도 2를 참조하면, P1000에서 공정 챔버(100) 내의 공정 환경을 세팅할 수 있다. 일부 실시예들에 따르면, 공정 환경을 세팅하는 것은 실행될 수 있는 복수개의 처리 세트 중에 일부를 선택하는 것, 또는 실행할 수 있는 복수개의 처리 세트 중에 일부 세트를 선택하는 것을 포함할 수 있다. 일부 실시예들에 따르면, 공정 환경을 세팅하는 것은 공정 챔버(100) 내의 온도, 분위기, 압력, 조도 등을 세팅하는 것을 포함할 수 있다. 일부 실시예들에 따르면, 공정 환경을 세팅하는 것은, 반도체 기판(W)을 서셉터(110) 상에 장착하는 것을 포함할 수 있다. 일부 실시예들에 따르면, 공정 환경을 세팅하는 것은 반도체 기판(W)을 서셉터(110)에 대해 얼라인 시키는 것을 포함할 수 있다.
이어서 P2000에서 반도체 기판(W)을 처리할 수 있다. 일부 실시예들에 따르면 반도체 기판(W)을 처리하는 것은, 반도체 기판(W) 상에 산화막을 형성하는 공정, 포토 리소그래피 공정, 반도체 기판(W) 상에 소정의 막들을 퇴적시키는 공정, 반도체 기판(W) 및/또는 반도체 기판(W) 상에 형성된 소정의 막들을 식각하는 공정, 반도체 기판(W)에 불순물 영역을 형성하는 공정, 반도체 기판(W)에 도전성 배선 및 비아를 형성하는 공정 등을 포함할 수 있으나, 이에 제한되지 않는다.
이어서 P3000에서 기판 상태 검사 장치(200)는 반도체 기판(W)의 상태를 검사할 수 있다. 일부 실시예들에 따르면, 반도체 기판(W)의 상태를 검사하는 것은 회전하는 반도체 기판(W)의 상태를 검사하는 것을 포함할 수 있다. 일부 실시예들에 따르면, 반도체 기판(W) 상태의 검사는 반도체 기판(W)의 처리와 동시에, 실시간으로 수행될 수 있다. 일부 실시예들에 따르면, 반도체 기판(W)의 상태를 검사하는 것은 반도체 기판(W)의 오정렬, 기울어짐, 휨, 반도체 기판(W) 상에 퇴적된 막의 두께, 반도체 기판(W) 상에 형성된 패턴의 임계 치수 등을 검사하는 것 중 적어도 하나를 포함할 수 있다.
이어서 P4000에서 검사 장치(200)는 반도체 기판(W)의 상태가 정상인지 결정할 수 있다. 일부 실시예들에 따르면, P4000에서 반도체 기판(W)의 상태가 정상인지 결정하는 것은, 반도체 기판(W)의 오정렬, 기울기, 휨 등이 소정의 기준치 또는 임계치를 초과했는지 여부를 결정하는 것을 포함할 수 있다. 일부 실시예들에 따르면, P4000에서 반도체 기판(W)의 상태가 정상이 아닌 것으로 결정되는 경우 P1000로 돌아가 반도체 기판(W) 및 공정 챔버(100) 내의 공정 환경을 다시 세팅할 수 있다. 일부 실시예들에 따르면, P4000에서 반도체 기판(W)의 정상인 것으로 결정되는 경우, 반도체 기판(W)을 계속하여 처리할 수 있다. 일부 실시예들에 따르면, P5000에서 반도체 기판(W) 상에 소정의 막들이 충분히 퇴적된 것으로 결정되는 경우, 반도체 기판(W)에 반도체 소자를 제공하기 위한 후속하는 처리들이 수행될 수 있다.
하지만 이에 제한되는 것은 아니고, 일부 실시예들에 따르면, P4000에서 검사 프로세서(250)는 반도체 기판(W)을 처리하는 것의 진행 정도를 판단할 수 있다. 일부 실시예들에 따르면, P5000에서 반도체 기판(W) 상에 소정의 막들이 충분히 퇴적되었는지 여부를 결정할 수 있다. 일부 실시예들에 따르면, P5000에서 반도체 기판(W) 상에 소정의 막들이 충분히 퇴적되지 않은 것으로 결정되는 경우, 소정의 막들이 계속해서 퇴적될 수 있다.
도 3은 일부 실시예들에 따르면 반도체 기판의 상태를 검사하는 방법을 설명하기 위한 순서도이다.
도 1 내지 3을 참조하면, 반도체 기판(W)의 상태를 검사하는 공정(P3000)은 회전하는 반도체 기판(W)에 반사된 광량을 측정하는 공정(P3100), 광량의 주파수 분포를 분석하는 공정(P3200) 및 반도체 기판(W)의 상태를 결정하는 공정(P3300)을 포함할 수 있다.
P3100에서 광 센서(210)는 램프(120)에 의해 조사되어 반도체 기판(W)에 반사된 광량을 측정할 수 있다. 일부 실시예들에 따르면, 별도의 측정을 위한 광원 없이, 열 광원에 해당하는 램프(120)에서 발생된 광을 이용하여, 반도체 기판(W)의 상태를 검사할 수 있다.
P3200에서 주파수 변환기(260)는 P3100에서 측정된 광량에 관한 데이터를 주파수 분석할 수 있다. 일부 실시예들에 따르면, 주파수 변환기는 각 시점마다 특정 주파수에 대응하는 주파수 성분의 크기를 결정할 수 있다. 여기서 주파수 성분의 크기는 광량에 대한 측정 데이터를 퓨리에 변환하여 산출한 광량 주파수 분포에서 특정 주파수에 대응하는 광량의 크기일 수 있다.
이어서, P3300에서 반도체 기판의 상태를 결정할 수 있다. 이에 관해서는 도 4a 내지 5c를 참조하여 설명하도록 한다.
도 4a 내지 4c는 회전하는 반도체 기판(W)에 반사된 광량에 대한 분석으로부터 반도체 기판(W)의 상태를 결정하는 것을 설명하기 위한 평면도들이고, 도 5a 내지 5c는 각각 순서대로 도 4a 내지 4c에 대응하는 단면도들이다.
도 4a 및 5a를 참조하면, 서셉터(110, 도 1 참조)의 지지부(111) 상에 정상적으로 배치된 반도체 기판(W)이 도시되어 있다. 도 4a 내지 도 5c에서 설명의 편의를 위해 반도체 기판(W) 및 지지부(111) 외에 공정 챔버의 다른 구성요소들은 생략되었다.
여기서 관찰 영역(OS) 은 반도체 기판(W) 중 광 섬유(OF)에 의해 집광되어 광 센서(210)에 의해 검사되는 영역을 의미한다. 기판 중심축(CW)은 반도체 기판(W)의 상면에 수직하고 반도체 기판(W)의 중심을 지나는 축일 수 있다. 서셉터 중심 축(CS)은 반도체 기판(W)의 상면에 수직하고 지지부(111)의 중심을 지나는 축일 수 있다. 반도체 기판(W)이 지지부(111) 상에 정상적으로 배치된 경우 서셉터 중심 축(CS)과 기판 중심축(CW)은 실질적으로 일치할 수 있다. 굽은 화살표는 반도체 기판(W)이 서셉터 중심 축(CS)을 중심으로 회전함을 의미하고, 회전 각속도(wr)는 반도체 기판(W)이 회전하는 각속도를 의미할 수 있다. 회전 각속도(wr)는 반도체 기판(W)의 처리에 따라 적절한 속도로 설정될 수 있다. 여기서 회전 각속도(wr)는 회전 주파수(fr)와 아래 [수학식 1]에 의한 관계를 갖는다.
[수학식 1]
Figure pat00001
여기서 π는 원주율이고, 위의 관계식으로부터 회전 각속도(wr)와 회전 주파수(fr)는 실질적으로 동등한 개념으로 취급될 수 있다. 따라서 본 명세서에서 회전 각속도(wr)에 대해 설명된 내용은 그와 유사한 방식으로 회전 주파수(fr)에 대해 적용될 수 있으며, 그 반대의 경우도 마찬가지이다.
정상적인 상태의 반도체 기판(W)은 기판 중심축(CW)에 대해 회전 대칭성을 가지므로, 기판 중심축(CW)을 축으로 회전하는 경우 반도체 기판(W)의 회전 여부를 판단할 수 없다. 이에 따라 광 센서(210)에 의해 측정된, 반도체 기판(W)의 상면에 의해 반사된 광량의 크기에 대한 데이터에 반도체 기판(W)의 회전에 대한 정보가 포함되지 않을 수 있다.
도 4b 및 5b를 참조하면, 공정 전 및/또는 공정 후에 반도체 기판(W)이 지지부(111)에 대한 오정렬 되거나 및 기울어질 수 있다. 도 4b에서는 반도체 기판(W)의 지지부(111)에 대한 오정렬 및 기울기가 동시에 발생한 것으로 도시되었으나 이에 제한되는 것은 아니고, 오정렬 및 기울기 중 어느 하나만 발생하는 것 또한 가능하다.
일부 실시예들에 따르면, 반도체 기판(W)이 지지부(111)에 대해 오정렬된 경우, 기판 중심축(CW)과 서셉터 중심축(CS)이 서로 수평적으로 이격될 수 있다. 일부 실시예들에 따르면, 반도체 기판(W)이 서셉터 중심축(CS)을 회전축으로 회전할 수 있다. 기판 중심축(CW)과 서셉터 중심축(CS)이 서로 이격되는 바, 반도체 기판(W)의 회전 대칭성이 깨질 수 있다. 반도체 기판(W)이 회전함에 따라 관찰 영역(OS) 내에 포함되는 반도체 기판(W)의 넓이가 달라질 수 있다. 이에 따라 반도체 기판(W)에 반사된 광량에 대한 데이터에 반도체 기판(W)의 회전에 대한 정보가 포함될 수 있다. 구체적으로 기판이 한번 회전하는 동안, 관찰 영역(OS)에 포함된 반도체 기판(W)의 넓이가 가장 큰 시점과 가장 작은 시점이 각각 한번 포함하게 될 수 있다. 일부 실시예들에 따르면, 반도체 기판(W)에 의해 반사된 광량에 대한 데이터를 퓨리에 변환을 통하여 분석하는 경우 기판의 회전 속도와 실질적으로 동일한 주파수 성분이 상대적으로 큰 값을 갖는 것으로 측정될 수 있다.
일부 실시예들에 따르면, 반도체 기판(W)의 상면이 지지부(111)에 대해 기울어진 경우, 기판 중심축(CW)이 서셉터 중심축(CS)에 대해 기울어지는바 회전 대칭성이 깨질 수 있다. 일부 실시예들에 따르면, 반도체 기판(W)은 지지부(111)에 대해 기울어진 채 회전함에 따라, 반도체 기판(W)의 상면에 반사되어 광 센서(210)에 도달하는 광의 경로 및 각도 등이 달라질 수 있다. 이에 따라 반도체 기판(W)에 반사된 광량에 대한 데이터에 반도체 기판(W)의 회전에 대한 정보가 포함될 수 있다. 구체적으로 반도체 기판(W)이 한번 회전하는 동안, 반도체 기판(W)의 상면 중 높은 부분(H)과 낮은 부분(L)이 각각 한번씩 관찰 영역(OS)에 포함될 수 있다. 일부 실시예들에 따르면, 반도체 기판(W)에 의해 반사된 광량에 대한 데이터를 퓨리에 변환을 통하여 분석하는 경우 반도체 기판(W)의 회전 속도와 실질적으로 동일한 주파수 성분이 상대적으로 큰 값을 갖는 것으로 측정될 수 있다.
도 4c 및 도 5c를 참조하면, 반도체 기판(W)에 휨이 발생할 수 있다. 반도체 기판(W)의 휨은 반도체 기판(W)의 각 부분의 열 팽창계수의 차이에 따른 응력 불균형으로 인해 발생할 수 있다. 일부 실시예들에 따르면 반도체 기판(W)이 지지부(111)에 대해 정렬될 수 있다. 기판 중심(CW)와 서셉터 중심(CS)이 실질적으로 일치할 수 있다.
일부 실시예들에 따르면, 반도체 기판(W)이 휨에 따라 반도체 기판(W)에 높은 부분(H)과 낮은 부분(L)이 발생할 수 있다. 도 4C 및 도 5C를 참조하면 각각 두 개의 높은 부분(H)과 낮은 부분(L)이 발생한 것으로 도시되었으나 이에 제한되지 않는다. 일부 실시예들에 따르면, 반도체 기판(W)이 오정렬이나 기울어짐이 발생하지 않아도, 반도체 기판(W)의 회전 대칭성이 깨질 수 있다.
구체적으로 반도체 기판(W)이 한번 회전하는 동안, 반도체 기판(W)의 상면에는 높은 부분(H)과 낮은 부분(L)이 각각 두 번 관찰 영역(OS)에 포함될 수 있다. 일부 실시예들에 따르면, 반도체 기판(W)에 의해 반사된 광량에 대한 데이터를 퓨리에 변환을 통하여 분석하는 경우 반도체 기판(W)의 회전 속도의 두 배와 실질적으로 동일한 주파수 성분이 상대적으로 큰 값을 갖는 것으로 측정될 수 있다.
도 4a 내지 5c에서 설명한 것을 종합하면, 반도체 기판(W)이 정상적으로 배치된 경우, 반도체 기판(W)에 반사된 광량을 퓨리에 변환한 데이터에서 0Hz 주파수에 대응하는 성분인 직류 성분이 상대적으로 큰 값을 가질 수 있다. 반도체 기판(W)이 비정상적으로 배치된 경우, 회전 주파수(fr) 및 그의 정수 배에 해당하는 고조파들(harmonics)이 상대적으로 큰 값을 가질 수 있다. 반도체 기판(W)이 지지부(111)에 대해 기울어지거나 오정렬된 경우, 반도체 기판(W)에 반사된 광량을 퓨리에 변환한 데이터에서 회전 주파수(fr)와 실질적으로 동일한 제1 고조파 성분이 상대적으로 큰 값을 가질 수 있다. 반도체 기판(W)에 휨이 발생한 경우, 반도체 기판(W)에 의해 반사된 광량을 퓨리에 변환한 데이터에서, 회전 주파수(fr)의 두 배와 실질적으로 동일한 제2 고조파 성분이 상대적으로 큰 값을 가질 수 있다. 도 4b 내지 도 4c에서 설명한 반도체 기판(W)의 기울기, 오정렬 및 휨은 경우에 따라 동시에 발생할 수 있고, 기울기, 오정렬 및 휨 중 일부만 발생하는 것도 가능하다.
P3300에서 반도체 기판(W)에 반사된 광량에 대한 데이터에서 회전 주파수(fr)에 대한 제1 고조파 성분이 상대적으로 큰 값으로 검출되는 경우, 반도체 기판(W)에 오정렬 또는 기울기가 발생한 것으로 결정할 수 있다. 반도체 기판(W)에 반사된 광량에 대한 데이터에서 회전 주파수(fr)에 대한 제2 고조파 성분이 상대적으로 큰 값으로 검출되는 경우 반도체 기판(W)에 휨이 발생한 것으로 결정할 수 있다. 반도체 기판(W)에 반사된 광량으로부터 반도체 기판(W)의 상에 퇴적된 막들의 두께 및 패턴의 치수의 결정하는 것에 관한 설명은 후술하도록 한다.
도 6은 반도체 기판(W)에 반사된 광량의 주파수 특성을 분석하는 것을 설명하기 위한 순서도이다.
도 7은 반도체 기판(W)에 반사된 광량의 시간에 따른 변화를 도시한 것이다. 도 8은 도 7의 광량의 특정시점에서의 주파수 분포를, 이후 설명하는 주파수 분석 방법을 이용하여 분석한 그래프이다.
도 1 및 도 6 내지 도 8을 참조하면, P3210에서 주파수 변환기(260)는 광 센서(210)에 의해 측정된 반도체 기판(W)에 반사된 광량의 데이터에 대해 퓨리에 변환을 수행할 수 있다. 일부 실시예들에 따르면, 주파수 변환기(260)는 유한한 시간 구간에 대한 퓨리에 변환을 이용하여 광량에 대한 데이터를 주파수 분석할 수 있다. 특정 시점 t에서의 주파수 변환기(260)에 의한 주파수 변환은 아래의 [수학식 2]에 의해 설명될 수 있다.
[수학식 2]
Figure pat00002
여기서 T는 소정의 시간 간격을 의미하며, 공정 조건 및 온라인 오프라인 데이터 등으로부터 결정될 수 있다. e는 오일러 상수를, j는 단위 허수, π는 원주율을 의미할 수 있고,
Figure pat00003
는 시간 변수, f는 주파수를 의미할 수 있다. I(f, t)는 t라는 시점에서 주파수 영역의 광량 함수를 의미하며, 주파수 영역의 광량 함수의 크기는 주파수 및 시간에 따라 달라질 수 있다. i(t)는 시간 영역의 광량 함수를 의미하며, 주파수 영역의 광량 함수의 크기는 시간에 따라 달라질 수 있다.
도 7 및 도 8을 참조하면, 시간 영역의 광량 함수 i(t)는 반도체 기판(W)의 회전 및 램프 자체의 세기에 따라 변할 수 있다. 도 8은 특정 시점에서의 광량의 주파수 분포로서, 제1 주파수(f1) 및 각각 그에 대한 약 2 내지 6배의 배수 주파수들인 제2 내지 제6 주파수(f1, f2, f3, f4, f5, f6)에 대한 고조파들에서 광량 분포의 정점들이 나타나는 것을 확인할 수 있다. 여기서 제1 주파수(f1)는 회전 주파수(fr)와 실질적으로 동일할 수 있다.
도 9a는 반도체 기판(W)에 반사된 광량의 시간에 따른 변화를 도시한 것이다. 도 9b는 도 9a의 광량 중 0Hz 주파수를 갖는 직류 성분의 크기를 시간에 따른 변화를 도시한 것이다. 도 9c는 도 9a의 광량 중 회전 주파수(fr)와 실질적으로 동일한 제1 주파수(f1)를 갖는 제1 주파수 성분의 크기의 시간에 따른 변화를 도시한 것이다. 도 9d는 도 9a의 광량 중 회전 주파수(fr)의 2배와 실질적으로 동일한 제2 주파수(f2)를 갖는 제2 주파수 성분의 크기의 시간에 따른 변화를 도시한 것이다.
도 9b 내지 9d를 참조하면, 측정된 광량으로부터 직류 성분, 제1 주파수 성분 및 제2 주파수 성분만을 추출한 것으로 도시되었으나, 이에 제한되지 않고, 제1 주파수에 대한 제3 고조파 이상의 고조파들 및 각 고조파들의 사이의 분포하는 주파수 성분을 추출할 수 있다.
도 6 및 도 9a 내지 9d를 참조하면, P3220에서 주파수 분석기(270)는 P3210을 통해 구한 주파수 분포로부터, 특정 주파수에 해당하는 광량의 크기의 시간에 따른 변화를 산출할 수 있다.
도 9a 내지 9d에는 퇴적 시작점(dep)이 도시되어 있다. 반도체 기판(W, 도 1 참조) 상에 소정의 막들이 퇴적되는 경우, 반도체 기판(W)의 강성 또는 내부 응력 강화되는 바, 반도체 기판(W)의 휨이 감소될 수 있다. 따라서 반도체 기판(W, 도 1 참조) 상에 소정의 막들이 퇴적됨에 따라, 반도체 기판(W)에 반사된 광량 중 제2 고조파 성분의 크기가 감소할 수 있다. 이때, 공정 챔버(100, 도 1 참조)의 온도를 조절하기 위해 램프(120, 도 1 참조)에 의해 발생하는 광량 자체도 시간에 따라 변화할 수 있고, 램프의 광량 자체의 크기의 변화가 전체 광량 및 각각의 주파수 성분들에 반영될 수 있다. 도 9a 내지 9d를 참조하면, 반도체 기판(W)에 반사된 광량, 직류 성분, 제1 주파수 성분 및 제2 주파수 성분은 퇴적 시작점(dep)을 판단할 수 있는 특별한 양상을 띄지 않는다.
도 10a 내지 10d는 각각 순서대로 도 9a 내지 9d의 결과들을 표준화하여 도시한 그래프들이다.
도 6 및 도 10a 내지 10d를 참조하면, P3230에서 P3220을 통해 구한 각 주파수 성분 크기의 시간에 따른 변화를 표준화 할 수 있다. 일부 실시예들에 따르면, 주파수 분석기(270)는 직류 성분을 이용하여 상기 제1 주파수 성분 및 상기 제2 주파수 성분을 표준화할 수 있다. 일부 실시예들에 따르면, 직류 성분으로 제1 주파수 성분 및 제2 주파수 성분을 나누어 상기 제1 주파수 성분 및 상기 제2 주파수 성분을 표준화할 수 있다. 일부 실시예들에 따르면, 매시점 마다 직류 성분으로 제1 주파수 성분 및 제2 주파수 성분을 나누어 표준화된 제1 주파수 성분 및 상기 제2 주파수 성분의 시간 변화를 산출할 수 있다.
퓨리에 변환시 직류 성분 이외의 주파수 성분은 각각 삼각 함수에 대응할 수 있고, 한 주기 동안의 평균 값은 실질적으로 0일 수 있다. 이에 따라, 각 시점에서 전체 광량의 평균 값은 직류 성분의 값과 실질적으로 동일할 수 있다. 일부 실시예들에 따르면, 각 고조파 성분들을 직류 성분으로 나눔으로써, 램프(120, 도 1 참조) 자체의 발광량의 변화에 따른 각 주파수 성분의 변화를 보정할 수 있다. 이에 따라, 반도체 기판에 대한 처리가 수행되면서 공정 챔버(100) 내의 광량이 시간에 따라 변화하는 환경에서도, 실시간으로 반도체 기판(W)의 상태를 검사할 수 있다. 도 10d를 참조하면, 퇴적 시작점(dep) 이후에 제2 고조파 성분이 시간에 따라 감소하기 시작하는 것을 알 수 있다. 일부 실시예들에 따르면, 표준화된 제2 고조파 성분의 크기를 이용하여 반도체 기판(W)의 휨의 발생 여부 및 정도를 결정할 수 있다.
이어서 P3240에서 회전 주파수(fr)에 대한 고조파 성분을 분석할 수 있다. 전술한 것과 마찬가지로, 일부 실시예들에 따르면 광량의 주파수 분포 중 회전 주파수(fr)의 제1 고조파로부터 반도체 기판(W)의 기울기 및/또는 반도체 기판(W)의 오정렬을 결정할 수 있다.
일부 실시예들에 따르면, 광량의 주파수 분포 중 회전 주파수(fr)의 제2 고조파로부터 반도체 기판(W)의 휨을 결정할 수 있다. 또한, 도 13을 이용하여 후술하듯, 일부 실시예들에 따르면, 광량의 주파수 분포 중 직류 성분으로부터 반도체 기판(W) 상에 퇴적된 막들의 두께를 구할 수 있다. 또한, 도 14 내지 15b를 이용하여 후술하듯, 일부 실시예들에 따르면, 광량의 주파수 분포 중 직류 성분 및 제2 고조파 성분으로부터 임계 치수를 구할 수 있다.
도 11a는 일 실험예에서 반도체 기판에 반사된 광량을 시간에 따라 도시한 그래프이다. 도 11b는 도 11a의 제1 고조파 성분의 시간에 따른 변화를 도시한 것이다.
도 1, 도 11a 및 11b를 참조하면 오정렬 시각(tm)에서 반도체 기판(W)을 서셉터(110)에 대해 의도적으로 오정렬 시켰다. 도 11b에서 오정렬 직후 제1 고조파 성분의 크기가 크게 증가한 것을 확인할 수 있다. 일부 실시예들에 따르면, 표준화된 제1 고조파 성분의 크기 및 그 변화를 이용하여 반도체 기판(W)의 오정렬 및 기울기의 발생 여부 및 정도를 결정할 수 있다.
도 12는 일 실험예에서 반도체 기판에 반사된 광량 중 제2 고조파 성분의 크기를 시간에 따라 도시한 그래프이다.
도 1 및 도 12를 참조하면, 반도체 기판(W)이 로딩된 공정 챔버(100)는 일정한 저온을 유지하는 저온 구간(LTTI), 온도가 상승하는 온도 상승 구간(TRTI) 및 일정한 고온을 유지하는 고온(HTTI) 구간을 순차적으로 거친다. 도 12를 참조하면 이러한 공정 챔버(100)의 온도 변화에 따라 제2 고조파 성분의 크기의 변화하는 것을 확인할 수 있다. 공정 챔버(100) 내의 온도가 상승함에 따라 반도체 기판(W)의 휨이 증가하게 되고, 제2 고조파 성분의 크기도 증가하는 것을 확인할 수 있다. 본 실험 예로부터, 표준화된 제2 고조파 성분의 크기를 이용하여 반도체 기판(W)의 휨의 발생 여부 및 정도를 결정할 수 있음을 알 수 있다.
도 13은 일부 실험예들에서 반도체 기판에 반사된 광량 중 직류 성분의 크기와 반도체 기판 상에 퇴적된 막들의 두께의 상관관계를 도시한 그래프이다.
도 1 및 도 13을 참조하면 점들은 각 반도체 기판(W)에 퇴적된 소정의 막들의 두께와 측정된 반도체 기판(W)에 반사된 광량을 나타내고, 점선은 이들의 추세선을 나타낸다. 이때 알 제곱 결정 계수(R squre Coefficient of determination)는 약 R2=0.8758일 수 있다. 여기서 알 제곱 결정 계수는 회귀선으로 설명된 부분(SSR)이 총변동(SST) 중에서 어느 정도 차지하는지를 나타내는 값으로, R2=SSR/SST에 의해 정의될 수 있다. 알 제곱 결정 계수는 회귀 직선의 방정식이 얼마나 원래의 자료를 잘 설명하는지 나타내는 수치이다. 알 제곱 결정 계수가 1에 가까울수록, 회귀 직선의 방정식이 얼마나 원래의 자료를 정확히 설명한다. 따라서, 위의 R2=0.8758 값은 직류 성분이 각 반도체 기판(W)에 퇴적된 소정의 막들의 두께를 잘 설명함을 의미할 수 있다.
일부 실시예들에 따르면, 직류 성분의 값에 대한 소정의 함수를 이용하여, 반도체 기판(W) 상에 퇴적된 막의 두께를 결정할 수 있다. 일부 실시예들에 따르면, 직류 성분의 값에 대한 소정의 1차 함수를 이용하여, 반도체 기판(W) 상에 퇴적된 막의 두께를 결정할 수 있다. 일부 실시예들에 따르면, 직류 성분의 값을 이용하여 반도체 기판(W) 상에 퇴적된 막의 두께를 구하는 것은, 반도체 기판(W) 상에 퇴적된 막의 두께에 대한 직류 성분의 1차 함수의 계수들을 결정하는 것을 포함할 수 있다. 이상 도 13을 이용하여 설명한 반도체 기판(W) 상에 퇴적된 막의 두께를 구하는 것은, 도 3에서 설명한 P3300에 포함될 수 있다.
도 14는 일부 실험예들에서 회전하는 반도체 기판에 반사된 광량의 주파수 성분들의 연산 결과와 실제로 측정된 치수를 도시한 것이다.
도 1 및 도 14를 참조하면, 일부 실시예들에 따르면, 반도체 기판(W) 상의 소정의 막들을 식각하여 패턴을 형성한 후, 상기 패턴 상에 추가적인 막들이 적층될 수 있다. 일부 실시예들에 따르면 추가적으로 적층되는 막들의 두께 및 식각된 패턴의 폭 등으로부터 임계 치수(Critical dimension)를 계산할 수 있다.
원판의 변형에 대한 로크와 영의 공식에 따르면, 반도체 기판(W)의 변형은 반도체 기판(W) 두께의 세제곱에 반비례하고, 반도체 기판(W) 상에 작용하는 로드에 비례한다. 일부 실시예들에 따르면, 반도체 기판(W) 상의 작용하는 로드는 퇴적된 막의 두께에 대응하므로 직류 성분을 이용하여 구할 수 있고, 반도체 기판(W) 의 휨은 제2 고조파 성분을 이용하여 구할 수 있다. 일부 실시예들에 따르면, 직류 성분 및 제2 고조파 성분에 소정의 연산을 수행하여 패턴의 임계 치수를 구할 수 있다.
이상에서 도 14를 참조하여 설명한 반도체 기판 상에 형성된 패턴의 임계 치수를 구하는 것은, 도 3에서 설명한 P3300에 포함될 수 있다.
반도체 기판을 처리하는 중, 반도체 기판(W)이 서셉터 상에 장착될 때 기판 포켓에 대해 오정렬되거나 기울어질 수 있다. 또는 반도체 기판이 챔버에 로딩된 후 공정 중에 서셉터에 대해 상대적으로 이동하여 기판 포켓에 대해 오정렬되거나, 반도체 기판(W)과 서셉터 사이의 기울기가 발생할 수 있다. 또한 반도체 기판(W)의 온도의 상승과 기판 사이의 국부적인 열 팽창 계수 차이 등으로 인해 반도체 기판(W)에 휨 또는 기울어짐이 발생할 수 있다. 이러한, 오정렬, 기울어짐, 휨 등의 문제점은 후속하는 공정에서 반도체 기판에 불량을 야기하는 원인이 될 수 있다. 따라서, 오정렬, 기울기 및 휨 등은 소정의 기준치 이하로 조정될 필요가 있다.
기존의 웨이퍼 검사 장치는 별도의 레이저 광원을 이용한 신호를 수집함으로써 휨 정도를 직접 측정하여 웨이퍼의 상태를 판단하였다. 램프(120) 등 열광원에 의해 공정 챔버(100)의 온도를 제어하는 환경에서는 램프에서 발생되는 광량의 크기가 레이저 광원등과 비교하여 매우 커서, 별도의 레이저 광원을 이용한 기판의 변위 측정이 실질적으로 불가능하다.
일부 실시예들에 따른 반도체 소자를 제조하는 방법은, 램프(120) 등의 열광원이 사용되는 환경에서도 오정렬, 기울어짐, 휨 등의 문제의 유무를 실시간으로 검사하여, 문제가 발생한 기판에 대해 공정 환경을 다시 세팅할 수 있다. 나아가 일부 실시예들에 따른 반도체 소자를 제조하는 방법은 실시간으로 반도체 기판 상에 퇴적된 막들의 두께를 산출할 수 있고, 반도체 기판 상에 형성된 패턴의 임계치수를 측정할 수 있다. 이에 따라 반도체 기판의 상태에 대한 검사 및 반도체 기판 처리의 신뢰도가 제고될 수 있다.
지금까지, 본 발명을 도면에 도시된 실시예를 참고로 설명하였으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
100: 공정 챔버, 110 서셉터, 120 램프, 130: 리플렉터, 140: 온도 감지 센서
150: 공정 컨트롤러, 200: 기판 상태 검사 장치, 210: 광 센서, 250: 검사 프로세서, 260: 주파수 변환기, 270: 주파수 분석기

Claims (10)

  1. 회전하는 반도체 기판에 반사된 광량을 측정하는 단계;
    측정된 상기 광량의 주파수 분포를 분석하는 단계; 및
    상기 주파수 분포를 이용하여 상기 반도체 기판의 상태를 결정하는 단계를 포함하고,
    상기 광량의 주파수 분포를 분석하는 단계는 복수개의 주파수에 각각 대응하는 복수개의 주파수 성분들을 추출하는 것을 포함하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  2. 제1항에 있어서,
    상기 광량의 주파수 분포를 분석하는 단계는 0Hz의 주파수에 대응하는 직류 성분, 제1 주파수에 대응하는 제1 주파수 성분 및 상기 제1 주파수의 2배인 제2 주파수에 대응하는 제2 주파수 성분을 추출하는 단계를 포함하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  3. 제2항에 있어서,
    상기 반도체 기판의 상태를 결정하는 단계는, 상기 직류 성분을 이용하여 상기 적어도 하나의 막이 퇴적된 두께를 판단하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  4. 제2항에 있어서,
    상기 제1 주파수는 상기 반도체 기판이 회전하는 주파수와 실질적으로 동일한 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  5. 제4항에 있어서,
    상기 반도체 기판은 상기 반도체 기판을 수용하고 회전시킬 수 있는 서셉터 상에 배치되고,
    상기 반도체 기판의 상태를 결정하는 단계는 상기 제1 주파수 성분을 이용하여 상기 반도체 기판의 상기 서셉터에 대한 오정렬을 판단하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  6. 제4항에 있어서,
    상기 반도체 기판은 상기 반도체 기판을 수용하고 회전시킬 수 있는 서셉터 상에 배치되고,
    상기 반도체 기판의 상태를 결정하는 단계는 상기 제1 주파수 성분을 이용하여 상기 반도체 기판의 상기 서셉터에 대한 기울어짐을 판단하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  7. 제4항에 있어서,
    상기 반도체 기판의 상태를 결정하는 단계는 상기 제2 주파수 성분을 이용하여 상기 반도체 기판의 휨을 판단하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  8. 제4항에 있어서,
    상기 반도체 기판은 상기 반도체 기판을 수용할 수 있는 서셉터 상에 배치되고, 상기 반도체 기판 상에 요철을 포함하는 적어도 하나의 패턴이 형성되며,
    상기 광량의 주파수 분포를 이용하여 상기 반도체 기판의 상태를 결정하는 단계는, 상기 직류 성분 및 상기 제2 주파수 성분을 이용하여 상기 적어도 하나의 패턴의 임계 치수를 판단하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  9. 회전하는 반도체 기판에 반사된 광량을 측정하는 단계;
    측정된 상기 광량의 주파수 분포를 분석하는 단계; 및
    상기 광량의 주파수 분포를 이용하여 상기 반도체 기판의 상태를 결정하는 단계를 포함하고,
    상기 광량의 주파수 분포를 분석하는 단계는 상기 광량의 주파수 분포를 표준화하는 단계를 포함하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
  10. 제9항에 있어서,
    상기 광량의 주파수 분포를 표준화하는 단계는 상기 측정된 상기 광량의 0Hz의 주파수에 대응하는 직류 성분, 제1 주파수에 대응하는 제1 주파수 성분 및 상기 제1 주파수의 2배인 제2 주파수에 대응하는 제2 주파수 성분을 추출하고, 상기 직류 성분으로 상기 제1 주파수 성분 및 상기 제2 주파수 성분을 표준화하는 것을 특징으로 하는 반도체 기판을 검사하는 방법.
KR1020170126349A 2017-09-28 2017-09-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법 KR102491575B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020170126349A KR102491575B1 (ko) 2017-09-28 2017-09-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법
US15/887,186 US10541182B2 (en) 2017-09-28 2018-02-02 Method of inspecting semiconductor substrate and method of manufacturing semiconductor device
CN201810992183.8A CN109585319B (zh) 2017-09-28 2018-08-29 检查半导体基底的方法以及制造半导体装置的方法
KR1020220187971A KR102595901B1 (ko) 2017-09-28 2022-12-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170126349A KR102491575B1 (ko) 2017-09-28 2017-09-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220187971A Division KR102595901B1 (ko) 2017-09-28 2022-12-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법

Publications (2)

Publication Number Publication Date
KR20190036891A true KR20190036891A (ko) 2019-04-05
KR102491575B1 KR102491575B1 (ko) 2023-01-25

Family

ID=65808032

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170126349A KR102491575B1 (ko) 2017-09-28 2017-09-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법
KR1020220187971A KR102595901B1 (ko) 2017-09-28 2022-12-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220187971A KR102595901B1 (ko) 2017-09-28 2022-12-28 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법

Country Status (3)

Country Link
US (1) US10541182B2 (ko)
KR (2) KR102491575B1 (ko)
CN (1) CN109585319B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020237016A1 (en) * 2019-05-23 2020-11-26 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111630650B (zh) * 2018-02-23 2023-07-14 应用材料公司 通过脉冲或轮廓点加热执行的外延(epi)厚度调节
DE102020119873A1 (de) 2020-07-28 2022-02-03 Aixtron Se Verfahren zum Erkennen fehlerhafter oder fehlerhaft in einem CVD-Reaktor eingesetzte Substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070077260A (ko) * 2006-01-23 2007-07-26 삼성전자주식회사 웨이퍼 검사 방법
US20150147829A1 (en) * 2013-11-27 2015-05-28 Applied Materials, Inc. Limiting Adjustment of Polishing Rates During Substrate Polishing
US20150192527A1 (en) * 2012-07-02 2015-07-09 Nova Measuring Instruments Ltd. Optical method and system for detecting defects in three-dimensional structures
US20170219496A1 (en) * 2014-09-29 2017-08-03 Unity Semiconductor Method and system for inspecting wafers for electronics, optics or optoelectronics

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5897608A (ja) * 1981-12-05 1983-06-10 Nippon Paint Co Ltd 表面性状測定方法および装置
US4755752A (en) * 1983-07-05 1988-07-05 Gerald L. Fitzpatrick Flaw imaging in ferrous and nonferrous materials using magneto-optic visualization
KR100241290B1 (ko) * 1992-07-09 2000-03-02 야마시타 히데나리 반도체 처리장치
JPH09172047A (ja) 1995-12-20 1997-06-30 Toshiba Microelectron Corp 半導体ウェーハ測定装置及び方法
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6897957B2 (en) * 2001-03-26 2005-05-24 Candela Instruments Material independent optical profilometer
JP2000249529A (ja) * 1999-03-02 2000-09-14 Sony Corp 欠陥検査装置および欠陥検査方法
JP2003240723A (ja) * 2002-02-19 2003-08-27 Mitsubishi Electric Corp 欠陥検査方法及び欠陥検査装置
US6671098B2 (en) * 2002-05-16 2003-12-30 Applied Materials, Inc. Scanning angle expander and a method for expanding a scanning beam angle
US6950774B2 (en) 2003-01-16 2005-09-27 Asm America, Inc. Out-of-pocket detection system using wafer rotation as an indicator
US7119569B2 (en) * 2004-03-05 2006-10-10 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
JP2007127566A (ja) 2005-11-07 2007-05-24 Dainippon Screen Mfg Co Ltd 基板測定装置
JP5241245B2 (ja) 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
JP5025545B2 (ja) 2008-03-28 2012-09-12 株式会社東京精密 ウェーハの位置決め検出装置および位置決め方法
KR101062460B1 (ko) * 2009-12-16 2011-09-05 엘아이지에이디피 주식회사 화학기상증착장치의 온도제어방법
US8958076B2 (en) * 2010-12-27 2015-02-17 Hitachi High-Technologies Corporation Surface shape measuring apparatus
US8501503B2 (en) * 2011-04-28 2013-08-06 Nanda Technologies Gmbh Methods of inspecting and manufacturing semiconductor wafers
JP5836223B2 (ja) 2011-12-02 2015-12-24 株式会社神戸製鋼所 貼合基板の回転ズレ量計測装置、貼合基板の回転ズレ量計測方法、及び貼合基板の製造方法
JP6405819B2 (ja) 2014-09-17 2018-10-17 東京エレクトロン株式会社 アライメント装置
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
ITUB20152455A1 (it) * 2015-07-24 2017-01-24 Mg 2 Srl Gruppo di alimentazione per il dosaggio di almeno due prodotti, in particolare prodotti farmaceutici in granuli, in capsule o simili

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070077260A (ko) * 2006-01-23 2007-07-26 삼성전자주식회사 웨이퍼 검사 방법
US20150192527A1 (en) * 2012-07-02 2015-07-09 Nova Measuring Instruments Ltd. Optical method and system for detecting defects in three-dimensional structures
US20150147829A1 (en) * 2013-11-27 2015-05-28 Applied Materials, Inc. Limiting Adjustment of Polishing Rates During Substrate Polishing
US20170219496A1 (en) * 2014-09-29 2017-08-03 Unity Semiconductor Method and system for inspecting wafers for electronics, optics or optoelectronics

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020237016A1 (en) * 2019-05-23 2020-11-26 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
US11538723B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging
US11538722B2 (en) 2019-05-23 2022-12-27 Tokyo Electron Limited Optical diagnostics of semiconductor process using hyperspectral imaging

Also Published As

Publication number Publication date
US20190096773A1 (en) 2019-03-28
CN109585319B (zh) 2023-09-15
KR102491575B1 (ko) 2023-01-25
KR102595901B1 (ko) 2023-10-30
CN109585319A (zh) 2019-04-05
KR20230008686A (ko) 2023-01-16
US10541182B2 (en) 2020-01-21

Similar Documents

Publication Publication Date Title
KR102595901B1 (ko) 반도체 기판을 검사하는 방법 및 이를 이용하여 반도체 소자를 제조 하는 방법
TWI303090B (en) Method for in-situ monitoring of patterned substrate processing using reflectometry
KR101203592B1 (ko) 근적외선 스펙트럼 반사광 측정을 이용한 개선된 프로세스 감지 및 제어
JP4368792B2 (ja) 熱処理チャンバ内で温度測定装置を較正するシステムおよび方法
JP5444610B2 (ja) 光計測を用いた半導体製造プロセスのプロセスパラメータの測定方法
US6366861B1 (en) Method of determining a wafer characteristic using a film thickness monitor
US20120251705A1 (en) Temperature controlling method and plasma processing system
US11060983B2 (en) Evaluation method of silicon wafer
KR20210013763A (ko) 온도를 측정하기 위한 방법 및 장치
TWI797331B (zh) 處理裝置
WO1999019700A1 (en) Temperature measuring method and apparatus
US7403001B1 (en) Methods and apparatus for measuring morphology of a conductive film on a substrate
JP2001228026A (ja) 放射温度測定方法
US6605482B2 (en) Process for monitoring the thickness of layers in a microelectronic device
JP6581614B2 (ja) 半導体構造体の製造方法、検査方法、およびプログラム
US8233158B2 (en) Method and apparatus for determining the layer thickness and the refractive index of a sample
JP4654097B2 (ja) 半導体製造装置
TWI841765B (zh) 用於在保持晶圓翹曲覆蓋率時使用較少有效像素增強資料處理產量之系統和方法
US10410937B2 (en) Optical measuring method for semiconductor wafer including a plurality of patterns and method of manufacturing semiconductor device using optical measurement
WO2023132268A1 (ja) 判定方法及び基板処理装置
TW202317934A (zh) 在製造系統中用以繪製基板的厚度變化之系統及方法
JP2016009720A (ja) 推定方法及びプラズマ処理装置
TW202339039A (zh) 溫度檢測裝置及半導體處理裝置
TW202417811A (zh) 用於在保持晶圓翹曲覆蓋率時使用較少有效像素增強資料處理產量之系統和方法
Blau et al. TIS characterization of surface roughness

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant