KR20190005986A - 변위 기반 오버레이 또는 정렬 - Google Patents

변위 기반 오버레이 또는 정렬 Download PDF

Info

Publication number
KR20190005986A
KR20190005986A KR1020187035951A KR20187035951A KR20190005986A KR 20190005986 A KR20190005986 A KR 20190005986A KR 1020187035951 A KR1020187035951 A KR 1020187035951A KR 20187035951 A KR20187035951 A KR 20187035951A KR 20190005986 A KR20190005986 A KR 20190005986A
Authority
KR
South Korea
Prior art keywords
structures
assigned
substrate
patterning
groups
Prior art date
Application number
KR1020187035951A
Other languages
English (en)
Other versions
KR102189871B1 (ko
Inventor
마리누스 조쳄센
앤더슨 미들브룩스. 스코트
스테판 훈스체
테-셍 왕
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20190005986A publication Critical patent/KR20190005986A/ko
Application granted granted Critical
Publication of KR102189871B1 publication Critical patent/KR102189871B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70541Tagging, i.e. hardware or software tagging of features or components, e.g. using tagging scripts or tagging identifier codes for identification of chips, shots or wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

기판 상의 복수의 구조체들의 이미지를 얻는 단계 -복수의 구조체들 각각은 디자인 레이아웃의 대응하는 패턴을 전사함으로써 기판 상에 형성됨- ; 구조체들 각각에 대하여 그 구조체의 기준점에 대한 변위를 얻는 단계; 및 하드웨어 컴퓨터 시스템을 이용하여, 변위에 기초한 복수의 그룹들 중 하나로 구조체들 각각을 할당하는 단계를 포함하는 방법이 개시된다.

Description

변위 기반 오버레이 또는 정렬
본 출원은 2016년 5월 12일에 출원된 미국 출원 62/335,430의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 디바이스 패터닝 장치들 및 공정들(예를 들어, 리소그래피 장치들 및 공정들)에 관한 것이다.
반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처(feature)들 및 다수 층들을 형성하기 위해 다수의 패터닝 공정들 및 패터닝 장치들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 패터닝된다. 다수 디바이스들이 기판 상의 복수의 다이들에 패터닝된 후, 개별적인 디바이스들로 분리될 수 있다. 패터닝 공정은 리소그래피 장치를 이용하는 광학 및/또는 나노임프린트 리소그래피와 같은 패터닝 장치를 이용하는 패터닝 단계를 수반하여, 기판 상에 패턴을 제공할 수 있고, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용하는 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계들을 수반한다. 또한, 1 이상의 메트롤로지 공정들이 패터닝 공정에서 수반될 수 있다.
메트롤로지 공정들은 패터닝 공정 동안 다양한 단계들에서 사용되어, 공정을 모니터링하고 제어한다. 예를 들어, 메트롤로지 공정들은 패터닝 공정 동안 기판 상에 형성된 피처들의 상대 위치[예를 들어, 정합(registration), 오버레이, 정렬 등] 또는 치수[예를 들어, 라인 폭, 임계 치수(CD), 두께 등]와 같은 기판의 1 이상의 특성을 측정하는 데 사용되어, 예를 들어 1 이상의 특성으로부터 패터닝 공정의 성능이 결정될 수 있도록 한다. 1 이상의 특성이 허용가능하지 않는[예를 들어, 특성(들)에 대해 사전설정된 범위 밖인] 경우, 1 이상의 특성의 측정들은 패터닝 공정에 의해 제조되는 추가 기판들이 허용가능한 특성(들)을 갖도록 패터닝 공정의 1 이상의 파라미터를 변경하는 데 사용될 수 있다.
리소그래피 장치는, 예를 들어 집적 회로(IC) 또는 다른 디바이스들의 제조를 위한 패터닝 공정에서 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 디바이스의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들은 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 장치가 축소율(M)(예를 들어, 4)을 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(1/M) 배일 것이다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예를 들어 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고 핀에 연결되는 등의 단계를 거칠 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
본 명세서에서, 기판 상의 복수의 구조체들의 이미지를 얻는 단계 -복수의 구조체들 각각은 디자인 레이아웃의 대응하는 패턴을 전사함으로써 기판 상에 형성됨- ; 그 구조체에 대한 기준점에 대해 구조체들 각각에 대한 변위(displacement)를 얻는 단계; 및 하드웨어 컴퓨터 시스템을 이용하여, 변위에 기초한 복수의 그룹들 중 하나로 구조체들 각각을 할당하는 단계를 포함하는 방법이 개시된다.
일 실시예에 따르면, 이미지는 SEM 이미지이다. 일 실시예에 따르면, 구조체들은 포토레지스트의 구조체들이다. 일 실시예에 따르면, 구조체들은 기판 내의 에칭된 구조체들이다. 일 실시예에 따르면, 구조체들은 기판 상의 증착된 구조체들이다. 일 실시예에 따르면, 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 시간에 형성된다. 일 실시예에 따르면, 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 깊이에 형성된다. 일 실시예에 따르면, 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 수차(aberration)들을 갖는 방사선으로 형성된다. 일 실시예에 따르면, 복수의 구조체들에 대한 기준점들은 동일한 지점이다. 일 실시예에 따르면, 복수의 구조체들에 대한 기준점들은 그 각각의 대응하는 패턴들로부터 결정된다. 일 실시예에 따르면, 기준점들은 대응하는 패턴들의 이미지들을 시뮬레이션함으로써 결정된다. 일 실시예에 따르면, 변위를 얻는 단계는 이미지로부터의 윤곽(contour)을 추출하는 단계를 포함한다. 일 실시예에 따르면, 상기 방법은 제 1 그룹에 할당된 구조체들의 변위들 및 제 2 그룹에 할당된 구조체들의 변위들에 기초하여, 제 1 그룹에 할당된 구조체들과 제 2 그룹에 할당된 구조체들 간의 상대 시프트(relative shift), 상대 회전, 또는 둘 모두를 결정하는 단계를 더 포함한다. 일 실시예에 따르면, 상기 방법은 상대 시프트, 상대 회전, 또는 둘 모두에 기초하여 결함을 식별하는 단계를 더 포함한다. 일 실시예에 따르면, 복수의 그룹들 중 하나로 구조체들 각각을 할당하는 단계는 클러스터링 알고리즘(clustering algorithm)을 이용하는 단계를 포함한다. 일 실시예에 따르면, 동일한 그룹에 할당된 구조체들은 동일한 매트릭스로 그 각각의 대응하는 패턴들에 선형으로 매핑될 수 있다. 일 실시예에 따르면, 매트릭스는 동차 변환 매트릭스(homogeneous transformation matrix)이다. 일 실시예에 따르면, 할당하는 단계는 변위의 크기(magnitude)에 기초하여 복수의 그룹들 중 하나로 구조체들 각각을 할당하는 단계를 포함한다.
본 명세서에서, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품이 개시되고, 명령어들은 컴퓨터에 의해 실행되는 경우에 본 명세서의 방법들 중 어느 하나를 구현한다.
첨부된 도면들과 관련하여 특정 실시예들의 다음 설명을 검토함으로써, 앞선 실시형태와 특징들, 및 다른 실시형태와 특징들이 당업자에게 분명해질 것이다:
도 1a는 일 실시예에 따른 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램;
도 1b는 조명 소스를 개략적으로 나타내는 도면;
도 1c는 도 1a의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록 다이어그램;
도 2는 패터닝 공정을 위한 디자인 레이아웃을 개략적으로 나타내는 도면;
도 3은 다중 패터닝의 일 예시를 개략적으로 나타내는 도면;
도 4는 다중 패터닝의 일 예시를 개략적으로 나타내는 도면;
도 5는 다중 패터닝의 일 예시를 개략적으로 나타내는 도면;
도 6a는 기판 또는 다이에서의 구조체들의 변위들을 개략적으로 나타내는 도면;
도 6b는 구조체들 자체는 나타내지 않고 도 6a의 구조체들의 변위들을 개략적으로 나타내는 도면;
도 6c는 기판 또는 다이에서의 구조체들의 변위들을 개략적으로 나타내는 도면;
도 6d는 구조체들 자체는 나타내지 않고 도 6c의 구조체들의 변위들을 개략적으로 나타내는 도면;
도 6e는 기판 또는 다이에서의 구조체들의 변위들을 개략적으로 나타내는 도면;
도 6f는 구조체들 자체는 나타내지 않고 도 6a의 구조체들의 변위들을 개략적으로 나타내는 도면;
도 7은 방법에 대한 흐름도를 개략적으로 나타내는 도면;
도 8은 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 9는 또 다른 리소그래피 장치의 개략적인 다이어그램;
도 10은 도 9의 장치의 더 상세한 도면; 및
도 11은 도 9 및 도 10의 장치의 소스 컬렉터 모듈(SO)의 더 상세한 도면이다.
이제 도면들을 참조하여 실시예들이 상세히 설명될 것이며, 이는 당업자가 실시예들을 실행할 수 있도록 예시들로서 제공된다. 아래에서 숫자(figure) 및 예시들은 범위를 단일 실시예에 제한하는 것으로 여겨지지 않으며, 설명되거나 예시된 요소들 중 일부 또는 모두의 상호교환에 의해 다른 실시예들이 가능하다는 것을 유의한다. 어디에서나 편리하게, 동일한 참조 번호들은 도면 전체에서 동일하거나 같은 부분들을 칭하도록 사용될 것이다. 이 실시예들의 소정 요소들이 알려진 구성요소들을 이용하여 부분적으로 또는 전체적으로 구현될 수 있는 경우, 이러한 알려진 구성요소들 중 실시예들을 이해하는 데 필요한 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 세부 설명은 실시예들의 설명을 애매하게 하지 않도록 생략될 것이다. 본 명세서에서, 단수의 구성요소를 나타내는 실시예는 제한하는 것으로 간주되어서는 안 된다; 오히려, 범위는 본 명세서에서 달리 명확히 설명되지 않는 한 복수의 동일한 구성요소를 포함한 다른 실시예들을 포함하도록 의도되며, 그 역도 가능하다. 또한, 출원인은 이러한 것으로서 명확히 설명되지 않은 경우에 명세서 또는 청구항들에서의 여하한의 용어가 두드러지거나 특수한 의미로 여겨지도록 의도하지 않는다. 또한, 범위는 예시의 방식으로 본 명세서에 언급된 구성요소들의 현재 알려진 등가물 및 미래에 알려질 등가물을 포함한다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서는, 디바이스들의 층들이 심(deep)-자외선(예를 들어, 13.52 nm) 조명 소스 또는 극-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 장치들을 이용하여 제조되어, 30 nm보다 훨씬 낮은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 리소그래피 장치 및/또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접성 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 칭함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. "마스크", "레티클", "패터닝 디바이스"라는 용어들은 본 명세서에서 교환가능하게 이용되며, 투과 및 반사 패터닝 디바이스들을 둘 다 포함할 수 있다는 것을 유의한다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링(couple)된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피에 따라오는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 것을 보장하기 위해, 정교한 수치 모델들, 디자인 레이아웃의 보정들 또는 전치-왜곡(pre-distortion)들을 이용하여 근접 효과들이 예측되고 보상되어야 한다. 전형적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향(biasing), 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용을 포함할 수 있다.
타겟 디자인에 대한 모델-기반 OPC의 적용은, 칩 디자인에 전형적으로 존재하는 수백만의 피처들을 감안하면 상당한 연산 리소스(computational resource)들 및 우수한 공정 모델들을 수반한다. 하지만, OPC를 적용하는 것은 일반적으로 정밀 과학이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 경험적인 반복 공정이다. 그러므로, 패터닝 디바이스 패턴으로 형성되는 디자인 결함들의 가능성을 최소화하기 위해, OPC의 효과, 예를 들어 OPC 및 여하한의 다른 RET의 적용 후 디자인 레이아웃들이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 집약적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 한다.
OPC의 가장 간단한 형태들 중 하나는 선택적 편향(selective bias)이다. CD 대 피치 곡선을 고려하면, 모든 상이한 피치들이 마스크 레벨에서 CD를 변화시킴으로써 적어도 최적 포커스 및 노광에서 동일한 CD를 생성하도록 강제될 수 있다. 따라서, 피처가 웨이퍼 레벨에서 너무 작게 프린트되는 경우, 마스크 레벨 피처가 공칭(nominal)보다 약간 크도록 편향될 것이며, 그 역도 마찬가지이다. 마스크 레벨로부터 웨이퍼 레벨로의 패턴 전사 공정이 비-선형이기 때문에, 편향의 양은 단순히 최적 포커스 및 노광에서의 측정된 CD 오차와 축소율(M)의 곱이 아니며, 모델링 및 실험으로 적절한 편향이 결정될 수 있다. 선택적 편향은, 특히 이것이 단지 공칭 공정 조건에서 적용되는 경우, 근접 효과들의 문제에 대해 불완전한 해결책이다. 이러한 편향이 원칙적으로 최적 포커스 및 노광에서 균일한 CD 대 피치 곡선들을 제공하도록 적용될 수 있더라도, 일단 노광 공정이 공칭 조건으로부터 변동되면, 각각의 편향된 피치 곡선이 상이하게 반응하여 상이한 피처들에 대해 상이한 공정 윈도우들을 유도할 것이다. 그러므로, 동일한 CD 대 피치를 제공하는 "최적" 편향은 심지어 전체 공정 윈도우에 부정적인 영향을 미쳐, 원하는 공정 공차 내에서 웨이퍼 상에 모든 타겟 피처들이 프린트되는 포커스 및 노광 범위를 확대하기보다는 축소할 수 있다.
앞선 1-차원 편향 예시를 넘어서는 적용을 위한 다른 더 복잡한 OPC 기술들이 개발되었다. 2-차원 근접 효과는 라인 단부 단축(line end shortening)이다. 라인 단부들은 노광 및 포커스의 함수로서 그들의 원하는 단부 지점 위치로부터 "풀백(pull back)"하는 경향이 있다. 많은 경우에, 긴 라인 단부의 단부 단축 정도는 대응하는 라인 좁힘(line narrowing)보다 수 배 클 수 있다. 이 타입의 라인 단부 풀백은 라인 단부가 소스-드레인(source-drain) 구역에 걸친 폴리실리콘 게이트 층과 같이, 덮도록 의도된 아래놓인 층에 걸쳐 완전히 교차하지 못하는 경우에 제조되고 있는 디바이스들의 파국 고장을 유도할 수 있다. 이 타입의 패턴은 포커스 및 노광에 매우 민감하므로, 단순히 라인 단부를 디자인 길이보다 길게 편향시키는 것은 최적 포커스 및 노광 또는 노출부족 상태에서의 라인이 지나치게 길어서 연장된 라인 단부가 근처 구조체들에 닿을 때 단락 회로를 유도하거나, 회로에서의 개별적인 피처들 사이에 더 많은 공간이 추가되는 경우에 불필요하게 큰 회로 크기들을 유도할 것이기 때문에 적당하지 않다. 집적 회로 디자인 및 제조의 핵심 목표들 중 하나는 칩당 필요한 영역을 최소화하면서 기능 요소들의 수를 최대화하는 것이므로, 과도한 간격을 추가하는 것은 매우 바람직하지 않은 해결책이다.
2-차원 OPC 접근법들은 라인 단부 풀백 문제를 해결하도록 도울 수 있다. "해머헤드(hammerheads)" 또는 "세리프(serifs)"와 같은 여분의 구조체들("어시스트 피처들"로도 알려짐)이 라인 단부들에 추가되어, 이들을 제 자리에 효과적으로 고정하고 전체 고정 윈도우에 걸쳐 감소된 풀백을 제공할 수 있다. 심지어 최적 포커스 및 노광에서 이 여분의 구조체들이 분해되는 것이 아니라, 그 자체로 완전히 분해되지 않고 디자인 피처의 외형을 변경한다. 본 명세서에서 사용되는 바와 같은 "디자인 피처"는 공정 윈도우에서의 일부 또는 전체 조건들 하에 웨이퍼에 프린트되도록 의도되고 최후에 생성되는 회로들에서 일부 기능을 갖는 피처를 의미한다. 어시스트 피처들은, 마스크 상의 패턴이 더 이상 단순히 원하는 웨이퍼 패턴의 축소율(M)의 역(inverse)으로 업사이징된 것이 아닌 정도로, 라인 단부들에 추가된 단순한 해머헤드보다 훨씬 더 공격적인 형태들을 취할 수 있다. 세리프와 같은 어시스트 피처들은 단순히 라인 단부 풀백을 감소시키는 것보다 더 많은 경우에 적용될 수 있다. 내측 또는 외측 세리프들이 여하한의 에지, 특히 2차원 에지들에 적용되어, 코너 라운딩(corner rounding) 또는 에지 돌출을 감소시킬 수 있다. 모든 크기 및 극성(polarity)의 충분한 선택적 편향 및 어시스트 피처들로, 마스크 상의 피처들은 웨이퍼 레벨에서 원하는 최종 패턴과의 유사점이 점점 적어진다. 일반적으로, 마스크 패턴은 웨이퍼-레벨 패턴의 전치-왜곡 버전이 되며, 이때 왜곡은 리소그래피 공정 동안 발생할 패턴 왜곡을 반전시키거나 상쇄하도록 의도되어, 가능한 한 설계자에 의해 의도된 것과 가까운 웨이퍼 상의 패턴을 생성한다.
또 다른 OPC 기술은 디자인 피처들에 연결된 어시스트 피처들(예를 들어, 세리프) 대신에 또는 이에 추가하여, 완전히 독립적이고 비-분해가능한(non-resolvable) 어시스트 피처들을 이용하는 것을 수반한다. 여기에서 "독립적"이라는 용어는 이 어시스트 피처들의 에지들이 디자인 피처들의 에지들에 연결되지 않는다는 것을 의미한다. 이 독립적인 어시스트 피처들은 웨이퍼 상의 피처들로서 프린트되기를 원하거나 의도되지 않으며, 오히려 그 디자인 피처의 프린트가능성 및 공정 공차를 향상시키기 위해 인근 디자인 피처의 에어리얼 이미지를 수정하도록 의도된다. 이 어시스트 피처들[흔히 "산란 바아(scattering bars)" 또는 "SBAR"라고 함]은 디자인 피처들의 에지들 내부로부터 파내어진(scooped out) 피처들인 분해능-이하 인버스 피처(sub-resolution inverse features: SRIF) 및 디자인 피처들의 에지들 밖의 피처들인 분해능-이하 어시스트 피처(SRAF)를 포함할 수 있다. SBAR의 존재는 마스크에 또 다른 복잡한 층을 추가한다. 산란 바아의 간단한 사용예는, 포커스 및 노광 공차에서 조밀한 패턴에 훨씬 더 가까운 공정 윈도우를 유도하는 조밀한 라인들의 어레이 내의 단일 라인을 더 나타내도록 격리된 라인 피처의 양측에 비-분해가능한 산란 바아들의 규칙적인 어레이가 그려지는 경우 -이는 에어리얼 이미지 관점으로부터 격리된 라인이 나타나게 하는 효과를 가짐- 이다. 이러한 꾸며진 격리된 피처와 조밀한 패턴 간의 공통 공정 윈도우는 마스크 레벨에서 격리된 대로 그려진 피처보다 포커스 및 노광 변동들에 대해 더 큰 공통 공차를 가질 것이다.
OPC 및 풀-칩 RET 검증은 둘 다 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
한(one) RET는 디자인 레이아웃의 전역적 편향의 조정과 관련된다. 전역적 편향은 기판 상에 프린트되도록 의도된 패턴들과 디자인 레이아웃의 패턴들 간의 차이이다. 예를 들어, 25 nm 직경의 원형 패턴이 디자인 레이아웃의 50 nm 직경 패턴에 의해 또는 디자인 레이아웃의 20 nm 직경 패턴에 의해 하지만 높은 도즈로 기판 상에 프린트될 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명 소스도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 상호교환가능하게 사용된다. 환형, 쿼드러폴(quadrupole) 및 다이폴(dipole)과 같은 많은 오프-액시스(off-axis) 조명 소스들이 도입되고, OPC 디자인에 대해 더 많은 자유를 제공하였으며, 이로 인해 이미징 결과들이 개선되었다. 알려져 있는 바와 같이, 오프-액시스 조명은 패터닝 디바이스에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 증명된 방식이다. 하지만, 종래의 조명 소스에 비해, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적 밸런스를 달성하도록 조명 소스를 최적화하려는 시도가 바람직해진다. 무수한 조명 소스 최적화 접근법들이 사용될 수 있다.
저 k1 포토리소그래피에 대해, 소스 및 패터닝 디바이스 둘의 최적화는 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 몇몇 알고리즘들이 공간 주파수 도메인에서 조명을 독립적인 소스점들로, 그리고 마스크를 회절 차수들로 분할(discretize)하고, 소스점 세기들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델들에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 공정 윈도우 메트릭에 기초하여 개별적으로 비용 함수(이는 선택된 디자인 변수들의 함수로서 정의됨)를 공식화한다. 본 명세서에서 사용되는 바와 같은 "디자인 변수"라는 용어는 패터닝 공정의 파라미터들, 예를 들어 리소그래피 장치의 사용자가 조정할 수 있는 파라미터들의 세트를 포함한다. 소스, 패터닝 디바이스, 투영 광학기 및/또는 레지스트 특성들을 포함한 패터닝 공정의 여하한의 특성들이 디자인 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화 또는 최대화하기 위해 표준 최적화 기술들이 사용된다.
관련적으로, 운용가능한 공정 윈도우로 기판 상에 회로 디자인이 생성될 수 있을 것을 보장하도록 돕기 위해, (본 명세서에서, 소스-마스크 최적화 또는 SMO라고 칭하는) 소스-패터닝 디바이스 최적화가 사용될 수 있다. 실행가능한 시간 내에 제약 없이 비용 함수를 이용하여 소스 및 패터닝 디바이스의 동시 최적화를 허용하는 소스 및 패터닝 디바이스(디자인 레이아웃) 최적화 방법 및 시스템이 PCT 특허 출원 공개공보 WO 2010/059954호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된된다. 소스의 픽셀들을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 소스 및 패터닝 디바이스 최적화 방법 및 시스템이 미국 특허 출원 공개공보 US 2010-0315614호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서에서는, IC의 제조에 있어서 실시예들의 특정 사용예에 대하여 언급되지만, 실시예들은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 한다는 것을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 패터닝의 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃들의 더 높은 투영 정확성, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성들을 갖도록 리소그래피 장치 파라미터와 같은 패터닝 공정 파라미터를 조정하는 것을 의미한다.
또한, 리소그래피 장치는 2 이상의 기판 테이블(및/또는 2 이상의 패터닝 디바이스 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계들이 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 장치는, 예를 들어 본 명세서에서 인용참조되는 US 5,969,441에서 설명된다.
앞서 언급된 패터닝 디바이스는 디자인 레이아웃들을 나타낸다. 디자인 레이아웃들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수"(CD)라고 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다.
본 명세서에서 채택된 패터닝 디바이스라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다.
- 프로그램가능한 LCD 어레이.
간략한 도입부로서, 도 1a는 예시적인 리소그래피 장치를 매우 개략적으로 나타낸다. 주요 구성요소들은 심-자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스들일 수 있는 조명 소스(12); (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하고, 상기 소스(12)로부터의 방사선을 성형하는 광학기(14, 16a 및 16b)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(18); 및 기판 평면(22) 상에 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16c)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20)가 기판 평면(22) 상에 부딪히는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수를 정의한다[NA = sin(Θmax)]. 본 명세서에서 사용되는 바와 같은 "소스" 및 "조명 소스"라는 용어는 조명 광학기를 포함할 수 있다. 예를 들어, 도 1b는 소스 컬렉터 모듈(2810) 및 조명 광학기(2820)를 포함한 EUV 조명 소스를 나타낸다. 소스 컬렉터 모듈(2810)에서, 플라즈마에 의해 EUV 방사선이 생성될 수 있다. 그 후, EUV 방사선은 조명 광학기(2820)에 의해 성형되고 패터닝 디바이스(2840)로 지향된다. 패터닝 디바이스(2840)와 조명 광학기(2820) 사이의 평면에서의 퓨필은 조명 퓨필이라고 칭해질 수 있다. 조명 소스의 "형상"은 조명 퓨필에서의 세기 및/또는 위상 분포를 칭한다.
시스템의 최적화 공정에서, 시스템의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 공정은 비용 함수를 최소화하는 시스템의 파라미터들(디자인 변수들)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들[평가 포인트(evaluation point)들]의 편차들의 가중 RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값일 수도 있다. 본 명세서에서 "평가 포인트들"이라는 용어는 시스템의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 구현의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 장치의 경우, 제약은 흔히 패터닝 디바이스 제조가능 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트, 및 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
리소그래피 장치에서, 소스는 조명(즉, 방사선)을 제공하고; 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14, 16a, 16b 및 16c) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 상에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 가용성의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 미국 특허 출원 공개공보 US 2009-0157360호에서 찾아볼 수 있고, 이는 본 명세서에서 그 전문이 인용참조된다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 리소그래피 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)은 에어리얼 이미지를 결정한다. 리소그래피 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
리소그래피 장치에서 리소그래피를 시뮬레이션하는 흐름도가 도 1c에 예시된다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 투영 광학기 모델(32)은 다양한 인자들, 예를 들어 투영 광학기의 구성요소들의 가열, 투영 광학기의 구성요소들의 기계적 연결들에 의해 야기된 응력에 의해 야기되는 수차를 포함할 수 있다. 소스 모델(31) 및 투영 광학기 모델(32)은 TCC(transmission cross coefficient) 모델로 조합될 수 있다. 디자인 레이아웃 모델(33)이 패터닝 디바이스의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 소스 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(33)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상(예를 들어, 환형, 쿼드러폴 및 다이폴 등과 같은 오프-액시스 방사선 소스들)을 포함하는 소스의 광학적 특성들을 나타낼 수 있으며, 이에 제한되지는 않음을 유의한다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수, 흡수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(33)은, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 물리적 특성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치들 및 CD들을 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 전-OPC 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
패터닝 공정은 다수 리소그래피 공정들을 포함할 수 있고, 이 각각은 기판 상에 패턴들의 그룹의 이미지를 형성한다. 패턴들의 한 그룹의 이미지 및 패턴들의 또 다른 그룹의 이미지는 구성되는 디바이스의 동일한 층에 있을 수 있다. 예를 들어, 디바이스의 동일한 층 내의 패턴들이 너무 조밀하여 단일 패터닝 디바이스에 의해 제시되거나 표현되지 않는 경우, 패턴들은 다수 패터닝 디바이스들에 의해 또는 동일한 패터닝 디바이스 상에 개별적으로 표현되거나 (동일한 패터닝 디바이스를 이용하여, 또는 상이한 패터닝 디바이스를 이용하여) 개별적으로 제시될 수 있으며, 이로 인해 표현되거나 제시된 패턴들 각각의 밀도가 감소된다(다중 패터닝 또는 멀티-패터닝). 다수 리소그래피 공정들에서의 오차들은 동일하지 않을 수 있다. 예를 들어, 리소그래피 공정 시 형성된 이미지는 병진, 회전, 스케일링(scaling), 스큐잉(skewing) 등과 같은 오차들을 가질 수 있다. 이 오차들은 상이한 리소그래피 공정들에서 상이할 수 있다. 예를 들어, 오차들의 차이들은 리소그래피 공정들의 1 이상의 파라미터(예를 들어, 소스, 패터닝 디바이스, 투영 광학기 등의 파라미터들)의 차이들에 기인할 수 있다. 오차들의 차이들은 한 리소그래피 공정에서 형성된 이미지가 또 다른 리소그래피 공정에서 형성된 이미지에 대해 시프트되게 할 수 있다. 시프트를 결정하는 것이 시프트에 의한 패터닝 공정에 대한 영향을 제한하기 위해 중요할 수 있다. 하지만, 시프트를 결정하는 것이 항상 수월하지는 않을 수 있다. 예를 들어, 오차들의 차이가 병진 오차들의 차이로 제한되지 않는 경우, 시프트는 위치 의존적이게 된다. 예를 들어, 다중 패터닝에서의 모든 이미지들이 동일한 층에서 함께 병합되기 때문에, 어느 패터닝 공정이 병합된 이미지의 주어진 부분을 형성했는지가 항상 명백하지는 않고, 이에 따라 시프트를 결정하기가 어렵다.
도 2는 디자인 레이아웃(2010)을 개략적으로 나타낸다. 다중 패터닝의 일 예시에서, 디자인 레이아웃(2010)은 예를 들어 패터닝 디바이스(2021) 및 패터닝 디바이스(2022)와 같은 다수 패터닝 디바이스들 상에 표현된다. 다수 패터닝 디바이스들 각각은 디자인 레이아웃(2010)의 일부분을 나타낸다. 디자인 레이아웃(2010) 내의 패턴들 각각이 단 하나의 패터닝 디바이스 상에 표현된다[예를 들어, 특정 기판 상으로의 노광용; 물론, 결함있는 패터닝 디바이스의 교체를 위해 또는 다른 툴들을 위해 다수 사본(copy)들이 존재할 수 있음]. 이 예시에서, 디자인 레이아웃(2010)은 두 줄(row)의 패턴들을 갖는다. 이 패턴들이 모두 단일 패터닝 디바이스에 표현되는 경우, 한 줄의 패턴들은 다른 줄의 패턴들에 너무 가깝다. 그러므로, 제 1 줄에서의 패턴들이 패터닝 디바이스(2021)에 표현되고, 제 2 줄에서의 패턴들이 패터닝 디바이스(2022)에 표현된다. 패터닝 디바이스들(2021 및 2022)은 별도의 패터닝 공정들에서 사용된다.
도 3은 다중 패터닝의 일 예시를 개략적으로 나타낸다. 이 예시에서, 2 개의 패터닝 디바이스들(3021 및 3022)이 각각 별도의 패터닝 공정들에서 사용된다. 패터닝 공정들 중 하나에서, 패터닝 디바이스(3021)에 표현된 패턴들은 기판에 투영되고 구조체들(3031)을 형성한다. 패터닝 공정들 중 다른 하나에서, 패터닝 디바이스(3022)에 표현된 패턴들은 기판에 투영되고 구조체들(3032)을 형성한다. 따라서, 구조체들(3031 및 3032)의 조합이 기판 상에 실현된다. 이미지(3012)가 구조체들(3031 및 3032)의 조합의 이미지이다. 이 예시에서, 2 개의 패터닝 공정들은 병진, 회전, 스케일링 또는 스큐잉 오차에서 차이를 갖지 않는다.
도 4는 다중 패터닝의 일 예시를 개략적으로 나타낸다. 이 예시에서, 2 개의 패터닝 디바이스들(4021 및 4022)이 각각 별도의 패터닝 공정들에서 사용된다. 패터닝 공정들 중 하나에서, 패터닝 디바이스(4021)에 표현된 패턴들은 기판에 투영되고 구조체들(4031)을 형성한다. 패터닝 공정들 중 다른 하나에서, 패터닝 디바이스(4022)에 표현된 패턴들은 기판에 투영되고 구조체들(4032)을 형성한다. 따라서, 구조체들(4031 및 4032)의 조합이 기판 상에 실현된다. 이미지(4012)가 구조체들(4031 및 4032)의 조합의 이미지이다. 이 예시에서, 2 개의 패터닝 공정들은 병진 오차에서 차이를 갖지만, 회전, 스케일링 또는 스큐잉 오차에서는 차이를 갖지 않는다.
도 5는 다중 패터닝의 일 예시를 개략적으로 나타낸다. 이 예시에서, 2 개의 패터닝 디바이스들(5021 및 5022)이 각각 별도의 패터닝 공정들에서 사용된다. 패터닝 공정들 중 하나에서, 패터닝 디바이스(5021)에 표현된 패턴들은 기판에 투영되고 구조체들(5031)을 형성한다. 패터닝 공정들 중 다른 하나에서, 패터닝 디바이스(5022)에 표현된 패턴들은 기판에 투영되고 구조체들(5032)을 형성한다. 따라서, 구조체들(5031 및 5032)의 조합이 기판 상에 실현된다. 이미지(5012)가 구조체들(5031 및 5032)의 조합의 이미지이다. 이 예시에서, 2 개의 패터닝 공정들은 병진 오차, 회전 오차, 및 스케일링 오차에서 차이들을 갖지만, 스큐잉 오차에서는 차이를 갖지 않는다.
따라서, 일 실시예에서, 기판 상에 형성된 구조체들 각각은 기준점에 대한 변위를 가질 수 있다. 구조체들의 변위들을 이용하여, 구조체들은 복수의 그룹들 중 하나로 할당될 수 있다. 예를 들어, 동일한 그룹에 할당된 구조체들은 동일한 패터닝 공정에서 기판 상에 형성된다. 예를 들어, 동일한 그룹에 할당된 구조체들은 동일한 패터닝 디바이스에 표현된 패턴들에 의해 형성된다. 또한, 변위들은 기판 상의 1 이상의 결함을 식별하고, 구조체들의 그룹들 간의 1 이상의 정렬 오차를 결정하며, 및/또는 구조체들의 그룹들 간의 1 이상의 오버레이 오차를 결정하는 데 사용될 수 있다.
도 6a는 기판 또는 다이에서의 모든 구조체들(예를 들어, 6010)의 변위들(예를 들어, 6011)이 단일 기준점(6000)에 대해 있을 수 있음을 개략적으로 나타낸다. 주어진 구조체의 변위는 기준점(6000)으로부터 그 구조체의 중심 또는 무게중심으로 향하는 벡터일 수 있다. 대안적으로, 주어진 구조체의 변위는 그 구조체의 중심 또는 무게중심으로부터 기준점으로 향하는 벡터일 수 있다. 도 6b는 구조체들의 변위들만을 나타내며, 구조체들 자체는 나타내지 않는다.
도 6c는 기판 또는 다이에서의 모든 구조체들(예를 들어, 6012)의 변위들(예를 들어, 6013)이 상이한 기준점들(6003)에 대해 있을 수 있음을 개략적으로 나타낸다. 예를 들어, 주어진 구조체(6012)의 변위는 디자인 레이아웃에서 구조체(6012)가 있어야 하는 곳(6014)으로부터 기판 상에서 실제로 구조체(6012)가 있는 곳으로 향하는 벡터일 수 있다. 도 6d는 구조체들의 변위들만을 나타내며, 구조체들 자체는 나타내지 않는다.
도 6e는 기판 또는 다이에서의 모든 구조체들(예를 들어, 6016)의 변위들(예를 들어, 6015)이 상이한 기준점들(6005)에 대해 있을 수 있음을 개략적으로 나타낸다. 예를 들어, 주어진 구조체(6016)의 변위는 구조체(6016)의 시뮬레이션된 이미지(6018)가 위치되는 곳으로부터 기판 상에서 실제로 구조체(6016)가 있는 곳으로 향하는 벡터일 수 있다. 도 6f는 구조체들의 변위들만을 나타내며, 구조체들 자체는 나타내지 않는다.
동일한 패터닝 공정에서 형성되는 구조체들의 변위들은 어떠한 방식으로든 관련되어야 한다. 예를 들어, 이 구조체들에 대응하는 패턴들을 나타내거나 제시하는 패터닝 디바이스가 한 방향으로 시프트되는 경우, 동일한 패터닝 디바이스의 패턴들 사이에는 상대 병진이 없을 가능성이 있기 때문에 구조체들은 동일한 병진 오차를 가질 가능성이 있다. 예를 들어, 이 구조체들에 대응하는 패턴들을 나타내거나 제시하는 패터닝 디바이스가 회전되는 경우, 동일한 패터닝 디바이스의 패턴들 사이에는 상대 회전 또는 상대 병진이 없을 가능성이 있기 때문에 구조체들은 동일한 회전 오차를 가질 가능성이 있다. 수학적 형태에서, 패터닝 공정은 매트릭스로서 표현될 수 있는 선형 매핑(선형 맵 또는 선형 변환이라고도 함)에 의해 나타내어질 수 있다. 예를 들어, 데카르트 좌표계에서, 반시계방향으로 각도(θ)만큼의 회전을 위한 매트릭스는 다음과 같다:
Figure pct00001
그러므로, 동일한 패터닝 공정에서 형성되는 구조체들은 (수차가 없는 경우) 동일한 매트릭스에 의해 표현될 수 있는 동일한 선형 매핑에 의해 디자인 레이아웃에서의 그 대응하는 패턴들에 매핑될 수 있어야 한다.
도 7은 일 실시예에 따른 방법에 대한 흐름도를 개략적으로 나타낸다. 절차(7010)에서, 기판 상의 복수의 구조체들의 이미지가 얻어진다. 복수의 구조체들 각각은 디자인 레이아웃의 대응하는 패턴을 이미징함으로써 기판 상에 형성된다. 이미지는 스캐닝 전자 현미경(SEM) 이미지일 수 있다. 구조체들은 포토레지스트의 현상 전 또는 후의 포토레지스트의 구조체들일 수 있다. 구조체들은 기판 내의 에칭된 구조체들일 수 있다. 구조체들은 기판 내의 증착된 구조체들일 수 있다.
절차(7020)에서, 구조체들 각각에 대하여 그 구조체의 기준점에 대한 변위가 얻어진다. 복수의 구조체들에 대한 기준점들은 동일한 지점일 수 있다. 복수의 구조체들에 대한 기준점들은 디자인 레이아웃에서의 그 각각의 대응하는 패턴들로부터 결정될 수 있다. 복수의 구조체들에 대한 기준점들은 대응하는 패턴들의 이미지들을 시뮬레이션함으로써 결정될 수 있다. 변위를 얻는 한가지 방식은 이미지로부터 패턴들의 1 이상의 윤곽을 추출하는 것을 수반한다.
절차(7030)에서, 구조체들 각각이 (예를 들어, 컴퓨터 시스템을 이용함으로써) 변위에 기초하여 복수의 그룹들 중 하나로 할당된다. 상이한 그룹들에 할당된 구조체들은 상이한 시간에 형성될 수 있다. 상이한 그룹들에 할당된 구조체들은 상이한 깊이에(즉, 상이한 층들에) 형성될 수 있다. 상이한 그룹들에 할당된 구조체들은 상이한 수차들을 갖는 방사선으로 형성될 수 있다. 동일한 그룹에 할당된 구조체들은 동일한 매트릭스로 그 각각의 대응하는 패턴들에 선형으로 매핑될 수 있다. 매트릭스는 동차 변환 매트릭스(즉, 동차 변환을 나타내는 매트릭스)일 수 있다. 변위들에 의해 복수의 그룹들에 구조체들을 할당하는 한가지 방식은 변위들의 크기들을 이용하는 것을 포함할 수 있다. 예를 들어, 구조체의 변위의 크기가 두 임계치들 사이에 있는 경우, 구조체는 한 그룹에 할당되고, 크기가 또 다른 두 임계치들 사이에 있는 경우, 구조체는 또 다른 그룹에 할당된다. 변위들에 의해 복수의 그룹들에 구조체들을 할당하는 또 다른 방식은 클러스터링 알고리즘에 의한 것일 수 있다.
선택적인 절차(7040)에서, 한 그룹에 할당된 구조체들과 또 다른 그룹에 할당된 구조체들 간의 상대 시프트(예를 들어, 오버레이 오차, 또는 정렬 오차), 상대 회전, 또는 둘 모두가 이 구조체들의 변위들에 기초하여 결정될 수 있다. 예를 들어, 한 그룹에 할당된 구조체들 및 또 다른 그룹에 할당된 구조체들의 상대 시프트는 한 그룹에 할당된 구조체들의 평균 변위와 다른 그룹에 할당된 구조체들의 평균 변위 간의 차이일 수 있다.
선택적인 절차(7050)에서, 상대 시프트, 상대 회전 또는 둘 모두에 기초하여 결함이 식별될 수 있다.
따라서, 일 실시예에서, 패터닝된 기판의 이미지(예를 들어, SEM)가 식별되는 구조체들을 갖는다. 이러한 구조체들은 윤곽형성(contouring) 또는 이미지 분리에 의해 식별될 수 있다. 예를 들어, 구조체들에 원래 다중 패터닝 공정의 특정 패터닝 공정 및/또는 원래 패터닝 디바이스를 할당하는 태그를 붙이기 위해 디자인 정보(예를 들어, 구조체들의 예상되는 형상 및 위치)가 사용될 수 있다. 그 후, 이 측정된 구조체들의 질량 중심이 타겟 디자인, 시뮬레이션된 타겟, 또는 0(기준 없음)의 (x,y에서의) 질량 중심과 비교하는 데 사용될 수 있다. 이는 [예를 들어, 멀티-패터닝 공정의 노출된 스플릿(exposed split)마다] 구조체들의 변위 정보를 제공할 것이다. 상이한 구조체들(예를 들어, 다수 패터닝 공정의 특정 패터닝 공정의 구조체들과 다수 패터닝 공정의 또 다른 특정 패터닝 공정의 구조체들) 간의 차이의 플롯을 구성함으로써, 관심 파라미터(예를 들어, 오버레이)의 핑거프린트(fingerprint)가 높은 정확성으로 플롯 구성될 수 있다. 이 분석은 멀티-패터닝 공정에서 오버레이를 결정하거나 검증하는 데 사용될 수 있다. 오버레이는 하나보다 많은 방향, 예를 들어 두 방향에서 결정될 수 있다.
또한, 윤곽들에서 어느 위치들이 공정 윈도우 내에 있을 것으로 예상되는지를 나타내기 위해 브리징 검출기(bridging detector)가 사용될 수 있다. 또한, 식별되는 구조체들 및 이미지로, 예를 들어 실제 제품에 대한 에지 배치 오차들 및/또는 패턴 시프트 균일성을 제어하기 위해, 라인들의 핀칭(pinching) 및/또는 네킹(necking), 및 동일한 노광에서의 피처들의 상대 변위와 같은 다른 파라미터들도 평가될 수 있다.
따라서, 일 실시예에서, 자동화될 수 있는 방식으로 제품상 오버레이(on product overlay)가 높은 정확도로 측정된다. 이를 가능하게 하기 위해, 일 실시예에서, 다수 패터닝 층의 스플릿들로의 이미지의 분해를 위해 디자인 정보가 사용될 수 있다. 일 실시예에서, 오버레이 결정을 위하여 구조체들을 식별하기 위해 이미지의 분할(segmentation) 및/또는 추출된 윤곽들이 사용된다. 그때, 구조체들은 오버레이 결정을 위해 변위들을 결정하도록 처리되는 이미지이다.
본 명세서에서는 다수 패터닝 실시예에 초점을 맞춰 설명되었지만, 본 명세서의 기재내용은 상이한 층들 내의 구조체들을 갖는 통상적인 단일 패터닝 구성에 사용될 수도 있다. 예를 들어, 하부 및 상부 층의 구조체들이 식별될 수 있고, 그 후 상기 방법이 통상적인 오버레이 측정 또는 분석에 사용될 수 있다.
일 실시예에서, 예를 들어 광학적 수차들로 인한 단일 층에서의 상이한 구조체들 간의 시프트들의 차이들을 식별하기 위해 구조적 오프셋 측정이 사용될 수 있다.
본 명세서에 설명된 개념들 및 결과들은 미크론-이하 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 모델링하는 것과 같은 패터닝 공정의 여하한의 시뮬레이션 또는 수학적 모델을 캘리브레이션하거나 수정하기 위해 사용될 수 있다. 본 명세서에 설명된 개념들 및 결과들은, 예를 들어 1 이상의 디자인 변수를 수정함으로써 패터닝 공정을 제어하기 위해 사용될 수 있다. 본 명세서에 설명된 개념들 및 결과들은, 예를 들어 1 이상의 디자인 변수를 수정함으로써 패터닝 공정을 디자인하기 위해 사용될 수 있다. 본 명세서에 설명된 개념들 및 결과들은, 예를 들어 1 이상의 결함이 발생했는지 또는 1 이상의 결함이 발생할 가능성이 있는지를 식별함으로써 패터닝 공정을 모니터링하기 위해 사용될 수 있다. 본 명세서에 설명된 개념들 및 결과들은, 예를 들어 결함을 규제하는 모델 예측 제어 시스템을 생성하거나 캘리브레이션하기 위해 사용될 수 있다.
도 8은 본 명세서에 개시된 방법 및 흐름을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 1 이상의 명령어의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 본 명세서에 개시된 방법의 부분들이 수행될 수 있다. 일 실시예에서, 컴퓨터 시스템(100)은 리소그래피 장치의 일부, 메트롤로지 시스템의 일부일 수 있고, 리소그래피 장치 및/또는 메트롤로지 시스템 등에 독립형 시스템(stand-alone system)이 연결된다.
이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 국한된 모뎀은 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 수송하는 반송파의 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지들을 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 1 이상의 실시예에 따르면, 예를 들어 하나의 이러한 다운로드된 어플리케이션이 본 명세서에 설명된 방법의 구현을 위해 제공된다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 9는 또 다른 리소그래피 장치(1000)를 개략적으로 도시한다. 리소그래피 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 마스크는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 9를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 9에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 10은 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 사용될 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상에 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소들이 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울들이 존재할 수 있으며, 예를 들어 도 10에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 10에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 11에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 기판 상의 복수의 구조체들의 이미지를 얻는 단계 -복수의 구조체들 각각은 디자인 레이아웃의 대응하는 패턴을 전사함으로써 기판 상에 형성됨- ;
그 구조체에 대한 기준점에 대해 구조체들 각각에 대한 변위를 얻는 단계; 및
하드웨어 컴퓨터 시스템을 이용하여, 변위에 기초한 복수의 그룹들 중 하나로 구조체들 각각을 할당하는 단계를 포함하는 방법.
2. 1 항에 있어서, 이미지는 SEM 이미지인 방법.
3. 1 항 또는 2 항에 있어서, 구조체들은 포토레지스트의 구조체들인 방법.
4. 1 항 또는 2 항에 있어서, 구조체들은 기판 내의 에칭된 구조체들인 방법.
5. 1 항 또는 2 항에 있어서, 구조체들은 기판 상의 증착된 구조체들인 방법.
6. 1 항 내지 5 항 중 어느 한 항에 있어서, 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 시간에 형성되는 방법.
7. 1 항 내지 6 항 중 어느 한 항에 있어서, 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 깊이에 형성되는 방법.
8. 1 항 내지 7 항 중 어느 한 항에 있어서, 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 수차들을 갖는 방사선으로 형성되는 방법.
9. 1 항 내지 8 항 중 어느 한 항에 있어서, 복수의 구조체들에 대한 기준점들은 동일한 지점인 방법.
10. 1 항 내지 8 항 중 어느 한 항에 있어서, 복수의 구조체들에 대한 기준점들은 그 각각의 대응하는 패턴들로부터 결정되는 방법.
11. 1 항 내지 8 항 중 어느 한 항에 있어서, 기준점들은 대응하는 패턴들의 이미지들을 시뮬레이션함으로써 결정되는 방법.
12. 1 항 내지 11 항 중 어느 한 항에 있어서, 변위를 얻는 단계는 이미지로부터의 윤곽을 추출하는 단계를 포함하는 방법.
13. 1 항 내지 12 항 중 어느 한 항에 있어서, 제 1 그룹에 할당된 구조체들의 변위들 및 제 2 그룹에 할당된 구조체들의 변위들에 기초하여, 제 1 그룹에 할당된 구조체들과 제 2 그룹에 할당된 구조체들 간의 상대 시프트, 상대 회전, 또는 둘 모두를 결정하는 단계를 더 포함하는 방법.
14. 13 항에 있어서, 상대 시프트, 상대 회전, 또는 둘 모두에 기초하여 결함을 식별하는 단계를 더 포함하는 방법.
15. 1 항 내지 14 항 중 어느 한 항에 있어서, 복수의 그룹들 중 하나로 구조체들 각각을 할당하는 단계는 클러스터링 알고리즘을 이용하는 단계를 포함하는 방법.
16. 1 항 내지 15 항 중 어느 한 항에 있어서, 동일한 그룹에 할당된 구조체들은 동일한 매트릭스로 그 각각의 대응하는 패턴들에 선형으로 매핑될 수 있는 방법.
17. 16 항에 있어서, 매트릭스는 동차 변환 매트릭스인 방법.
18. 1 항 내지 17 항 중 어느 한 항에 있어서, 할당하는 단계는 변위의 크기에 기초하여 복수의 그룹들 중 하나로 구조체들 각각을 할당하는 단계를 포함하는 방법.
19. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함하고, 명령어들은 컴퓨터에 의해 실행되는 경우에 1 항 내지 18 항 중 어느 한 항의 방법을 구현하는 컴퓨터 프로그램 제품.
본 명세서의 기술들은 점점 더 작은 크기의 파장들을 생성할 수 있는 첨단(emerging) 이미징 기술들로 유용할 수 있다. 이미 사용중인 첨단 기술들로는, ArF 레이저의 사용으로 193 nm 파장을 생성하고, 심지어 플루오린 레이저의 사용으로 157 nm 파장을 생성할 수 있는 EUV(극자외) 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 5 내지 20 nm의 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 이미징에 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 시스템들과 사용될 수 있음을 이해할 것이다.
본 발명의 실시형태들은 여하한의 편리한 형태로 구현될 수 있다. 예를 들어, 유형 운반 매체(예를 들어, 디스크) 또는 무형 운반 매체(예를 들어, 통신 신호)일 수 있는 적절한 운반 매체 상에서 운반될 수 있는 1 이상의 적절한 컴퓨터 프로그램에 의해 일 실시예가 구현될 수 있다. 본 발명의 실시예들은 구체적으로 본 명세서에 설명된 바와 같은 방법을 구현하도록 구성되는 컴퓨터 프로그램을 실행하는 프로그램가능한 컴퓨터의 형태를 취할 수 있는 적절한 장치를 이용하여 구현될 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같은 실시예들에 대해 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 기판 상의 복수의 구조체들의 이미지를 얻는 단계 -상기 복수의 구조체들 각각은 디자인 레이아웃의 대응하는 패턴을 전사(transfer)함으로써 상기 기판 상에 형성됨- ;
    상기 구조체들 각각에 대하여 그 구조체의 기준점에 대한 변위(displacement)를 얻는 단계; 및
    하드웨어 컴퓨터 시스템을 이용하여, 상기 변위에 기초한 복수의 그룹들 중 하나로 상기 구조체들 각각을 할당하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 이미지는 SEM 이미지인 방법.
  3. 제 1 항에 있어서,
    상기 구조체들은 포토레지스트의 구조체들이거나, 또는 상기 구조체들은 상기 기판 내의 에칭된 구조체들이거나, 또는 상기 구조체들은 상기 기판 상의 증착된 구조체들인 방법.
  4. 제 1 항에 있어서,
    상기 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 상기 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 시간에 형성되고, 및/또는 상기 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 상기 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 깊이에 형성되며, 및/또는 상기 복수의 그룹들 중 제 1 그룹에 할당된 구조체들 및 상기 복수의 그룹들 중 제 2 그룹에 할당된 구조체들은 상이한 수차(aberration)들을 갖는 방사선으로 형성되는 방법.
  5. 제 1 항에 있어서,
    상기 복수의 구조체들에 대한 기준점들은 동일한 지점인 방법.
  6. 제 1 항에 있어서,
    상기 복수의 구조체들에 대한 기준점들은 그 각각의 대응하는 패턴들로부터 결정되는 방법.
  7. 제 1 항에 있어서,
    상기 기준점들은 상기 대응하는 패턴들의 이미지들을 시뮬레이션함으로써 결정되는 방법.
  8. 제 1 항에 있어서,
    상기 변위를 얻는 단계는 상기 이미지로부터의 윤곽(contour)을 추출하는 단계를 포함하는 방법.
  9. 제 1 항에 있어서,
    제 1 그룹에 할당된 구조체들의 변위들 및 제 2 그룹에 할당된 구조체들의 변위들에 기초하여, 상기 제 1 그룹에 할당된 구조체들과 상기 제 2 그룹에 할당된 구조체들 간의 상대 시프트(relative shift), 상대 회전, 또는 둘 모두를 결정하는 단계를 더 포함하는 방법.
  10. 제 9 항에 있어서,
    상기 상대 시프트, 상기 상대 회전, 또는 둘 모두에 기초하여 결함을 식별하는 단계를 더 포함하는 방법.
  11. 제 1 항에 있어서,
    복수의 그룹들 중 하나로 상기 구조체들 각각을 할당하는 단계는 클러스터링 알고리즘(clustering algorithm)을 이용하는 단계를 포함하는 방법.
  12. 제 1 항에 있어서,
    동일한 그룹에 할당된 구조체들은 동일한 매트릭스로 그 각각의 대응하는 패턴들에 선형으로 매핑(linearly map)될 수 있는 방법.
  13. 제 12 항에 있어서,
    상기 매트릭스는 동차 변환 매트릭스(homogeneous transformation matrix)인 방법.
  14. 제 1 항에 있어서,
    상기 할당하는 단계는 상기 변위의 크기(magnitude)에 기초하여 복수의 그룹들 중 하나로 상기 구조체들 각각을 할당하는 단계를 포함하는 방법.
  15. 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품에 있어서,
    상기 명령어들은 컴퓨터에 의해 실행되는 경우에 제 1 항에 따른 방법을 구현하는 컴퓨터 프로그램 제품.
KR1020187035951A 2016-05-12 2017-04-20 변위 기반 오버레이 또는 정렬 KR102189871B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662335430P 2016-05-12 2016-05-12
US62/335,430 2016-05-12
PCT/EP2017/059371 WO2017194285A1 (en) 2016-05-12 2017-04-20 Displacement based overlay or alignment

Publications (2)

Publication Number Publication Date
KR20190005986A true KR20190005986A (ko) 2019-01-16
KR102189871B1 KR102189871B1 (ko) 2020-12-14

Family

ID=58579170

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187035951A KR102189871B1 (ko) 2016-05-12 2017-04-20 변위 기반 오버레이 또는 정렬

Country Status (5)

Country Link
US (1) US10852646B2 (ko)
KR (1) KR102189871B1 (ko)
CN (1) CN109313391B (ko)
TW (1) TWI651760B (ko)
WO (1) WO2017194285A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3611570A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US11774863B2 (en) * 2021-10-21 2023-10-03 Kla Corporation Induced displacements for improved overlay error metrology
TWI833390B (zh) * 2022-02-23 2024-02-21 南亞科技股份有限公司 製造缺陷原因之識別系統以及非暫時性電腦可讀媒體
TW202413882A (zh) * 2022-06-09 2024-04-01 美商應用材料股份有限公司 用於大型設備的自動化計量方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009164436A (ja) * 2008-01-09 2009-07-23 Hitachi High-Technologies Corp 半導体欠陥検査装置ならびにその方法
KR20100057527A (ko) * 2007-08-24 2010-05-31 가부시키가이샤 니콘 이동체를 위한 구동 방법 및 구동 시스템
KR20140145617A (ko) * 2012-06-15 2014-12-23 가부시키가이샤 히다치 하이테크놀로지즈 오버레이 오차 측정 장치 및 컴퓨터 프로그램

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1197801B1 (en) 1996-12-24 2005-12-28 ASML Netherlands B.V. Lithographic device with two object holders
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP2009282319A (ja) * 2008-05-22 2009-12-03 Toshiba Corp パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法
WO2010032224A2 (en) * 2008-09-22 2010-03-25 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
CN102129164B (zh) * 2010-01-15 2012-08-22 中芯国际集成电路制造(上海)有限公司 掩膜版缺陷的判断方法及判断系统
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100057527A (ko) * 2007-08-24 2010-05-31 가부시키가이샤 니콘 이동체를 위한 구동 방법 및 구동 시스템
JP2009164436A (ja) * 2008-01-09 2009-07-23 Hitachi High-Technologies Corp 半導体欠陥検査装置ならびにその方法
KR20140145617A (ko) * 2012-06-15 2014-12-23 가부시키가이샤 히다치 하이테크놀로지즈 오버레이 오차 측정 장치 및 컴퓨터 프로그램

Also Published As

Publication number Publication date
WO2017194285A1 (en) 2017-11-16
KR102189871B1 (ko) 2020-12-14
TWI651760B (zh) 2019-02-21
CN109313391B (zh) 2021-03-05
CN109313391A (zh) 2019-02-05
TW201742115A (zh) 2017-12-01
US20190146358A1 (en) 2019-05-16
US10852646B2 (en) 2020-12-01

Similar Documents

Publication Publication Date Title
US12093632B2 (en) Machine learning based inverse optical proximity correction and process model calibration
KR101807687B1 (ko) 이산 소스 마스크 최적화
CN114096917B (zh) 用于减小模型预测不确定性的模型校准的预测数据选择
KR102440220B1 (ko) 패터닝 공정을 위한 최적화의 흐름
KR102084048B1 (ko) 어시스트 피처들의 규칙-기반 배치
US11435671B2 (en) SEM FOV fingerprint in stochastic EPE and placement measurements in large FOV SEM devices
KR20200072474A (ko) 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
TW202201118A (zh) 基於機器學習之次解析度輔助特徵置放
KR102189871B1 (ko) 변위 기반 오버레이 또는 정렬
CN111512236A (zh) 涉及光学像差的图案化过程改进
US10796063B2 (en) Mapping of patterns between design layout and patterning device
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
CN111492317B (zh) 用于减少抗蚀剂模型预测误差的系统和方法
KR20200109372A (ko) 레지스트 모델 예측들을 개선하는 시스템들 및 방법들
KR20190108609A (ko) 공정 모델들을 조정하는 방법들
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
CN118265950A (zh) 模拟模型稳定性确定方法
KR20230070230A (ko) 패터닝 공정을 위한 스캐너 스루풋 및 이미징 품질의 최적화
WO2021023602A9 (en) Method and apparatus for photolithographic imaging

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant