KR20200072474A - 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법 - Google Patents

디바이스 제조 공정의 제어 파라미터들을 결정하는 방법 Download PDF

Info

Publication number
KR20200072474A
KR20200072474A KR1020207009031A KR20207009031A KR20200072474A KR 20200072474 A KR20200072474 A KR 20200072474A KR 1020207009031 A KR1020207009031 A KR 1020207009031A KR 20207009031 A KR20207009031 A KR 20207009031A KR 20200072474 A KR20200072474 A KR 20200072474A
Authority
KR
South Korea
Prior art keywords
image
control parameters
substrate
determined
feature
Prior art date
Application number
KR1020207009031A
Other languages
English (en)
Other versions
KR102473979B1 (ko
Inventor
빔 티보 텔
마크 존 매슬로우
코엔라드 반 인젠 스체나우
패트릭 와르나르
아브라햄 슬라츠테르
로이 아눈키아도
시몬 헨드릭 셀린 반 고프
프랭크 스타알스
마리누스 조쳄센
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17193430.0A external-priority patent/EP3462240A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020227041979A priority Critical patent/KR102581877B1/ko
Publication of KR20200072474A publication Critical patent/KR20200072474A/ko
Application granted granted Critical
Publication of KR102473979B1 publication Critical patent/KR102473979B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K5/00Irradiation devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Abstract

본 명세서에서, 리소그래피 공정 및 1 이상의 추가 공정을 포함하는 제조 공정의 1 이상의 제어 파라미터를 결정하는 방법이 개시되고, 상기 방법은: 기판의 적어도 일부의 이미지를 얻는 단계 -이미지는 제조 공정에 의해 기판 상에 제조된 적어도 하나의 피처를 포함함- ; 이미지로부터 결정된 윤곽에 따라 1 이상의 이미지 관련 메트릭을 계산하는 단계 -이미지 관련 메트릭들 중 하나는 적어도 하나의 피처의 에지 배치 오차(EPE)임- ; 및 에지 배치 오차에 따라 리소그래피 공정 및/또는 상기 1 이상의 추가 공정의 1 이상의 제어 파라미터를 결정하는 단계 -적어도 하나의 제어 파라미터는 적어도 하나의 피처의 에지 배치 오차를 최소화하도록 결정됨- 를 포함한다.

Description

디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
본 출원은 2017년 9월 27일에 출원된 EP 출원 17193430.0, 2017년 11월 7일에 출원된 EP 출원 17200255.2, 및 2018년 2월 5일에 출원된 EP 출원 18155070.8의 우선권을 주장하고, 이들은 본 명세서에서 그 전문이 인용참조된다.
본 발명은 반도체 디바이스의 제조 시 공정들에 관한 것으로, 특히 제조되는 디바이스들의 피처들의 이미지들에 따라 여하한의 공정들을 개선하는 방법, 비-일시적(non-transitory) 컴퓨터-판독가능한 매체 및 시스템에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 스테퍼(stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
유의되는 바와 같이, 리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 디바이스의 제조 시 모든 공정들의 제어 파라미터들의 정확한 결정이 필요하다.
본 발명의 제 1 실시형태에 따르면, 리소그래피 공정 및 1 이상의 추가 공정을 포함하는 제조 공정의 1 이상의 제어 파라미터를 결정하는 방법이 제공되고, 상기 방법은: 기판의 적어도 일부의 이미지를 얻는 단계 -이미지는 제조 공정에 의해 기판 상에 제조된 적어도 하나의 피처를 포함함- ; 이미지로부터 결정된 윤곽에 따라 1 이상의 이미지 관련 메트릭(image-related metrics)을 계산하는 단계 -이미지 관련 메트릭들 중 하나는 적어도 하나의 피처의 에지 배치 오차(EPE)임- ; 및 에지 배치 오차에 따라 리소그래피 공정 및/또는 상기 1 이상의 추가 공정의 1 이상의 제어 파라미터를 결정하는 단계 -적어도 하나의 제어 파라미터는 적어도 하나의 피처의 에지 배치 오차를 최소화하도록 결정됨- 를 포함한다.
바람직하게는, 상기 방법은 결정된 1 이상의 제어 파라미터에 따라 디바이스의 제조 공정에서의 상기 1 이상의 추가 공정 및 리소그래피 장치 중 적어도 하나를 제어하는 단계를 더 포함한다.
바람직하게는, 디바이스의 제조 공정에서의 상기 추가 공정은: 리소그래피 공정, 전처리 공정, 레지스트 코팅 공정, 소프트 베이크 공정, 노광-후 베이크 공정, 현상 공정, 하드 베이크 공정, 측정/검사 공정들, 에칭 공정, 이온-주입 공정, 금속화 공정, 산화 공정 및 화학-기계적 연마 공정 중 1 이상을 포함한다.
바람직하게는, 이미지 관련 메트릭은 피처의 에지 배치 오차(EPE)이다.
바람직하게는, 이미지 관련 메트릭은 윤곽과 타겟 윤곽의 비교에 따라 계산된다.
바람직하게는, 이미지 관련 메트릭은 피처의 복수의 이미지들에 따라 생성된다.
바람직하게는, 피처의 복수의 이미지들은 기판의 각각의 복수의 층들에 있다.
바람직하게는, 상기 방법은 피처의 윤곽의 복수의 세그먼트(segment)들을 결정하는 단계; 복수의 세그먼트들 각각에 대한 각각의 가중치를 결정하는 단계; 세그먼트들 각각에 대해, 세그먼트의 이미지 관련 메트릭을 계산하는 단계; 및 세그먼트들 각각의 가중치 및 이미지 관련 메트릭에 따라 피처의 이미지 관련 메트릭을 계산하는 단계를 더 포함한다.
바람직하게는, 각각의 세그먼트의 가중치는 세그먼트의 이미지 관련 메트릭의 공차 값(tolerance value)에 의존한다.
바람직하게는, 1 이상의 제어 파라미터는 세그먼트들 각각의 민감도에 따라 결정된다.
바람직하게는, 1 이상의 제어 파라미터는 피처의 EPE를 최소화하도록 결정된다.
바람직하게는, 상기 방법은 이미지 내의 복수의 피처들 각각에 대한 이미지 관련 메트릭을 생성하는 단계를 포함하고, 피처의 각각의 이미지 관련 메트릭은 청구항 8 또는 이에 종속하는 여하한의 청구항에 따른 방법을 수행함으로써 생성된다.
바람직하게는, 상기 방법은 이미지 내의 복수의 피처들 각각에 대한 가중치를 결정하는 단계; 및 각각의 피처의 이미지 관련 메트릭 및 각각의 피처의 가중치에 따라 이미지의 이미지 관련 메트릭을 계산하는 단계를 더 포함한다.
바람직하게는, 이미지의 이미지 관련 메트릭은 이미지의 EPE이고, 1 이상의 제어 파라미터는 이미지의 EPE를 최소화하도록 결정된다.
바람직하게는, 상기 방법은 기판의 동일한 층의 상이한 부분들의 복수의 이미지들을 얻는 단계; 및 각각의 이미지의 이미지 관련 메트릭을 계산하는 단계를 더 포함하고, 1 이상의 제어 파라미터는 각각의 이미지의 이미지 관련 메트릭에 따라 결정된다.
바람직하게는, 각각의 이미지는 10 ㎛ x 10 ㎛ 시야(field of view)이다.
바람직하게는, 상기 방법은: 기판 층의 1 이상의 이미지에서 복수의 피처들 각각의 이미지 관련 메트릭을 계산하는 단계를 더 포함하고, 상기 1 이상의 제어 파라미터는 복수의 이미지 관련 메트릭들 각각에 따라 결정된다.
바람직하게는, 1 이상의 제어 파라미터는 디바이스의 제조 공정에서 적용될 도즈 프로파일을 정의한다.
바람직하게는, 상기 방법은 전역적 이미지 관련 메트릭을 계산하는 단계를 더 포함하고, 상기 1 이상의 제어 파라미터는 전역적 이미지 관련 메트릭에 따라 추가적으로 결정된다.
바람직하게는, 상기 방법은 EPE를 계산하는 단계를 더 포함하고, 상기 1 이상의 제어 파라미터는 EPE를 최소화하도록 결정된다.
바람직하게는, EPE는 전역적 임계 치수 균일성, 라인 폭 거칠기, 국부적 임계 치수 균일성 및 임계 치수 진폭 중 1 이상에 따라 결정된다.
바람직하게는, EPE는 전역적 임계 치수 균일성 및 국부적 임계 치수 균일성의 가중 조합으로서 계산된다.
바람직하게는, 상기 방법은: 기판의 복수의 이미지들을 얻는 단계; 각각의 이미지 내의 피처들의 이미지 관련 메트릭들을 결정하는 단계를 포함하고, 1 이상의 제어 파라미터는 각각의 이미지의 이미지 관련 메트릭 및 1 이상의 제어 파라미터의 변화에 대한 결정된 이미지 관련 메트릭의 의존성에 따라 결정된다.
바람직하게는, 이미지 관련 메트릭들은 이미지들 내의 블록 패턴들의 크기들, 이미지들 내의 블록 패턴들의 크기들의 차이들, 이미지들 내의 격자들에서의 피치(pitch)들의 차이들, 격자 층에 대한 블록 층의 전체 시프트 및 두 LELE 층들 간의 스프트 중 1 이상을 포함한다.
바람직하게는, 이미지들은 기판의 동일한 층의 상이한 부분들이다.
바람직하게는, 이미지들은 기판의 동일한 부분이고; 이미지들은 기판 층의 상이한 제조 공정들 동안 얻어진다.
바람직하게는, 상기 방법은 이미지들 간의 차이들에 따라 근접 효과들을 제어하는 단계를 더 포함한다.
바람직하게는, 이미지 관련 메트릭들은 측정된 이미지를 기준 이미지(reference image)에 매핑(map)함으로써; 및/또는 이미지를 가로지르는 라인들로부터 도출된 파라미터들을 평균함으로써 얻어진다.
본 발명의 제 2 실시형태에 따르면, 실행될 때 기판 상의 디바이스의 제조 공정이 제 1 실시형태의 방법에 따라 제어되게 하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체가 제공된다.
본 발명의 제 3 실시형태에 따르면, 기판 상에 디바이스들을 제조하는 시스템이 제공되고, 시스템은 제 1 실시형태의 방법을 수행하도록 구성된다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램;
도 2는 도 1의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록 다이어그램;
도 3a는 LER을 개략적으로 도시하는 도면;
도 3b는 LWR을 개략적으로 도시하는 도면;
도 3c는 확률적 변동(stochastic variation)이 리소그래피에 어떻게 영향을 줄 수 있는지를 개략적으로 예시하는 도면;
도 4a 및 도 4b는 에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 1 이상의 디자인 변수 간의 관계를 결정하는 방법을 개략적으로 나타내는 도면;
도 5a 및 도 5b는 관계를 이용한 피팅의 결과를 나타내는 도면;
도 6은 확률적 변동을 계산하고 나타내는 예시적인 흐름도;
도 7은 확률적 변동을 이용하여 식별된 핫 스폿(hotspot)들을 나타내는 도면;
도 8은 복수의 디자인 변수들의 값들 및 복수의 조건들에서의 확률적 변동의 값들을 포함한 비-일시적 컴퓨터 판독가능한 매체를 나타내는 도면;
도 9a 및 도 9b는 에지에 수직인 방향(x)으로 패턴의 에지에 걸친 (에어리얼 또는 레지스트) 이미지의 세기를 각각 나타내는 도면;
도 10은 EPEILS 항의 곡선들을 개략적으로 나타내는 도면;
도 11은 공동 최적화(joint optimization)/동시 최적화(co-optimization)의 예시적인 방법론의 측면들을 예시하는 흐름도;
도 12는 또 다른 최적화 방법의 일 실시예를 나타내는 도면;
도 13a, 도 13b 및 도 14는 다양한 최적화 공정들의 예시적인 흐름도들;
도 15a는 특성의 확률적 변동(예를 들어, LER) 또는 그 함수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기초하여 에어리얼 이미지 또는 레지스트 이미지 상의 핫 스폿을 식별하는 방법에 대한 흐름도;
도 15b는 에어리얼 이미지 또는 레지스트 이미지의 특성(예를 들어, 에지 위치)의 확률적 변동(예를 들어, LER) 또는 그 함수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기초하여 에어리얼 이미지 또는 레지스트 이미지 상의 핫 스폿을 식별하는 또 다른 방법에 대한 흐름도;
도 16은 에어리얼 이미지 또는 레지스트 이미지의 1 이상의 특성(예를 들어, 에지 위치)의 확률적 변동(예를 들어, LER)을 감소시키는 방법에 대한 흐름도;
도 17은 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 18은 리소그래피 투영 장치의 개략적인 다이어그램;
도 19는 또 다른 리소그래피 투영 장치의 개략적인 다이어그램;
도 20은 도 19의 장치의 더 상세한 도면;
도 21은 도 19 및 도 20의 장치의 소스 컬렉터 모듈(SO)의 더 상세한 도면;
도 22는 스루풋 및 확률적 변동의 측정의 수 개의 관계들을 나타내는 도면;
도 23은 1 이상의 디자인 변수의 값들의 세트에 대한 최적화를 수행하고, 사용자가 사용자의 원하는 특성에 기초하여 1 이상의 디자인 변수의 값들의 세트를 선택할 수 있도록 사용자에게 공정, 에어리얼 이미지, 및/또는 레지스트 이미지의 다양한 특성들을 제공하는 방법의 개략적인 흐름도;
도 24a 및 도 24b는 실시예들에 따른, 제어 파라미터들을 결정하고 공정들을 제어하는 전반적인 과정을 나타내는 도면;
도 25는 기판 상의 피처들의 이미지를 나타내는 도면;
도 26은 인접한 층 상의 피처 위에 위치되어야 하는 한 층 상의 비아(via)를 나타내는 도면;
도 27a, 도 27b, 도 27c 및 도 27d는 피처 윤곽들과 타겟 윤곽들 간의 상이한 관계들을 나타내는 도면;
도 28은 기판 상의 패터닝된 구역의 이미지를 나타내는 도면; 및
도 29는 일 실시예에 따른 방법의 흐름도이다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 한다는 것을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 리소그래피의 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃의 더 높은 투영 정확성, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성들을 갖도록 리소그래피 투영 장치, 리소그래피 공정 등을 조정하는 것을 칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 1 이상의 파라미터에 대한 1 이상의 값의 초기 세트에 비해, 적어도 하나의 관련 메트릭에서 개선, 예를 들어 국부적 최적을 제공하는 1 이상의 파라미터에 대한 1 이상의 값을 식별하는 공정을 칭하거나 의미한다. "최적" 및 다른 관련 용어들은 이에 따라 해석되어야 한다. 일 실시예에서, 최적화 단계들은 1 이상의 메트릭에서 추가 개선을 제공하도록 반복적으로 적용될 수 있다.
또한, 리소그래피 투영 장치는 2 이상의 테이블(예를 들어, 2 이상의 기판 테이블, 기판 테이블 및 측정 테이블, 2 이상의 패터닝 디바이스 테이블 등)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 복수의 다수 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계들이 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 투영 장치는, 예를 들어 본 명세서에서 인용참조되는 US 5,969,441에서 설명된다.
앞서 언급된 패터닝 디바이스는 1 이상의 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들 중 1 이상은 "임계 치수"(CD)라고 칭해질 수 있다. 회로의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 제 5,296,891호 및 제 5,523,193호로부터 얻을 수 있으며, 이들은 본 명세서에서 인용참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
간략한 도입부로서, 도 1는 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있는 방사선 소스(12A)(앞서 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하고, 상기 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상에 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(aperture: 20A)가 기판 평면(22A) 상에 부딪히는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = n sin(Θmax)를 정의하고, n은 투영 광학기의 최종 요소와 기판 사이의 매질의 굴절률이다.
시스템의 최적화 공정에서, 시스템의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 공정은 비용 함수를 최적화(예를 들어, 최소화 또는 최대화)하는 시스템의 파라미터들(디자인 변수들)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들[평가 포인트(evaluation point)들]의 편차들의 가중 RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값(즉, 가장 심한 편차)일 수도 있다. 본 명세서에서 "평가 포인트들"이라는 용어는 시스템의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 구현의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조가능 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트, 및 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명(즉, 방사선)을 제공하고, 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 상기 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 용해도(solubility)의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157360호에서 찾아볼 수 있다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)은 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 예시된다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(35)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들[주어진 디자인 레이아웃(33)에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함]을 나타낸다. 소스 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(35)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 NA 세팅, 시그마(σ) 세팅들 및 여하한의 특정 조명 형상[예를 들어, 환형, 쿼드러폴(quadrupole), 다이폴(dipole) 등과 같은 오프-액시스(off-axis) 방사선 소스들]을 포함하는 소스의 광학적 특성들을 나타낼 수 있으며, 이에 제한되지는 않는다. 투영 광학기 모델(32)은 수차, 왜곡, 1 이상의 굴절률, 1 이상의 물리적 크기, 1 이상의 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 디자인 레이아웃 모델(35)은, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 1 이상의 물리적 속성을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치, 에어리얼 이미지 세기 기울기 및/또는 CD를 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 1 이상의 부분이 식별될 수 있으며, 이는 "클립(clip)들"이라고 칭해진다. 일 예시에서, 클립들의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 전형적으로 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말하면, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 1 이상의 임계 피처가 식별되는 디자인 레이아웃의 부분들일 수 있거나, 또는 디자인 레이아웃의 부분들과 유사할 수 있거나, 또는 디자인 레이아웃의 부분들과 유사한 거동을 가질 수 있다. 클립들은 1 이상의 테스트 패턴 또는 게이지 패턴(gauge pattern)을 포함할 수 있다.
클립들의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 1 이상의 알려진 임계 피처 영역에 기초하여 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 예시에서 클립들의 더 큰 초기 세트는 1 이상의 임계 피처 영역을 식별하는 어떤 종류의 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
예를 들어, EUV(예를 들어, 5 내지 20 nm 범위의 파장을 갖는 극자외 방사선) 소스 또는 비-EUV 소스를 이용하는 리소그래피 투영 장치에서, 감소된 방사선 세기가 더 강한 확률적 변동, 예컨대 홀과 같은 작은 2-차원 피처들에서의 확연한 라인 폭 거칠기 및/또는 국부적 CD 변동을 초래할 수 있다. EUV 소스를 이용하는 리소그래피 투영 장치에서, 감소된 방사선 세기는 소스로부터 출력되는 낮은 총 방사선, 소스로부터의 방사선을 성형하는 광학기로부터의 방사선 손실, 투영 광학기를 통한 투과 손실, 일정한 도즈 하에 더 적은 광자들을 초래하는 높은 광자 에너지 등에 기인할 수 있다. 확률적 변동은 광자 산탄 잡음(photon shot noise), 광자-발생(photon-generated) 이차 전자들, 광자 흡수 변동, 및/또는 레지스트 내의 광자-발생 산과 같은 인자들에 기인할 수 있다. 작은 크기의 피처들이 이 확률적 변동을 더 심화한다(compound). 더 작은 피처들에서의 확률적 변동은 생산 수율에서 중요한 인자이고, 리소그래피 공정 및/또는 리소그래피 투영 장치의 다양한 최적화 공정들 내의 포함을 정당화한다.
동일한 방사선 세기 하에서, 각각의 기판의 더 적은 노광 시간이 리소그래피 투영 장치의 더 높은 스루풋을 야기하지만, 더 강한 확률적 변동을 초래한다. 주어진 방사선 세기 하에 주어진 피처에서의 광자 산탄 잡음은 노광 시간의 제곱근에 비례한다. 스루풋을 증가시키기 위한 더 적은 노광 시간에 대한 바람은 EUV 및 다른 방사선 소스들을 이용하는 리소그래피에서 존재한다. 그러므로, 최적화 공정에서 확률적 변동을 고려하는 본 명세서에 설명되는 방법들 및 장치들은 EUV 리소그래피에 제한되지 않는다.
또한, 스루풋은 기판에 지향되는 방사선의 총량에 의해 영향을 받을 수 있다. 몇몇 리소그래피 투영 장치들에서, 소스로부터의 방사선의 일부분이 조명의 원하는 형상을 달성하기 위해 희생된다.
도 3a는 라인 에지 거칠기(LER)를 개략적으로 도시한다. 모든 조건들이 디자인 레이아웃 상의 피처의 에지(903)의 3 개의 노광들 또는 노광의 시뮬레이션들에서 동일하다고 가정하여, 에지(903)의 레지스트 이미지들(903A, 903B 및 903C)은 약간 상이한 형상들 및 위치들을 가질 수 있다. 레지스트 이미지들(903A, 903B 및 903C)의 위치들(904A, 904B 및 904C)은 레지스트 이미지들(903A, 903B 및 903C)을 각각 평균함으로써 측정될 수 있다. 라인 에지 거칠기와 같은 확률적 변동은 일반적으로 기본 특성의 분포의 파라미터에 의해 나타내어진다. 이 예시에서, 에지(903)의 LER은 에지(903)의 공간 분포의 3σ에 의해 나타내어질 수 있으며, 상기 분포는 정규 분포라고 가정한다. 3σ는 에지(903)의 많은 노광 또는 시뮬레이션에서 에지(903)의 위치들[예를 들어, 위치들(904A, 904B 및 904C)]로부터 도출될 수 있다. LER은 확률적 영향으로 인해 아마도 에지(903)가 포함될 범위를 나타낸다. 이러한 이유로, LER은 확률적 에지 배치 오차(SEPE)라고 칭해질 수도 있다. LER은 비-확률적 영향들에 의해 야기되는 에지(903) 위치의 변화들보다 클 수 있다.
도 3b는 라인 폭 거칠기(LWR)를 개략적으로 도시한다. 모든 조건들이 디자인 레이아웃 상의 폭(911)을 갖는 긴 직사각형 피처(910)의 3 개의 노광들 또는 노광의 시뮬레이션들에서 동일하다고 가정하여, 직사각형 피처(910)의 레지스트 이미지들(910A, 910B 및 910C)은 각각 약간 상이한 폭들(911A, 911B 및 911C)을 가질 수 있다. 직사각형 피처(910)의 LWR은 폭들(911A, 911B 및 911C)의 분포의 측정일 수 있다. 예를 들어, LWR은 폭(911)의 분포의 3σ일 수 있으며, 상기 분포는 정규 분포라고 가정한다. LWR은 직사각형 피처(910)의 폭(911)[예를 들어, 폭들(911A, 911B 및 911C)]의 많은 노광 또는 시뮬레이션으로부터 도출될 수 있다. 짧은 피처(예를 들어, 접촉홀)와 관련하여, 그 이미지들의 폭들은 그 위치들을 평균하기 위해 긴 에지들이 이용가능하지 않기 때문에 잘 정의되지 않는다. 확률적 변동을 특성화하기 위해 유사한 양(quantity), LCDU가 사용될 수 있다. LCDU는 짧은 피처의 이미지들의 측정된 CD들의 분포(상기 분포는 정규 분포라고 가정함)의 3σ이다.
도 3c는 확률적 변동이 리소그래피에 어떻게 영향을 줄 수 있는지를 개략적으로 나타낸다. 도 3c의 예시에서, 에어리얼 이미지 또는 레지스트 이미지 내의 피처의 에지의 의도된 위치가 점선(982)으로 나타내어진다. 실제 에지는 곡선(995)으로 나타내어지고, 이는 확률적 변동(이 예시에서는 LER) 및 확률적 영향에 관련없는 오차[예를 들어, 도즈 변동, 포커스 변동, 소스 형상, 패터닝 디바이스(예를 들어, 마스크) 오차 등과 같은 다른 인자들에 의해 야기됨]를 둘 다 포함한다. 실제 에지의 평균 위치는 실선(981)으로 나타내어진다. 평균 위치[실선(981)]와 의도된 위치[점선(982)] 간의 차이(980)는 확률적 영향에 관련없는 오차이고, 이는 에지 배치 오차(EPE)라고 칭해질 수 있다. 평균 위치에 대한 실제 에지의 변동은 확률적 변동이다. 확률적 변동을 에워싸는 평균 위치[실선(981)] 주위의 대역(band: 990)은 확률적 변동 대역이라 할 수 있고, 이는 확률적 영향으로 인해 실제 국부적 에지 배치가 도달할 수 있는 정도를 나타낸다. 확률적 변동 대역의 폭은 EPE보다 클 수 있다. 그러므로, 에지의 의도된 위치[점선(982)]로부터의 총 확률론적 편차(total probabilistic deviation)는 EPE 및 확률적 변동 대역의 합일 수 있다. 확률적 변동이 존재하지 않는 경우, 이 예시에서의 에지의 실제 위치는 실선(981)에 의해 나타내어진 위치에 있을 것이며, 이는 이웃하는 피처(983)와 병합하지 않고, 이에 따라 결함을 생성하지 않는다. 하지만, 확률적 변동이 존재하고 확률적 변동 대역이 충분히 큰 경우[예를 들어, 대역(990)], 실제 에지는 이웃하는 피처(983)와 병합할 수 있고(점선 원에 의해 표시됨), 이에 따라 결함을 생성한다. 그러므로, 확률적 변동을 평가하거나, 시뮬레이션하거나, 또는 감소시키는 것이 바람직하다.
에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 1 이상의 디자인 변수 간의 관계를 결정하는 방법이 도 4a의 흐름도 및 도 4b의 개략도에 도시된다. 단계 1301에서, 1 이상의 디자인 변수의 값들의 복수의 세트들(1501) 각각에 대해 (실제 노광 또는 시뮬레이션에 의해) 형성된 복수의 에어리얼 이미지들 또는 레지스트 이미지들(1502)로부터 특성의 값들(1503)이 측정된다. 단계 1302에서, 1 이상의 디자인 변수의 값들의 각각의 세트(1501)에 대해, 1 이상의 디자인 변수의 값들의 그 세트(1501)에 대해 형성된 에어리얼 이미지들 또는 레지스트 이미지들로부터 측정된 특성의 값들(1503)의 분포(1504)로부터 확률적 변동의 값(1505)이 결정된다. 단계 1303에서, 1 이상의 디자인 변수의 값들의 세트들(1501) 및 확률적 변동의 값들(1504)로부터의 모델의 1 이상의 파라미터를 피팅함으로써 관계(1506)가 결정된다.
일 예시에서, 확률적 변동은 LER이고, 1 이상의 디자인 변수는 블러 이미지(blurred image) ILS(bl_ILS), 도즈 및 이미지 세기이다. 모델은 다음과 같을 수 있다:
LER = a × bl_ILSb × (도즈 × 이미지 세기)c (수학식 30)
파라미터들(a, b 및 c)은 피팅에 의해 결정될 수 있다. 블러 이미지 ILS(bl_ILS)는 공간 블러(spatial blur)가 적용되어 있는 이미지 로그 슬로프(ILS)이다. 공간 블러는 방사선에 대한 노광에 의해 레지스트 층에 발생되는 화학종의 확산으로 인한 레지스트 이미지의 블러를 나타낼 수 있다.
도 5a는 수학식 30의 모델을 이용한 피팅의 결과를 나타낸다. 일정한 이미지 세기 및 일정한 도즈에서의 긴 트렌치들(1401), 긴 라인들(1402), 짧은 라인들(1403), 짧은 트렌치들(1404), 짧은 라인 단부들(1405), 및 짧은 트렌치 단부들(1406)을 포함하는 900 개 이상의 상이한 피처들의 (확률적 변동의 일 예시로서) LER의 값들(1400)이 도 4a 및 도 4b의 방법을 따라 결정된다. 수학식 30의 파라미터들 a 및 b[파라미터 c는 도즈 가중된 블러 이미지 세기가 일정하기 때문에 파라미터 a로 합쳐짐(roll)]는 디자인 변수(bl_ILS)의 값들과 LER의 값들을 피팅함으로써 결정된다. 피팅 결과는 곡선(1410)으로 나타내어진다.
도 5b는 수학식 30의 모델을 이용한 피팅의 결과(1510)를 나타낸다. 다양한 이미지 세기들 및 다양한 도즈들에서의 20×40 nm 트렌치(1505)의 길이 방향으로의 CD 및 폭 방향으로의 CD의 (확률적 변동의 일 예시로서) LCDU의 값들(1500)이 도 4a 및 도 4b의 방법을 이용하여 결정된다. 수학식 30의 파라미터들(a, b 및 c)은 디자인 변수(bl_ILS, 도즈 및 이미지 세기)의 값들과 LWR의 값들을 피팅함으로써 결정된다.
일단 에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 1 이상의 디자인 변수 간의 관계가 도 4a 및 도 4b의 방법과 같은 방법에 의해 결정되면, 관계를 이용하여 그 특성에 대해 확률적 변동의 값이 계산될 수 있다. 도 6은 이 계산에 대한 예시적인 흐름도를 나타낸다. 단계 1610에서, 조건들의 일 세트(예를 들어, NA, σ, 도즈, 포커스, 레지스트 화학적 성질, 1 이상의 투영 광학기 파라미터, 1 이상의 조명 파라미터 등)가 선택된다. 단계 1620에서, 1 이상의 디자인 변수의 값들은 이 조건들 하에 계산된다. 예를 들어, 레지스트 이미지의 에지 위치 및 에지들을 따르는 bl_ILS의 값들. 단계 1630에서, 확률적 변동과 1 이상의 디자인 변수 간의 관계로부터 확률적 변동의 값들이 계산된다. 예를 들어, 일 예시에서, 확률적 변동은 에지들의 LER이다. 선택적인 단계 1640에서, 잡음 벡터(noise vector)가 정의될 수 있고, 이의 주파수 분포(frequency distribution)는 실제 기판 측정들에 거의 매칭한다. 선택적인 단계 1650에서, 잡음 벡터는 결과들(예를 들어, 에어리얼 이미지 또는 레지스트 이미지의 확률적 에지) 위에 더해진다(overlay).
또한, 에어리얼 이미지 또는 레지스트 이미지의 특성의 확률적 변동과 1 이상의 디자인 변수 간의 관계는 도 7에 나타낸 바와 같은 에어리얼 이미지 또는 레지스트 이미지의 1 이상의 "핫 스폿"을 식별하는 데 사용될 수 있다. 확률적 변동이 소정 규모를 넘는 이미지 상의 위치로서 "핫 스폿"이 정의될 수 있다. 예를 들어, 2 개의 인근 에지들 상의 2 개의 위치들이 큰 LER 값들을 갖는 경우, 이 2 개의 위치들은 서로 결합할 가능성이 높다.
일 예시에서, 복수의 조건들 및 복수의 1 이상의 디자인 변수의 값들에서의 확률적 변동(및/또는 이의 함수)의 값들은 하드 드라이브에 저장된 데이터베이스와 같은, 도 8에 나타낸 비-일시적 컴퓨터-판독가능한 매체(1800)에서 계산되고 컴파일(compile)될 수 있다. 컴퓨터가 매체(1800)에 질의(query)하고 매체(1800)의 콘텐츠로부터 확률적 변동의 값을 계산할 수 있다.
에어리얼/레지스트 이미지의 특성의 확률적 변동의 결정이 리소그래피 공정에서 많은 방식으로 유용할 수 있다. 일 예시에서, 확률적 변동은 광 근접 보정(optical proximity correction: OPC)에서 고려될 수 있다.
일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. "마스크", "레티클", "패터닝 디바이스"라는 용어들은 본 명세서에서 교환가능하게 이용된다는 것을 유의한다. 또한, 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 당업자라면 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크"/"패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 알 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링(couple)된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은, 예를 들어 일반적으로 리소그래피에 따라오는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 것을 보장하기 위해, 정교한 수치 모델, 디자인 레이아웃의 보정 또는 전치-왜곡(pre-distortion)을 이용하여 근접 효과들이 예측되고 보상되어야 한다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol.5751, pp 1-14, 2005)은 "모델-기반" 광 근접 보정 공정들의 개요를 제공한다. 전형적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향(biasing), 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트(assist)" 피처들의 적용을 포함할 수 있다.
타겟 디자인에 대한 모델-기반 OPC의 적용은, 칩 디자인에 전형적으로 존재하는 수백만의 피처들을 감안하면 상당한 연산 리소스(computational resource)들 및 우수한 공정 모델들을 수반한다. 하지만, OPC를 적용하는 것은 일반적으로 "정밀 과학"이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 경험적인 반복 공정이다. 그러므로, 패터닝 디바이스 패턴으로 형성되는 디자인 결함들의 가능성을 최소화하거나 감소시키기 위해, OPC의 효과, 예를 들어 OPC 및/또는 여하한의 다른 RET의 적용 후 디자인 레이아웃이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델을 이용한 집약적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 한다. 이는 고성능 패터닝 디바이스들을 제작하는 막대한 비용 -이는 수백만 달러 범위에서 운영됨- 에 의해, 그리고 일단 제조되면 실제 패터닝 디바이스들을 재작업하거나 수리하는 데 소요되는 시간에 대한 영향에 의해 좌우된다.
OPC 및 풀-칩 RET 검증은 둘 다, 예를 들어 미국 특허 출원 공개공보 US 2005-0076322호 및 "Optimized Hardware and Software For Fast, Full Chip Simulation"(Y. Cao 외, Proc. SPIE, Vol.5754, 405, 2005)이라는 제목의 논문에서 설명되는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
한 RET는 디자인 레이아웃의 전역적 편향("마스크 편향"이라고도 함)의 조정과 관련된다. 전역적 편향은 기판 상에 프린트되도록 의도된 패턴들과 디자인 레이아웃의 패턴들 간의 차이이다. 예를 들어, 투영 광학기에 의한 확대(축소)를 무시하여, 25 nm 직경의 원형 패턴이 디자인 레이아웃의 50 nm 직경 패턴에 의해 또는 디자인 레이아웃의 20 nm 직경 패턴에 의해 하지만 높은 도즈로 기판 상에 프린트될 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 교환가능하게 사용된다. 환형, 쿼드러폴 및 다이폴과 같은 많은 오프-액시스 조명들이 도입되고, OPC 디자인에 대해 더 많은 자유를 제공하였으며, 이로 인해 이미징 결과들이 개선되었다. 오프-액시스 조명은 패터닝 디바이스에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 방식이다. 하지만, 종래의 조명에 비해, 오프-액시스 조명은 통상적으로 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적 밸런스를 달성하도록 조명을 최적화하려는 시도가 바람직해진다.
무수한 조명 최적화 접근법들은, 예를 들어 Rosenbluth 외의 논문 "Optimum Mask and Source Patterns to Print a Given Shape"(Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, 2002)에서 찾아볼 수 있다. 소스는 수 개의 구역들로 분할되고, 이 각각은 퓨필 스펙트럼의 소정 구역에 대응한다. 이때, 소스 분포는 각 소스 구역에서 균일하다고 가정되며, 각 구역의 휘도는 공정 윈도우에 대해 최적화된다. 하지만, 각 소스 구역에서 소스 분포가 균일하다는 이러한 가정이 항상 유효하지는 않으며, 결과로서 이 접근법의 유효성이 불리해진다. Granik의 논문 "Source Optimization for Image Fidelity and Throughput"(Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, 2004)에서 설명된 또 다른 예시에서, 몇몇 기존 소스 최적화 접근법들의 개요가 제공되고, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)들로 전환하는 일루미네이터 픽셀들에 기초한 방법이 제안된다. 이 방법들은 몇몇 성공을 증명하지만, 이들은 전형적으로 수렴을 위해 다수의 복잡한 반복들을 요구한다. 또한, Granik의 방법에서의 γ와 같은, 소스의 평활도(smoothness) 요건과 기판 이미지 충실도를 위한 소스의 최적화 간의 조화(trade-off)를 좌우하는 몇몇 여분의 파라미터들에 대해 적절한/최적 값들을 결정하는 것이 어려울 수 있다.
저 k1 포토리소그래피에 대해, 소스 및 패터닝 디바이스 둘의 최적화는 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 몇몇 알고리즘들(예를 들어, Socha 외, Proc. SPIE vol.5853, 2005, p.180)이 공간 주파수 도메인에서 조명을 독립적인 소스점들로, 그리고 패터닝 디바이스를 회절 차수들로 분할(discretize)하고, 소스점 세기들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 공정 윈도우 메트릭에 기초하여 개별적으로 비용 함수(이는 1 이상의 선택된 디자인 변수의 함수로서 정의됨)를 공식화한다.
본 명세서에서 사용되는 바와 같은 "디자인 변수"라는 용어는 리소그래피 공정 또는 리소그래피 투영 장치의 파라미터들, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 파라미터들의 세트, 또는 그 파라미터들을 조정함으로써 사용자가 조정할 수 있는 이미지 특성들을 포함한다. 조명, 패터닝 디바이스, 투영 광학기 및/또는 레지스트의 1 이상의 특성을 포함한 리소그래피 투영 공정의 여하한의 1 이상의 특성이 최적화에서의 디자인 변수들에 의해 나타내어질 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
관련적으로, 지속된 감소 디자인 규칙들(decreasing design rules)의 압박은 반도체 제조업자가 기존 193 nm ArF 리소그래피를 이용한 저 k1 리소그래피 시대로 더 깊이 이동하게 하였다. 더 낮은 k1을 향한 리소그래피는 RET, 노광 툴들, 및 리소-친화적(litho-friendly) 디자인의 필요성에 대한 막대한 요구를 부여한다. 1.35 ArF 하이퍼 개구수(NA) 노광 툴들이 장차 사용될 수 있다. 운용가능한 공정 윈도우로 기판 상에 회로 디자인이 생성될 수 있을 것을 보장하도록 돕기 위해, (본 명세서에서, 소스-마스크 최적화 또는 SMO라고 칭하는) 조명-패터닝 디바이스 최적화가 2x nm 노드를 위한 중요한 RET가 되고 있다.
실행가능한 시간 내에 제약 없이 비용 함수를 이용하여 조명 및 패터닝 디바이스의 동시 최적화를 허용하는 조명 및 패터닝 디바이스(디자인 레이아웃) 최적화 방법 및 시스템이 미국 특허 출원 공개공보 US 2011-0230999호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다. 소스의 픽셀들을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 SMO 방법 및 시스템이 미국 특허 출원 공개공보 2010/0315614호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
리소그래피 투영 장치에서, 일 예시로서 비용 함수는 다음과 같이 표현될 수 있다:
Figure pct00001
(수학식 1)
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이다. fp(z1,z2,…,zN)은 (z1,z2,…,zN)의 디자인 변수들의 값들의 일 세트에 대한 평가 포인트에서의 특성의 실제 값과 의도된 값 간의 차와 같은 디자인 변수들 (z1,z2,…,zN)의 함수일 수 있다. wp는 fp(z1,z2,…,zN)와 연계된 가중치 상수이다. 다른 것들보다 더 임계적인 평가 포인트 또는 패턴에 더 높은 wp 값이 할당될 수 있다. 발생 수가 더 큰 패턴들 및/또는 평가 포인트들에도 더 높은 wp 값이 할당될 수 있다. 평가 포인트들의 예시들은 기판 상의 여하한의 물리적 포인트 또는 패턴, 가상 디자인 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 여하한의 포인트, 또는 그 조합일 수 있다. 또한, fp(z1,z2,…,zN)은 디자인 변수들 (z1,z2,…,zN)의 함수들인 LWR, LER, 및/또는 LCDU와 같은 1 이상의 확률적 변동의 함수일 수도 있다. fp(z1,z2,…,zN)는 확률적 변동의 양함수(explicit function), 예컨대 fp(LER) = LER2(z1,z2,…,zN)일 수 있다. fp(z1,z2,…,zN)는 LER과 같은 확률적 변동의 함수인 변수의 양함수일 수 있다. 예를 들어, bl_ILS가 수학식 30에 의해 나타낸 바와 같은 LER의 함수일 수 있고,
Figure pct00002
이다. fp(z1,z2,…,zN)는 LER과 같은 확률적 변동에 영향을 주는 변수일 수 있다.
따라서, 확률적 변동을 나타내는 fp(z1,z2,…,zN)를 포함하는 비용 함수를 이용한 최적화는 확률적 변동을 감소시키거나 최소화하는 1 이상의 디자인 변수의 값들을 초래할 수 있다. 비용 함수는 리소그래피 투영 장치, 리소그래피 공정 또는 기판의 여하한의 1 이상의 적절한 특성, 예를 들어 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 변동, 스루풋, LCDU, 또는 그 조합을 나타낼 수 있다. LCDU는 국부적 CD 변동(예를 들어, 국부적 CD 분포의 표준 편차의 3 배)이다. 일 예시에서, 비용 함수는 LCDU, 스루풋 및 확률적 변동들을 나타낸다(즉, 이들의 함수이다). 일 예시에서, 비용 함수는 EPE, 스루풋 및 확률적 변동들을 나타낸다[예를 들어, 이들의 함수인 fp(z1,z2,…,zN)를 포함한다]. 일 예시에서, 비용 함수는 LER과 같은 확률적 변동의 함수인 fp(z1,z2,…,zN) 및 EPE의 함수인 fp(z1,z2,…,zN)를 포함한다. 일 예시에서, 디자인 변수들 (z1,z2,…,zN)은 도즈, 패터닝 디바이스의 전역적 편향, 조명의 형상, 또는 그 조합으로부터 선택되는 1 이상을 포함한다. 흔히 기판 상의 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 레지스트 이미지의 1 이상의 특성을 나타내는 함수를 포함할 수 있다. 예를 들어, 이러한 평가 포인트의 fp(z1,z2,…,zN)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPEp(z1,z2,…,zN)]일 수 있다. 디자인 변수들은 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터와 같은 여하한의 조정가능한 파라미터를 포함할 수 있다.
리소그래피 장치는 집합적으로 "파면 머니퓰레이터(wavefront manipulator)"라 하는 구성요소들을 포함할 수 있으며, 이는 방사선 빔의 위상 시프트 및/또는 세기 분포 및 파면의 형상을 조정하는 데 사용될 수 있다. 일 예시에서, 리소그래피 장치는 패터닝 디바이스 전, 퓨필 평면 부근, 이미지 평면 부근, 및/또는 초점면 부근과 같은 리소그래피 투영 장치의 광학 경로를 따르는 여하한의 위치에서 파면 및 세기 분포를 조정할 수 있다. 파면 머니퓰레이터는, 예를 들어 소스, 패터닝 디바이스, 리소그래피 투영 장치 내의 온도 변동, 리소그래피 투영 장치의 구성요소들의 열팽창 등에 의해 야기된 파면 및 세기 분포 및/또는 위상 시프트의 소정 왜곡들을 보정 또는 보상하는 데 사용될 수 있다. 파면 및 세기 분포 및/또는 위상 시프트를 조정하는 것이 비용 함수 및 평가 포인트들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션되거나, 또는 실제로 측정될 수 있다. 물론, CF(z1,z2,…,zN)는 수학식 1의 형태에 제한되지 않는다. CF(z1,z2,…,zN)는 여하한의 다른 적절한 형태일 수 있다.
일 예시에 따르면, EPE 및 LER을 둘 다 나타내는 비용 함수가 다음과 같은 형태를 가질 수 있다:
Figure pct00003
이는 EPE 및 LER이 둘 다 길이의 치수를 갖기 때문이다. 그러므로, 이들은 직접 더해질 수 있다. LER이 EPE에 포함되는 비용 함수들을 포함하는 대안적인 비용 함수들이 사용될 수 있다.
수학식 30은 bl_ILS를 LER에 연결한다. 그러므로, bl_ILS를 나타내는 비용 함수를 이용한 최적화가 LER을 나타내는 비용 함수를 이용한 최적화와 유사하다. 더 큰 bl_ILS가 더 작은 LER을 초래하고, 그 역도 마찬가지다. 일 예시에 따르면, 비용 함수는 EPE 및 bl_ILS[또는 정규화된 ILS(NILS)]를 둘 다 나타낼 수 있다. 하지만, EPE 및 bl_ILS(또는 NILS)는, bl_ILS가 길이를 측정하지 않고 EPE는 측정하기 때문에, 또는 NILS는 크기가 없고(dimensionless) EPE는 길이의 치수를 갖기 때문에, 직접 더해지지 않을 수 있다. 그러므로, 길이를 나타내는 함수에 의해 bl_ILS(또는 NILS)를 표현하는 것이 EPE에 그 표현을 직접 더할 수 있게 한다.
ILS는 ILS =∂lnI/∂x로서 정의된다. bl_ILS는 공간적으로 블러된 ILS이다. NILS는 = CD×ILS로서 정의된다. 이 정의들은 ILS, bl_ILS 또는 NILS를 나타낼 수 있고, 길이를 나타내며, 이에 따라 EPE에 직접 더하게 하는 함수를 제안한다. 도 9a 및 도 9b는 각각 에지에 수직인 방향(x)으로의 패턴의 에지에 걸친 이미지(에어리얼 또는 레지스트)의 세기를 나타낸다. x에 대한 세기의 더 높은 슬로프는 더 높은 ILS, bl_ILS 및 NILS를 의미한다. 따라서, 도 9a의 예시는 도 9b의 예시보다 더 높은 ILS, bl_ILS 및 NILS를 갖는다. 에지 위치(Xe)는 레지스트를 노광하기에 충분한 세기(I)에 따라 시프트된다. 레지스트를 노광하기에 충분한 세기(I)는 노광 기간이 고정되는 경우에 도즈에 따라 변화한다. 그러므로, 도즈의 주어진 변화량(예를 들어, 사용자가 선택한 파라미터일 수 있는 공칭 도즈에 대한 ±δ)에 의해 야기되는 에지 위치(Xe)의 시프트 양(이후 "EPEILS", 예를 들어 2911 및 2912)은 ILS, bl_ILS 또는 NILS에 의해 결정된다. 따라서, 도 9a의 예시가 도 9b의 예시보다 높은 ILS, bl_ILS 및 NILS를 갖기 때문에, 도 9a의 예시에서의 EPEILS는 도 9b의 예시에서의 EPEILS보다 작다. 따라서, EPEILS는 ILS, bl_ILS 또는 NILS를 나타낼 수 있고, 길이를 나타내어 비용 함수에서 EPE에 직접 더하게 하는 함수의 일 예시이다. EPEILS는 다음과 같이 쓰여질 수 있다:
Figure pct00004
이때, ILS(xe(o))는 디자인 변수들 (z1,z2,…,zN)의 함수이다. 일 예시에 따라 EPE 및 ILS, bl_ILS 또는 NILS를 둘 다 나타내는 비용 함수가 다음의 형태를 가질 수 있다:
Figure pct00005
이때, EPEp(z1,z2,…,zN)|δ=0은 공칭 도즈에서의 EPE 값이고, p는 p-번째 평가 포인트이며, Sp는 EPEILS 항에 대한 가중치이다. 따라서, 예를 들어 이 비용 함수를 최소화함에 의한 최적화가 ILS(xe(o))를 최대화하고, 이에 따라 LER을 최소화한다.
일 예시에 따르면, EPEILS
Figure pct00006
의 가중치는 EPE 항들이 증가할 때 EPE 항들(예를 들어, EPEp 2)의 가중치에 비해 감소되어, EPEILS
Figure pct00007
이 EPE 항 EPEp 2보다 우세하지 않도록 할 수 있다. EPEILS 항이 우세한 경우, EPE 항들은 최적화에 의해 충분히 감소되지 않을 것이다. 예를 들어, |EPEp|가 사용자-선택 오프셋보다 큰 경우, |EPEp| > OF일 때 sp = 0이고(이로 인해 최적화는 EPEILS 항을 무시하고, EPE 항들만을 감소시킴), |EPEp| ≤ OF일 때 sp ≠ 0이며, 이때 OF는 오프셋이다. 예를 들어,
Figure pct00008
이다. EPE 항들의 더 높은 가중치는 비용 함수를 이용한 최적화에서 EPE 항들의 감소를 선호하는 최적화를 만들 것이다.
도 10은 EPEp의 함수로서 비용 함수의 곡선들을 개략적으로 나타내며, 이때 가중치
Figure pct00009
이다. 도 10에 나타낸 바와 같이, EPE 항들은 |EPEp| > OF일 때 가중치 wp 가 더 큰 값을 갖기 때문에 비용 함수의 더 큰 부분을 차지한다.
디자인 변수들은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 디자인 변수들에 대한 한가지 가능한 제약은 리소그래피 투영 장치의 요구되는 스루풋에 의해 부과될 수 있다. 요구되는 스루풋의 하한계는 도즈에 대한 상한계를 초래하며, 이에 따라 확률적 변동에 대한 함축(implication)들을 갖는다(예를 들어, 확률적 변동에 하한계를 부과함). 더 짧은 노광 시간 및/또는 더 낮은 도즈가 일반적으로 더 높은 스루풋을 초래하지만, 더 큰 확률적 변동을 초래한다. 확률적 변동의 최소화 및 기판 스루풋의 고려가 디자인 변수들의 가능한 값들을 제한할 수 있는데, 이는 확률적 변동이 디자인 변수들의 함수이기 때문이다. 요구되는 스루풋에 의해 부과되는 이러한 제약 없이, 최적화는 비현실적인 디자인 변수들의 값들의 세트를 산출할 수 있다. 예를 들어, 이러한 제약 없이 도즈가 디자인 변수인 경우, 최적화는 경제적으로 불가능한 스루풋을 구성하는 도즈 값을 산출할 수 있다. 하지만, 제약들의 유용성은 필요성으로 해석되어서는 안 된다. 예를 들어, 스루풋은 퓨필 충진율(pupil fill ratio)에 의해 영향을 받을 수 있다. 몇몇 조명 디자인들에 대해, 낮은 퓨필 충진율은 방사선을 버려서 더 낮은 스루풋을 초래할 수 있다. 또한, 스루풋은 레지스트 화학적 성질에 의해 영향을 받을 수 있다. 더 느린 레지스트(즉, 적절히 노광되기 위해 더 높은 양의 방사선을 필요로 하는 레지스트)가 더 낮은 스루풋을 초래한다.
그러므로, 최적화 공정은 제약들 (z1,z2,…,zN) ∈ Z 하에서 비용 함수를 최적화하는 1 이상의 디자인 변수의 값들의 일 세트를 발견하는 것이며, 예를 들어 다음을 발견하는 것이다:
Figure pct00010
(수학식 2)
일 예시에 따른 최적화하는 일반적인 방법이 도 11에 예시된다. 이 방법은 복수의 디자인 변수들의 다변수 비용 함수를 정의하는 단계(302)를 포함한다. 디자인 변수들은 조명의 1 이상의 특성(300A)(예를 들어, 퓨필 충진율, 즉 퓨필 또는 어퍼처를 통과하는 조명의 방사선의 백분율), 투영 광학기의 1 이상의 특성(300B), 및/또는 디자인 레이아웃의 1 이상의 특성(300C)을 나타내는 디자인 변수들로부터 선택되는 여하한의 적절한 조합을 포함할 수 있다. 예를 들어, 디자인 변수들은 조명의 1 이상의 특성(300A) 및 디자인 레이아웃의 1 이상의 특성(300C)(예를 들어, 전역적 편향)을 나타내는 디자인 변수들을 포함하지만, 투영 광학기의 1 이상의 특성(300B)은 포함하지 않을 수 있으며, 이는 SMO를 초래한다. 또는, 디자인 변수들은 조명의 1 이상의 특성(300A)(선택적으로, 편광), 투영 광학기의 1 이상의 특성(300B), 및 디자인 레이아웃의 1 이상의 특성(300C)을 나타내는 디자인 변수들을 포함할 수 있고, 이는 조명-패터닝 디바이스(예를 들어, 마스크)-투영 시스템(예를 들어, 렌즈) 최적화(SMLO)를 초래한다. 단계 304에서, 디자인 변수들은 비용 함수가 수렴을 향해 이동되도록 동시에 조정된다. 단계 306에서, 사전설정된 종료 조건을 만족하는지가 판단된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며: 예를 들어 비용 함수의 값이 임계값과 동일하거나 임계값을 넘는 것, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달하는 것, 미리 조정된 반복 수에 도달하는 것, 및/또는 사용되는 수치해석 기술(numerical technique)의 요구에 따라 비용 함수가 최소화 또는 최대화될 수 있는 것으로부터 선택되는 1 이상일 수 있다. 단계 306에서의 조건이 만족되는 경우에 상기 방법이 종료된다. 단계 306에서의 1 이상의 조건이 만족되지 않는 경우, 원하는 결과가 얻어질 때까지 단계 304 및 단계 306이 반복적으로 되풀이된다. 최적화는 반드시 1 이상의 디자인 변수에 대한 값들의 단일 세트를 초래하지는 않는데, 이는 퓨필 충진율, 레지스트 화학적 성질, 스루풋 등과 같은 인자에 의해 야기되는 물리적 한계가 존재할 수 있기 때문이다. 최적화는 1 이상의 디자인 변수에 대한 값들의 다수 세트들 및 연계된 성능 특성들(예를 들어, 스루풋)을 제공하고, 리소그래피 장치의 사용자로 하여금 1 이상의 세트를 고르게 할 수 있다. 도 22는 레지스트 화학적 성질(이는 레지스트를 노광하는 데 필요한 도즈에 의해 표현될 수 있음), 퓨필 충진율["퓨필 충전율(pupil fill factor)"이라고도 알려짐], 조명 효율성(예를 들어, 일루미네이터 내의 총 이용가능한 거울들 및 패터닝 디바이스에 방사선을 지향하는 거울들의 비) 및 마스크 편향에 대해 수평축에서의 스루풋(시간당 기판들의 수의 단위)과 확률적 변동의 측정, 예를 들어 수직축에서의 최악의 코너 CDU 및 LER의 평균의 수 개의 관계들을 나타낸다. 트레이스(1811)는 100 % 퓨필 충전율 및 빠른 레지스트를 이용한 이 관계들을 나타낸다. 트레이스(1812)는 100 % 퓨필 충전율 및 느린 레지스트를 이용한 이 관계들을 나타낸다. 트레이스(1821)는 60 % 퓨필 충전율 및 빠른 레지스트를 이용한 이 관계들을 나타낸다. 트레이스(1822)는 60 % 퓨필 충전율 및 느린 레지스트를 이용한 이 관계들을 나타낸다. 트레이스(1831)는 29 % 퓨필 충전율 및 빠른 레지스트를 이용한 이 관계들을 나타낸다. 트레이스(1832)는 29 % 퓨필 충전율 및 느린 레지스트를 이용한 이 관계들을 나타낸다. 최적화는 사용자에게 이 가능성들을 모두 제시할 수 있고, 이에 따라 사용자는 확률적 변동 및/또는 스루풋의 특정한 요건에 기초하여 퓨필 충전율, 레지스트 화학적 성질을 선택할 수 있다. 또한, 최적화는 스루풋과 퓨필 충전율, 레지스트 화학적 성질 및 마스크 편향 간의 관계를 계산하는 단계를 포함할 수 있다. 또한, 최적화는 확률적 변동의 측정과 퓨필 충전율, 레지스트 화학적 성질 및 마스크 편향 간의 관계를 계산하는 단계를 포함할 수 있다.
도 23의 흐름도로 개략적으로 나타낸 바와 같은 일 예시에 따르면, 1 이상의 디자인 변수의 값들의 세트(예를 들어, 마스크 앵커 편향 및 전역적 편향의 값들의 어레이, 매트릭스, 또는 리스트) 각각에 대해 최적화가 수행될 수 있다(단계 1910). 일 예시에서, 최적화의 비용 함수는 확률적 변동의 1 이상의 측정(예를 들어, LCDU)의 함수이다. 그 후, 단계 1920에서, 공정, 에어리얼 이미지, 및/또는 레지스트 이미지의 다양한 특성들[예를 들어, 임계 치수 균일성(CDU), 초점 심도(DOF), 노출 관용도(EL), 마스크 오차 향상 인자(mask error enhancement factor: MEEF), LCDU, 스루풋 등]이 1 이상의 디자인 변수의 값들의 각 세트에 대해 최적화의 사용자에게 (예를 들어, 3D 플롯으로) 제시될 수 있다. 선택적인 단계 1930에서, 사용자는 1 이상의 원하는 특성에 기초하여 1 이상의 디자인 변수의 값들의 세트를 선택한다. 흐름은 XML 파일 또는 여하한의 스크립트 언어를 통해 구현될 수 있다.
조명, 패터닝 디바이스 및 투영 광학기는 교대로(alternatively) 최적화될 수 있거나[교대 최적화(Alternative Optimization)라 칭함], 또는 동시에 최적화될 수 있다(동시 최적화라 칭함). 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "공동(joint)" 및 "공동으로"라는 용어들은, 조명, 패터닝 디바이스, 투영 광학기의 1 이상의 특성을 나타내는 1 이상의 디자인 변수 및/또는 여하한의 다른 디자인 변수가 동일한 시간에 변화되도록 허용된다는 것을 의미한다. 본 명세서에서 사용되는 바와 같은 "교대" 및 "교대로"라는 용어는, 디자인 변수들 모두가 동일한 시간에 변화되도록 허용되지는 않는다는 것을 의미한다.
도 11에서, 모든 디자인 변수들의 최적화는 동시에 실행된다. 이러한 흐름은 동시 흐름 또는 공동-최적화 흐름(co-optimization flow)이라 칭해질 수 있다. 대안적으로, 모든 디자인 변수들의 최적화는 도 12에 예시된 바와 같이 교대로 실행된다. 이 흐름에서는, 각각의 단계에서 몇몇 디자인 변수들은 고정되는 한편, 다른 디자인 변수들은 비용 함수를 최적화하도록 최적화된다; 그 후, 다음 단계에서 변수들의 상이한 세트가 고정되는 한편, 다른 것들은 비용 함수를 최소화 또는 최대화하도록 최적화된다. 이 단계들은 수렴 또는 소정 종료 조건들이 충족될 때까지 교대로 실행된다. 비-제한적인 예시의 도 12의 흐름도에 나타낸 바와 같이, 우선 디자인 레이아웃(단계 402)이 얻어진 후, 조명 최적화의 단계가 단계 404에서 실행되며, 이때 조명의 1 이상의 디자인 변수가 비용 함수를 최소화 또는 최대화하도록 최적화되는 한편(SO), 다른 디자인 변수들은 고정된다. 그 후, 다음 단계 406에서 패터닝 디바이스(예를 들어, 마스크) 최적화(MO)가 수행되며, 이때 패터닝 디바이스의 디자인 변수들이 비용 함수를 최소화 또는 최대화하도록 최적화되는 한편, 다른 디자인 변수들은 고정된다. 이 두 단계들은 단계 408에서 소정 종료 조건들이 충족될 때까지 교대로 실행된다. 비용 함수의 값이 임계값과 동일하게 되는 것, 비용 함수의 값이 임계값을 넘는 것, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달하는 것, 미리 조정된 반복 수에 도달하는 것 등과 같은 1 이상의 다양한 종료 조건들이 사용될 수 있다. 교대 흐름에 대한 일 예시로서 SO-MO-교대-최적화가 사용된다는 것을 유의한다. 교대 흐름은 많은 상이한 형태, 예를 들어 SO-LO-MO-교대-최적화를 취할 수 있으며, 이때 SO, LO(투영 광학기 최적화), 및 MO가 교대로 및 반복적으로 실행된다; 또는 우선 SMO가 한 번 실행된 후, LO 및 MO가 교대로 및 반복적으로 실행될 수 있다; 그 밖에도 여러 가지가 있다. 또 다른 대안예는 SO-PO-MO(조명 최적화, 편광 최적화 및 패터닝 디바이스 최적화)이다. 최종적으로, 최적화 결과의 출력이 단계 410에서 얻어지고, 공정이 정지된다.
앞서 설명된 바와 같은 패턴 선택 알고리즘은 동시 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적화가 채택되는 경우, 우선 풀-칩 SO가 수행될 수 있으며, 1 이상의 '핫 스폿' 및/또는 '웜 스폿'이 식별되고, 그 후 MO가 수행된다. 본 발명의 관점에서, 원하는 최적화 결과들을 달성하기 위해 서브-최적화들의 다수 순열 및 조합이 가능하다.
도 13a는 비용 함수가 최소화 또는 최대화되는 한가지 예시적인 최적화 방법을 나타낸다. 단계 S502에서, 만약에 있다면, 1 이상의 연계된 조절 범위를 포함하는 1 이상의 디자인 변수의 초기 값들이 얻어진다. 단계 S504에서, 다변수 비용 함수가 설정된다. 단계 S506에서, 제 1 반복 단계(i=0)에 대해 1 이상의 디자인 변수의 시작점 값 주위의 충분히 작은 일대(small enough neighborhood) 내에서 비용 함수가 확장된다. 단계 S508에서, 표준 다변수 최적화 기술들이 비용 함수에 적용된다. 최적화 문제는 S508에서 최적화 공정 동안 또는 최적화 공정의 추후 단계에서 1 이상의 조절 범위와 같은 제약들을 적용할 수 있다는 것을 유의한다. 단계 S520은 리소그래피 공정을 최적화하기 위해 선택되었던 식별된 평가 포인트들에 대하여 1 이상의 주어진 테스트 패턴("게이지들"이라고도 알려짐)에 대해 각각의 반복이 행해짐을 나타낸다. 단계 S510에서, 리소그래피 반응이 예측된다. 단계 S512에서, 단계 S510의 결과는 단계 S522에서 얻어지는 원하는 또는 이상적인 리소그래피 반응 값과 비교된다. 단계 S514에서 종료 조건이 만족되면, 즉 최적화가 원하는 값에 충분히 근접한 리소그래피 반응 값을 생성하면, 단계 S518에서 디자인 변수들의 최종 값이 출력된다. 또한, 출력 단계는 퓨필 평면(또는 다른 평면들)에서의 파면 수차-조정된 맵, 최적화된 조명 맵, 및/또는 최적화된 디자인 레이아웃 등을 출력하는 단계와 같이, 디자인 변수들의 최종 값들을 이용하여 1 이상의 다른 함수를 출력하는 단계를 포함할 수 있다. 종료 조건이 만족되지 않은 경우, 단계 S516에서 1 이상의 디자인 변수의 값들은 i-번째 반복의 결과로 업데이트되며, 상기 공정은 단계 S506으로 되돌아간다. 도 13a의 공정은 아래에서 상세히 설명된다.
예시적인 최적화 공정에서, fp(z1,z2,…,zN)가 충분히 평활한[예를 들어, 1차 도함수
Figure pct00011
,(n = 1,2,…N)가 존재함] 것을 제외하고는, 디자인 변수들 (z1,z2,…,zN)과 fp(z1,z2,…,zN) 간의 관계가 가정되거나 근사화되지 않으며, 이는 일반적으로 리소그래피 투영 장치에서 유효하다.
Figure pct00012
를 찾기 위해, 가우스-뉴턴 알고리즘, 레벤버그-마쿼트(Levenberg-Marquardt) 알고리즘, 브로이덴-플레처-골드파브-샤노(Broyden-Fletcher-Goldfarb-Shanno) 알고리즘, 기울기 하강(gradient descent) 알고리즘, 모의 담금질(simulated annealing) 알고리즘, 내점 알고리즘 및 유전적 알고리즘과 같은 알고리즘이 적용될 수 있다.
여기서, 일 예시로서 가우스-뉴턴 알고리즘이 사용된다. 가우스-뉴턴 알고리즘은 일반적인 비선형 다변수 최적화 문제에 적용가능한 반복 방법이다. 디자인 변수들 (z1,z2,…,zN)이 (z1i,z2i,…,zNi)의 값들을 취하는 i-번째 반복에서, 가우스-뉴턴 알고리즘은 (z1i,z2i,…,zNi)의 부근에서 fp(z1,z2,…,zN)를 선형화하고, 그 후 CF(z1,z2,…,zN)의 최소값을 제공하는 (z1i,z2i,…,zNi)의 부근에서의 (z1(i+1),z2(i+1),…,zN(i+1)) 값들을 계산한다. 디자인 변수들 (z1,z2,…,zN)은 (i+1)-번째 반복에서 (z1(i+1),z2(i+1),…,zN(i+1))의 값들을 취한다. 이 반복은 수렴[즉, CF(z1,z2,…,zN)가 더 이상 감소하지 않음] 또는 미리 조정된 수의 반복에 도달할 때까지 계속된다.
구체적으로는, i-번째 반복에서, (z1i,z2i,…,zNi)의 부근에서,
Figure pct00013
(수학식 3)
수학식 3의 근사치 하에서, 비용 함수는 다음과 같다:
Figure pct00014
(수학식 4)
이는 디자인 변수들 (z1,z2,…,zN)의 이차 함수이다. 디자인 변수들 (z1,z2,…,zN)을 제외한 모든 항은 상수이다.
디자인 변수들 (z1,z2,…,zN)이 어떠한 제약들 하에 있지 않은 경우, (z1(i+1),z2(i+1),…,zN(i+1))는 N 개의 선형 방정식들을 풀어서 도출될 수 있다:
Figure pct00015
, 이때 n = 1,2,…,N.
디자인 변수들 (z1,z2,…,zN)이 제약들 하에서 J 개의 부등식[예를 들어, (z1,z2,…,zN)의 조절 범위들]
Figure pct00016
(j = 1,2,…,J); 및 K 개의 등식(예를 들어, 디자인 변수들 간의 상호의존성)
Figure pct00017
(k = 1,2,…,K)의 형태로 있는 경우, 최적화 공정은 전형적인 이차 프로그래밍 문제가 되며, 이때 Anj, Bj, Cnk, Dk는 상수들이다. 각각의 반복에 대하여 추가적인 제약들이 부과될 수 있다. 예를 들어, 수학식 3의 근사치가 유지되도록 (z1(i+1),z2(i+1),…,zN(i+1))와 (z1i,z2i,…,zNi) 간의 차이를 제한하기 위해 "감쇠 인자(damping factor)" ΔD가 도입될 수 있다. 이러한 제약들은 zniD ≤ zn ≤ zniD로서 표현될 수 있다. (z1(i+1),z2(i+1),…,zN(i+1))는, 예를 들어 Jorge Nocedal 및 Stephen J. Wright의 Numerical Optimization(제 2 판)(Berlin New York: Vandenberghe. Cambridge University Press)에 기술된 방법들을 이용하여 도출될 수 있다.
fp(z1,z2,…,zN)의 RMS를 최소화하는 대신에, 최적화 공정은 평가 포인트들 사이에서 그들의 의도된 값들에 대해 가장 큰 편차(최악의 결함)의 크기를 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
Figure pct00018
(수학식 5)
여기서, CLp는 fp(z1,z2,…,zN)에 대한 최대 허용 값이다. 이 비용 함수는 평가 포인트들 중에 최악의 결함을 나타낸다. 이 비용 함수를 이용하는 최적화는 최악의 결함의 크기를 최소화한다. 이 최적화를 위해 반복적인 그리디 알고리즘(greedy algorithm)이 사용될 수 있다.
수학식 5의 비용 함수는 다음과 같이 근사화될 수 있다:
Figure pct00019
(수학식 6)
이때, q는 적어도 4, 또는 적어도 10과 같은 양의 짝수 정수(even positive integer)이다. 수학식 6은 수학식 5의 형태(behavior)와 흡사하지만, 최적화로 하여금 분석적으로 실행되게 하고, 극심 하강 방법(deepest descent method), 공액 구배 방법(conjugate gradient method) 등과 같은 방법들을 이용함으로써 가속되게 한다.
또한, 최악의 결함 크기를 최소화하는 것은 fp(z1,z2,…,zN)의 선형화와 조합될 수 있다. 구체적으로, fp(z1,z2,…,zN)는 수학식 3에서와 같이 근사화된다. 이때, 최악의 결함 크기에 대한 제약들은 부등식들 ELp ≤ fp(z1,z2,…,zN) ≤ EUp로서 쓰여지며, 여기서 ELp 및 EUp는 fp(z1,z2,…,zN)에 대한 최소 및 최대 허용 편차를 특정하는 두 개의 상수들이다. 수학식 3을 대입하면(Plugging Eq. 3 in), 이러한 제약들은 p=1,…,P에 대하여 다음으로 변환된다:
Figure pct00020
(수학식 6')
Figure pct00021
(수학식 6")
수학식 3이 일반적으로 (z1,z2,…,zN)의 부근에서만 유효하기 때문에, 원하는 제약들 ELp ≤ fp(z1,z2,…,zN) ≤ EUp이 이러한 부근에서 달성될 수 없는 경우 -이는 부등식들 사이에서 여하한의 상충(conflict)에 의해 결정될 수 있음- , 상수들 ELp 및 EUp는 제약들이 달성가능할 때까지 완화될 수 있다. 이러한 최적화 공정은 (z1,z2,…,zN),i의 부근에서의 최악의 결함 크기를 최소화한다. 이때, 각각의 단계가 최악의 결함 크기를 점진적으로 감소시키며, 소정 종료 조건들이 충족될 때까지 각각의 단계가 반복적으로 실행된다. 이는 최악의 결함 크기의 최적의 감소를 유도할 것이다.
최악의 결함을 최소화하는 또 다른 방식은 각각의 반복에서 가중치 wp를 조정하는 것이다. 예를 들어, i-번째 반복 후, r-번째 평가 포인트가 최악의 결함인 경우, 그 평가 포인트의 결함 크기의 감소에 더 높은 우선순위가 주어지도록 wr이 (i+1)-번째 반복에서 증가될 수 있다.
또한, 수학식 4 및 수학식 5의 비용 함수들은 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 사이에 절충을 달성하기 위해 라그랑주 승수(Lagrange multiplier)를 도입함으로써 수정될 수 있으며, 즉 다음과 같다:
Figure pct00022
(수학식 6'")
이때, λ는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 조화를 특정하는 사전설정된 상수이다. 특히, λ=0인 경우, 이는 수학식 4가 되고, 결함 크기의 RMS만이 최소화되는 한편; λ=1인 경우, 이는 수학식 5가 되고, 최악의 결함 크기만이 최소화되며; 0<λ<1인 경우에는, 둘 모두가 최적화에서 고려된다. 이러한 최적화는 다수 방법을 이용하여 구할 수 있다. 예를 들어, 이전에 설명된 것과 유사하게 각각의 반복에서의 가중이 조정될 수 있다. 대안적으로, 부등식들로부터 최악의 결함 크기를 최소화하는 것과 유사하게, 수학식 6' 및 6"의 부등식들은 이차 프로그래밍 문제의 해결 동안 디자인 변수들의 제약들로서 여겨질 수 있다. 그 후, 최악의 결함 크기에 대한 한계들은 증분적으로(incrementally) 완화되거나 최악의 결함 크기에 대한 가중치를 증분적으로 증가시킬 수 있고, 달성가능한 모든 최악의 결함 크기에 대한 비용 함수 값을 연산할 수 있으며, 다음 단계를 위한 초기 지점으로서 총 비용 함수를 최소화하는 디자인 변수 값들을 선택할 수 있다. 이를 반복적으로 수행함으로써, 이 새로운 비용 함수의 최소화가 달성될 수 있다.
리소그래피 투영 장치를 최적화하는 것이 공정 윈도우를 확장할 수 있다. 더 큰 공정 윈도우는 공정 디자인 및 칩 디자인에 더 많은 유연성을 제공한다. 공정 윈도우는 한 세트의 포커스 및 도즈 값들로서 정의될 수 있으며, 이에 대해 레지스트 이미지는 레지스트 이미지의 디자인 타겟의 소정 한계 내에 있다. 본 명세서에 설명된 모든 방법들은, 노광 도즈 및 디포커스 이외에 상이한 또는 추가적인 기저 파라미터들에 의해 확립될 수 있는 일반화된 공정 윈도우 정의로 연장될 수도 있다는 것을 유의한다. 이들은 광학 세팅들, 에컨대 NA, 시그마, 수차, 편광, 또는 레지스트 층의 광학 상수들을 포함할 수 있으며, 이에 제한되지는 않는다. 예를 들어, 앞서 설명된 바와 같이, 공정 윈도우(PW)가 상이한 마스크 편향을 포함하는 경우, 최적화는 MEEF의 최소화를 포함하며, 이는 기판 EPE와 유도된 마스크 에지 편향 간의 비로서 정의된다. 포커스 및 도즈 값들에 대해 정의된 공정 윈도우는 단지 본 명세서에서 일 예시로서 제공된다. 일 예시에 따라 공정 윈도우를 최대화하는 방법이 아래에 설명된다.
제 1 단계에서, 공정 윈도우의 알려진 조건(f00)으로부터 시작하며, f0는 공칭 포커스이고, ε0는 공칭 도즈이며, 부근 (f0±Δf,ε0±ε)에서 아래의 비용 함수들 중 하나를 최소화한다:
Figure pct00023
(수학식 7)
또는
Figure pct00024
(수학식 7')
또는
Figure pct00025
(수학식 7")
공칭 포커스(f0) 및 공칭 도즈(ε0)가 시프트하도록 허용되는 경우, 이들은 디자인 변수들 (z1,z2,…,zN)과 공동으로 최적화될 수 있다. 다음 단계에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN,f,ε)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±ε)가 용인된다.
포커스 및 도즈가 시프트하도록 허용되지 않는 경우, 디자인 변수들 (z1,z2,…,zN)은 공칭 포커스(f0) 및 공칭 도즈(ε0)에 고정된 포커스 및 도즈로 최적화된다. 대안적인 예시에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±ε)가 용인된다.
본 명세서에서 이전에 설명된 방법들은 수학식들 7, 7' 또는 7"의 각각의 비용 함수들을 최소화하기 위해 사용될 수 있다. 디자인 변수들이 제르니케 계수와 같은 투영 광학기의 1 이상의 특성을 나타내는 경우, 수학식들 7, 7' 또는 7"의 비용 함수들을 최소화하는 것은 투영 광학기 최적화, 즉 LO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 투영 광학기의 특성들에 추가하여 조명 및 패터닝 디바이스의 1 이상의 특성을 나타내는 경우, 수학식들 7, 7' 또는 7"의 비용 함수를 최소화하는 것은 도 11에 예시된 바와 같은 SMLO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 소스 및 패터닝 디바이스의 1 이상의 특성을 나타내는 경우, 수학식들 7, 7' 또는 7"의 비용 함수들을 최소화하는 것은 SMO에 기초한 공정 윈도우 최대화를 유도한다. 또한, 수학식들 7, 7' 또는 7"의 비용 함수들은 본 명세서에 설명된 바와 같은 적어도 하나의 fp(z1,z2,…,zN)를 포함할 수 있으며, 이는 LWR, 2D 피처들의 국부적 CD 변동, 및/또는 스루풋과 같은 1 이상의 확률적 변동의 함수이다.
도 14는 동시 SMLO 공정이 최적화를 위한 가우스 뉴턴 알고리즘을 사용할 수 있는 방식의 특정한 일 예시를 나타낸다. 단계 S702에서, 1 이상의 디자인 변수의 시작 값들이 식별된다. 또한, 각각의 변수에 대한 조절 범위가 식별될 수 있다. 단계 S704에서, 1 이상의 디자인 변수를 이용하여 비용 함수가 정의된다. 단계 S706에서, 비용 함수는 디자인 레이아웃의 모든 평가 포인트들에 대한 시작 값들 주위에서 확장된다. 선택적인 단계 S710에서, 풀-칩 디자인 레이아웃의 모든 임계 패턴들을 포괄하도록 풀-칩 시뮬레이션이 실행된다. 단계 S714에서 (CD 또는 EPE와 같은) 원하는 리소그래피 반응 메트릭이 얻어지며, 단계 S712에서 이러한 양들의 예측 값들과 비교된다. 단계 S716에서, 공정 윈도우가 결정된다. 단계들 S718, S720 및 S722는 도 13a를 참조하여 설명된 바와 같은 대응하는 단계들 S514, S516 및 S518과 유사하다. 앞서 언급된 바와 같이, 최종 출력은 예를 들어 원하는 이미징 성능을 생성하도록 최적화된, 퓨필 평면에서의 파면 수차 맵일 수 있다. 예를 들어, 최종 출력은 최적화된 조명 맵 및/또는 최적화된 디자인 레이아웃일 수 있다.
도 13b는 디자인 변수들 (z1,z2,…,zN)이 단지 이산 값(discrete value)들을 가정할 수 있는 디자인 변수들을 포함하는 비용 함수를 최적화하는 예시적인 방법을 나타낸다.
상기 방법은 패터닝 디바이스의 패터닝 디바이스 타일(tile)들 및 조명의 픽셀 그룹(pixel group)들을 정의함으로써 시작한다(단계 802). 일반적으로, 픽셀 그룹 또는 패터닝 디바이스 타일은 리소그래피 공정 구성요소의 구획(division)이라고 칭해질 수도 있다. 한가지 예시적인 접근법에서, 실질적으로 앞서 설명된 바와 같이, 조명은 117 개의 픽셀 그룹들로 나누어지고, 패터닝 디바이스에 대해 94 개의 패터닝 디바이스 타일들이 정의되어, 총 211 개의 구획들이 유도된다.
단계 804에서, 리소그래피 시뮬레이션을 위한 기초로서 리소그래피 모델이 선택된다. 리소그래피 시뮬레이션은 1 이상의 리소그래피 메트릭들의 계산들 또는 반응들에 사용되는 결과들을 생성한다. 특정 리소그래피 메트릭이 최적화될 성능 메트릭인 것으로 정의된다(단계 806). 단계 808에서, 조명 및 패터닝 디바이스에 대한 초기[최적화 전(pre-optimization)] 조건들이 설정된다. 초기 조건들은 조명의 픽셀 그룹들 및 패터닝 디바이스의 패터닝 디바이스 타일들에 대한 초기 상태들을 포함하여, 초기 조명 형상 및 초기 패터닝 디바이스 패턴이 참조될 수 있도록 한다. 또한, 초기 조건들은 마스크 편향, NA, 및/또는 포커스 램프(focus ramp) 범위를 포함할 수 있다. 단계들 802, 804, 806 및 808은 순차적인 단계들로서 도시되지만, 다른 예시들에서 이 단계들은 다른 순서들로 수행될 수 있음을 이해할 것이다.
단계 810에서, 픽셀 그룹들 및 패터닝 디바이스 타일들이 등급화된다(rank). 픽셀 그룹들 및 패터닝 디바이스 타일들은 등급화에 있어서 인터리빙(interleave)될 수 있다. 등급화하는 다양한 방식들이 채택될 수 있으며, 이는: 순차적으로(예를 들어, 픽셀 그룹 1부터 픽셀 그룹 117까지, 또한 패터닝 디바이스 타일 1부터 패터닝 디바이스 타일 94까지), 무작위로, 픽셀 그룹들 및 패터닝 디바이스 타일들의 물리적 위치들에 따라(예를 들어, 조명의 중심에 가까운 픽셀 그룹들의 등급을 더 높게 매김), 및/또는 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 성능 메트릭에 어떻게 영향을 주는지에 따라 수행하는 것을 포함한다.
일단 픽셀 그룹들 및 패터닝 디바이스 타일들이 등급화되면, 조명 및 패터닝 디바이스는 성능 메트릭을 개선하도록 조정된다(단계 812). 단계 812에서, 픽셀 그룹 또는 패터닝 디바이스 타일의 변경이 개선된 성능 메트릭을 유도할지를 판단하기 위해, 등급 상의 순서대로(in order of ranking), 픽셀 그룹들 및 패터닝 디바이스 타일들 각각이 분석된다. 성능 메트릭이 개선될 것으로 판단되는 경우, 픽셀 그룹 또는 패터닝 디바이스 타일이 이에 따라 변경되고, 결과적인 개선된 성능 메트릭 및 수정된 조명 형상 또는 수정된 패터닝 디바이스 패턴이 하위-등급의 픽셀 그룹들 및 패터닝 디바이스 타일들의 후속한 분석들에 대한 비교를 위해 기준치를 형성한다. 다시 말하면, 성능 메트릭을 개선하는 변경들이 유지된다. 픽셀 그룹들 및 패터닝 디바이스 타일들의 상태에 대한 변경들이 이루어지고 유지됨에 따라, 초기 조명 형상 및 초기 패터닝 디바이스 패턴은 이에 따라 변화하여, 수정된 조명 형상 및 수정된 패터닝 디바이스 패턴이 단계 812의 최적화 공정으로부터 발생하도록 한다.
다른 접근법들에서는, 패터닝 디바이스 다각형 형상 조정들 및 픽셀 그룹들 및/또는 패터닝 디바이스 타일들의 쌍별 폴링(pairwise polling)이 812의 최적화 공정 내에서 수행된다.
일 예시에서, 인터리빙된 동시 최적화 과정은 조명의 픽셀 그룹을 변경하는 것을 포함할 수 있고, 성능 메트릭의 개선이 발견되는 경우, 추가 개선을 구하도록 도즈 또는 세기가 증가 및/또는 감소된다. 또 다른 예시에서, 도즈 또는 세기의 증가 및/또는 감소는 패터닝 디바이스 패턴의 편향 변화로 대체되어, 동시 최적화 과정에서 추가 개선을 구할 수 있다.
단계 814에서, 성능 메트릭이 수렴하였는지의 여부에 대해 판단된다. 성능 메트릭은, 예를 들어 단계들 810 및 812의 마지막 몇 번의 반복들에서 성능 메트릭에 대한 개선이 거의 또는 전혀 목격되지 않은 경우에 수렴한 것으로 간주될 수 있다. 성능 메트릭이 수렴하지 않은 경우, 810 및 812의 단계들은 다음 반복에서 되풀이되고, 이때 현재 반복으로부터의 수정된 조명 형상 및 수정된 패터닝 디바이스가 다음 반복을 위한 초기 조명 형상 및 초기 패터닝 디바이스로서 사용된다(단계 816).
앞서 설명된 최적화 방법들은 리소그래피 투영 장치의 스루풋을 증가시키기 위해 사용될 수 있다. 예를 들어, 비용 함수는 노광 시간의 함수인 fp(z1,z2,…,zN)를 포함할 수 있다. 일 예시에서, 이러한 비용 함수의 최적화는 확률적 변동의 측정 또는 다른 메트릭에 의해 한정되거나 영향을 받는다. 구체적으로는, 리소그래피 공정의 스루풋을 증가시키는 컴퓨터-구현된 방법이 노광 시간을 감소시키거나 최소화하기 위해 기판의 노광 시간의 함수 및 리소그래피 공정의 1 이상의 확률적 변동의 함수인 비용 함수를 최적화하는 단계를 포함할 수 있다.
일 예시에서, 비용 함수는 1 이상의 확률적 변동의 함수인 적어도 하나의 fp(z1,z2,…,zN)를 포함한다. 1 이상의 확률적 변동은 2D 피처들의 LWR 및/또는 국부적 CD 변동을 포함할 수 있다. 일 예시에서, 1 이상의 확률적 변동은 에어리얼 이미지 또는 레지스트 이미지의 1 이상의 특성의 1 이상의 확률적 변동을 포함한다. 예를 들어, 이러한 확률적 변동은 라인 에지 거칠기(LER), 라인 폭 거칠기(LWR) 및/또는 국부적 임계 치수 균일성(LCDU)을 포함할 수 있다. 비용 함수에 1 이상의 확률적 변동을 포함하는 것이 1 이상의 확률적 변동을 최소화하는 1 이상의 디자인 변수의 값들을 찾게 하여, 확률적 변동으로 인한 결함들의 위험을 감소시킨다.
도 15a는 일 예시에 따른, 특성의 확률적 변동(예를 들어, LER) 또는 확률적 변동의 함수이거나 이에 영향을 미치는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기초하여 에어리얼 이미지 또는 레지스트 이미지의 핫 스폿을 식별하는 방법에 대한 흐름도를 나타낸다. 선택적인 단계 2510에서, 에어리얼 이미지 또는 레지스트 이미지의 특성(예를 들어, 에지 위치)에 대한 확률적 변동(예를 들어, LER)의 함수이거나 이에 영향을 미치는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)의 값이 얻어진다. 단계 2520에서, 특성의 확률적 변동(예를 들어, LER)의 값이 (예를 들어, 변수의 값으로부터) 얻어진다. 단계 2530에서, 특성의 범위가 얻어진다. 범위는 여하한의 적절한 제한으로 인할 수 있다. 예를 들어, 확률적 변동이 LER인 경우, 범위는 디자인 레이아웃의 패턴의 지오메트리에 의해 좌우될 수 있다. 예를 들어, LER의 최대값은 에지로부터 그 이웃 에지까지의 갭의 폭을 초과하지 않을 수 있다. 단계 2540에서, 확률적 변동의 값은 범위와 비교된다. 확률적 변동이 범위를 초과하는 경우, 특성은 단계 2550에서 핫 스폿으로서 식별된다. 핫 스폿으로서 식별된 그 특성에 대해, 확률적 변동을 감소시키는 최적화와 같은 추가 처리가 수행될 수 있다.
도 15b는 일 예시에 따른, 에어리얼 이미지 또는 레지스트 이미지의 특성(예를 들어, 에지 위치)의 확률적 변동(예를 들어, LER) 또는 확률적 변동의 함수이거나 이에 영향을 미치는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)에 기초하여 에어리얼 이미지 또는 레지스트 이미지의 핫 스폿을 식별하는 방법에 대한 흐름도를 나타낸다. 단계 2610에서, 특성의 범위가 얻어진다. 단계 2620에서, 특성의 범위에 기초하여, 확률적 변동(예를 들어, LER)의 범위 또는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)의 범위가 얻어진다. 단계 2630에서, 확률적 변동의 값 또는 변수의 값이 얻어진다. 단계 2640에서, 확률적 변동의 값 또는 변수의 값은 그 각각의 범위와 비교된다. 확률적 변동의 값 또는 변수의 값이 그 각각의 범위를 초과하는 경우, 특성은 단계 2650에서 핫 스폿으로서 식별된다. 핫 스폿으로서 식별된 그 특성에 대해, 확률적 변동을 감소시키는 최적화와 같은 추가 처리가 수행될 수 있다.
도 16은 일 예시에 따른, 에어리얼 이미지 또는 레지스트 이미지의 1 이상의 특성(예를 들어, 에지 위치)의 확률적 변동(예를 들어, LER)을 감소시키는 방법에 대한 흐름도를 나타낸다. 단계 2710에서, 예를 들어 도 15a 또는 도 15b의 방법을 이용하여, 디자인 레이아웃의 부분으로부터 핫 스폿으로서 1 이상의 특성을 식별함으로써 이를 얻는다. 단계 2720에서, 예를 들어, 적어도 확률적 변동 또는 확률적 변동의 함수이거나 이에 영향을 미치는 변수(예를 들어, bl_ILS, ILS, 또는 NILS)를 나타내는 비용 함수를 이용함으로써 1 이상의 특성의 확률적 변동을 감소시킨다. 단계 2730에서, 디자인 레이아웃의 부분으로부터 핫 스폿을 재-식별한다. 단계 2740에서, 핫 스폿이 식별되는지를 판단한다. 핫 스폿이 식별되는 경우, 단계 2750으로 진행한다; 식별되지 않는 경우, 방법이 종료된다. 단계 2750에서, 최적화의 1 이상의 파라미터(예를 들어, δ 및/또는 사용자-선택 오프셋)를 변화시키고, 단계 2720을 반복하며, 변화된 1 이상의 파라미터로 최적화를 수행한다. 대안예에서, 1 이상의 파라미터는 디자인 레이아웃의 일부일 수 있으며, 단계 2740 및 단계 2750은 제거될 수 있다.
도 17은 본 명세서에 개시된 최적화 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 예시에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 예시에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크들을 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 예를 들어, 하나의 이러한 다운로드된 어플리케이션은 예시의 조명 최적화에 대해 제공될 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 18은 본 명세서에 설명된 방법들을 이용하여 조명이 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 패터닝 디바이스를 가짐). 하지만, 일반적으로, 이는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 상기 장치는 전형적인 마스크와 상이한 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser), LPP(레이저 생성 플라즈마) EUV 소스]는 방사선 빔을 생성한다. 이 빔은 곧바로 또는, 예를 들어 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 18과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭계 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 18에 명확히 도시되지는 않는다. 하지만, [스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로] 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단지 단-행정 액추에이터에 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PS)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 19는 본 명세서에 설명된 방법들을 이용하여 조명이 최적화될 수 있는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시한다.
리소그래피 투영 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 패터닝 디바이스를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 19를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 19에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스(facetted field and pupil mirror device)들과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 20은 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 예시에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부(opening) 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 20에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 20에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 21에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강력한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
미국 특허 출원 공개공보 US 2013-0179847호가 본 명세서에서 그 전문이 인용참조된다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥(emerging) 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
실시예들은 일반적으로 기판 상의 디바이스들의 제조 공정들 중 어느 것을 개선하기 위해 이미지 관련 메트릭을 사용하는 기술들을 제공한다. 앞서 설명된 기술들은 리소그래피 장치를 사용하여 기판 상에 디자인 레이아웃의 일부분을 이미징하는 특정 리소그래피 공정을 개선하는 특정 적용예에 대해 설명되었다. 실시예들은 더 일반적으로 기판의 1 이상의 이미지로부터 결정된 이미지 관련 메트릭에 따라 기판의 제조 동안 수행되는 여하한의 공정들에서 제어 파라미터들의 결정을 개선하는 기술들을 제공한다. 각각의 이미지는 이미징 디바이스, 전형적으로 e-빔 기반 메트롤로지 장치의 FOV(field of view) 내의 기판의 일부일 수 있다. 이러한 e-빔 장치(예를 들어, HMI에 의해 제조됨)는 통상적으로 10 ㎛ x 10 ㎛ FOV를 갖는다. 실시예들의 기술들에 의해 개선될 수 있는 공정들은: 리소그래피 공정, 스캐닝 공정, 전처리 공정, 레지스트 코팅 공정, 소프트 베이크 공정, 노광-후 베이크 공정, 현상 공정, 하드 베이크 공정, 측정/검사 공정, 에칭 공정, 이온-주입 공정, 금속화 공정, 산화 공정 및 화학-기계적 연마 공정 중 어느 하나를 포함한다. 앞서 설명된 모든 예시들에서의 설명된 기술들은 이 공정들에 대해 이미지 관련 메트릭에 따라 개선된 제어 파라미터들을 결정하는 데 사용될 수 있다.
도 24a 및 도 24b는 실시예들에 따른 제어 파라미터들을 결정하고 공정들을 제어하는 전반적인 과정들을 나타낸다. 도 24a 및 도 24b에는, 전산 메트롤로지(computational metrology) 및 제어 과정들이 존재한다. 전산 과정들은 기판의 1 이상의 이미지를 얻는 단계를 포함하고, 각각의 이미지는 기판의 일부의 FOV이다. 얻어진 이미지는 기판 상에 제조되는 디바이스에 의해 포함되는 피처들을 포함한다. 이미지 관련 메트릭들이 피처들의 윤곽과 같은 피처들의 속성에 의존하여 계산된다. 그 후, 피처들의 제조 공정에서 과정들을 제어하는 제어 파라미터들이 이미지 관련 메트릭들에 의존하여 결정된다.
도 24a에서, 이미지 관련 메트릭들은 1 이상의 기판 상의 복수의 피처들에 대해 계산된다. 도 24b에서, 이미지 관련 메트릭들은 1 이상의 기판의 복수의 층들 상의 복수의 피처들에 대해 계산된다.
도 25는 기판 상의 피처들의 이미지를 나타낸다. 이미지는, 예를 들어 기판 상의 10 ㎛ × 10 ㎛ 영역을 나타낼 수 있다. 이미지 내의 굵은 라인은 피처들 중 하나의 타겟 윤곽이다. 피처의 이상적인 형상은 직사각형일 수 있지만, 타겟 윤곽은 곡선/둥근 형상이며, 이는 제조될 수 있는 직사각형에 가장 근접한 가능한 형상이고, 이에 따라 실제로 달성될 수 있는 최상의 윤곽이기 때문이다. 도 25에 나타낸 피처들 중 하나에 대해, 이미지는 피처의 복수의 이미지들의 적층된 이미지(stacked image)로서 구성되었다. 적층된 이미지들은 각각 기판의 상이한 층들에서의 동일한 피처의 이미지들, 기판의 동일한 층 상의 복수의 피처들의 이미지들, 복수의 기판들 상의 피처들의 이미지들 및/또는 기판의 동일한 층 상의 동일한 피처이지만 상이한 이미징 디바이스들에 의해 취해진 이미지들 중 1 이상으로부터 얻어졌을 수 있다. 도 25에 나타낸 바와 같이, 동일한 피처의 복수의 이미지들을 타겟 윤곽과 적층함으로써, 확률적 변동들이 측정될 수 있다. 하지만, 실시예들은 또한 피처의 윤곽의 하나의 이미지만의 타겟 윤곽과의 비교를 포함한다.
이미지 관련 메트릭들은 피처의 윤곽과 대응하는 타겟 윤곽 사이의 차이들에 의존하여 계산될 수 있다. 피처의 윤곽들과 타겟 윤곽 사이의 차이들은 임계 치수 균일성(CDU), 라인 폭 거칠기(LWR) 및 오버레이 오차와 같은 잘-알려진 복수의 특정 이미지 관련 메트릭들에 의해 측정될 수 있다. 하지만, 바람직한 이미지 관련 메트릭은 에지 배치 오차(EPE)인데, 이는 이 메트릭이 피처의 윤곽들과 타겟 윤곽 사이의 차이들의 전반적인 표현을 제공하기 때문이다.
바람직한 실시예들에서, 각각의 피처의 윤곽은 복수의 세그먼트들로 분할되고 세그먼트들 각각은 대응하는 가중치를 갖는다. 윤곽이 세그먼트들로 분할되는 방식 및 각각의 세그먼트의 가중치는 이미지 처리 프로그램에 의해 자동으로 정의되거나 사용자에 의해 수동으로 정의될 수 있다. 세그먼트화(segmentation) 및 가중(weighting)은: 피처의 형상, 피처의 세그먼트에 대한 다른 피처들의 근접, 다른 층들 상의 피처들에 대한 피처의 위치설정, 윤곽에 대한 공차 값, 디바이스의 올바른 제조를 위한 윤곽의 올바른 위치설정의 중요성, 이미지 관련 메트릭의 공차 값, 및 제어 파라미터들의 변화에 대한 세그먼트 또는 세그먼트의 이미지 관련 메트릭의 민감도를 포함한 다수의 인자들에 의존할 수 있다.
피처의 윤곽들에 영향을 주는 제어가능한 파라미터들은: 포커스, 도즈, 조명 퓨필 형상(예를 들어, 타원형), 수차들(예를 들어, 코마, 구면, 비점수차), 에칭률 및 다른 제어가능한 파라미터들을 포함할 수 있다. 각각의 제어가능한 파라미터에 대해, 윤곽의 세그먼트들 각각의 민감도가 결정된다. 민감도들은, 예를 들어 제어 파라미터들에 대한 알려진 반응들의 시뮬레이션 또는 측정에 의해 결정될 수 있다.
피처의 이미지 관련 메트릭은 각각의 세그먼트의 이미지 관련 메트릭 및 각각의 세그먼트의 가중치에 의존하여 계산된다.
피처에 대한 더 적절한 제어 파라미터들을 결정하기 위해, 제어 파라미터들의 변화에 대한 피처의 세그먼트들의 민감도들은 피처의 이미지 관련 메트릭에 대한 제어 파라미터들을 변화시키는 효과를 시뮬레이션하는 데 사용될 수 있다. 그러므로, 피처의 이미지 관련 메트릭을 최소화하기 위해 제어 파라미터들이 결정될 수 있다.
피처의 계산된, 및 최소화된 이미지 관련 메트릭은 바람직하게는 피처의 EPE이다.
대안적인 실시예에서, 피처의 이미지 관련 메트릭은 피처가 분할되지 않고 피처의 전체 윤곽의 대응하는 타겟 윤곽과의 비교에 의존하여 생성된다. 제어 파라미터들은 설명된 것과 유사한 방식이지만 유도되는 세그먼트 레벨에서의 영향들 없이 전체 윤곽의 이미지 관련 메트릭을 최소화하기 위해 결정된다.
실시예들은 이미지 내의 복수의 피처들 각각에 의존하여 이미지의 이미지 관련 메트릭을 결정하는 단계를 포함한다. 이미지에 수천 개 이상의 피처들이 존재할 수 있으며, 이미지 관련 메트릭들은 이들 중 일부 또는 전부에 대해 계산될 수 있다. 피처의 각각의 이미지 관련 메트릭은 앞서 설명된 바와 같이 윤곽의 세그먼트화 없이 또는 피처의 윤곽의 세그먼트들의 가중치들에 의존하여 계산될 수 있다. 시야 내의 복수의 피처들 각각에 가중치가 할당된다. 각각의 피처의 가중치는 디바이스의 올바른 제조를 위한 각각의 피처의 중요성 및 핫 스폿에 대한 피처의 근접과 같은 다수의 인자들에 의존할 수 있다. 그 후, 이미지의 이미지 관련 메트릭이 각각의 피처의 이미지 관련 메트릭 및 각각의 피처의 가중치에 의존하여 생성된다. LELE(Litho-Etch-Litho-Etch)와 같이 다수 노광들이 사용된 경우, 두 노광들 사이의 패턴 시프트/오버레이가 연산될 수 있다. 그 후, 제어 파라미터들의 최적화 과정이 수행되어, 이미지의 이미지 관련 메트릭을 최소화한다. 이미지의 이미지 관련 메트릭은 바람직하게는 이미지의 EPE이다.
실시예들은 기판의 복수의 이미지들 각각에 의존하여 기판의 이미지 관련 메트릭을 결정하는 단계를 포함한다. 이미지들은 기판 상의 복수의 위치들에서 얻어질 수 있다. 바람직하게는, 이미지들은 기판의 적절한 핑거프린트를 제공하는 위치들에서 얻어진다. 각각의 이미지의 이미지 관련 메트릭들은 앞서 설명된 바와 같이 계산될 수 있다. 기판의 이미지 관련 메트릭은 이미지들의 이미지 관련 메트릭들에 의존하여 결정된다. 기판의 이미지 관련 메트릭은 바람직하게는 기판의 EPE이다.
기판 상의 피처들의 제조 공정 동안 제어 파라미터들의 범위 및 변화에 대한 제한들이 결정된다. 예를 들어, 디바이스의 제조 동안, 포커스가 변화될 수 있는 비율 및 제조 속도로 인해 기판 상의 2 개의 상이한 위치들 사이에서 포커스가 변화할 수 있는 정도에 한계가 있을 것이다. 실시예들은 기판의 이미지 관련 메트릭들이 최소화되도록 제어 파라미터들에 대한 최적화 프로세스를 수행하기 위해 제어 파라미터들의 결정된 제한들을 사용한다.
유리하게는, 제어 파라미터들은 기판의 EPE와 같은 기판의 이미지 관련 메트릭을 최소화하기 위해 결정된다. 윤곽들의 세그먼트들이 각각의 세그먼트의 적절한 가중에 따라 기판의 EPE에 기여한다.
또한, 실시예들은 복수의 기판들의 이미지 관련 메트릭 및 복수의 기판들 사이의 제어 파라미터들에 대한 제한들에 의존하여 이미지 관련 메트릭을 생성 및 최소화하는 단계를 포함한다. 각각의 이미지 및/또는 기판의 이미지 관련 메트릭은, 예를 들어 디바이스의 올바른 제조를 위한 그 중요성에 의존하여 가중될 수 있다. 그 후, 기판, 또는 복수의 기판들의 이미지 관련 메트릭은 가중치들에 의존하여 계산될 수 있다.
실시예들은 기판의 복수의 층들에 걸쳐 디바이스의 피처들의 제어 파라미터들을 개선하기에 특히 적절하다. 예를 들어, 도 26은 인접한 층 상의 피처 위에 위치되어야 하는 한 층의 비아를 나타낸다. 이러한 다층 상황들에서, 이미지 관련 메트릭은 피처들의 겹치는 영역(overlapping area)에 의존하여 결정된다. 그러므로, 이미지 관련 메트릭의 최적화 프로세스는 피처들 사이의 오버랩(overlap), 즉 또 다른 층의 피처 위에 비아를 위치시키는 것을 최대화하는 제어 파라미터들을 결정할 것이다.
일 실시예에서, EPE는 이미지 관련 메트릭으로서 계산되고 백분율로서 표현된다. 예를 들어, 도 27a 내지 도 27d는 피처 윤곽들과 타겟 윤곽들 사이의 상이한 관계들을 나타낸다. 도 27a에서, EPE는 피처 윤곽과 타겟 윤곽의 겹치는 영역의 비로서 정의될 수 있고, 백분율로서 표현된다. 도 27b에서, EPE는 너무 작은 피처 윤곽으로 인해 크다. 도 27c에서, EPE는 너무 큰 피처 윤곽으로 인해 크다. 도 27d에서, EPE는 타겟 윤곽에 대해 시프트되어 있는 피처 윤곽에 의해 영향을 받는다. 도 27a 내지 도 27d에 나타낸 피처 윤곽과 타겟 윤곽 사이의 상이한 관계들은 제어 파라미터들에 의해 제어 및 변경될 수 있다. 앞서 설명된 바와 같이 이미지/FOV의 모든 피처들에 의존하여 EPE를 계산하고 최적화함으로써, 더 적절한 제어 파라미터들이 결정된다.
실시예들은 도즈 프로파일들을 개선하기 위해 이미지 관련 메트릭들을 사용하는 것을 포함한다. 특정한 단일 오차 측정인 전역적 임계 치수 균일성(GCDU)에 의존하여 도즈 프로파일이 제어되는 것으로 알려져 있다. 하지만, 이 전역적 파라미터에 의존하여 제어 파라미터들을 결정하는 것은 국부적 효과들이 포커스 및 도즈 의존적이더라도 국부적 효과들에 의존하여 제어 파라미터들이 결정되지 않기 때문에 더 심한 EPE 오차를 유도하는 것으로 보여질 수 있다.
일 실시예에 따르면, 도즈 프로파일들은 국부적 이미지 관련 메트릭, 또는 국부적 이미지 관련 메트릭 및 전역적 이미지 관련 메트릭 모두에 의존적인 이미지 관련 메트릭에 의존하여 결정된다. 예를 들어, 실시예들은:
- GCDU 및 라인 폭 거칠기(LWR) 및/또는 국부적 임계 치수 균일성(LCDU) 둘 다의 함수;
- 임계적, 즉 중요한 피처들에 대한 LWR 및/또는 LCDU의 함수; 또는
- 리소그래피 및 비-리소그래피 CD 외란 소스(disturbance source)들의 임계 치수(CD) 진폭
중 어느 하나에 기초하여 계산된 EPE를 최소화하는 제어 파라미터들을 결정함으로써 최적화되는 도즈 프로파일들을 포함한다.
일 실시예는 이미지 관련 메트릭으로서 EPE를 사용한다. EPE는 (실증적 연구들에 기초한) 다음의 단순화된 근사 공식을 사용하여 계산될 수 있다:
Figure pct00026
그러므로, EPE는 전역적 파라미터 GCDU 및 국부적 파라미터 LCDU 모두에 의존적이다. 실시예들은 특정 적용들 및 유스케이스(use case)에 적절할 수 있는 앞선 공식에서 사용되는 다른 계수들을 포함한다. 전역적 이미지 관련 메트릭들에만 기초하는 알려진 기술들 대신에 실시예들에 따른 기술들을 사용함으로써, EPE가 상당히 감소될 수 있다.
또한, 실시예들은 EPE를 최소화하기 위해 스캐너에 의한 도즈 프로파일 및 에칭 장치에 대한 에칭 공정 레시피의 조합을 결정하기 위해 앞선 기술들을 사용하는 단계를 포함한다.
또한, 실시예들은 2 이상의 제어 파라미터들의 값들을 동시-결정하는 단계를 포함한다. 제어 파라미터들을 동시-결정함으로서, 제어 파라미터들의 조합된 효과들 및 제어 파라미터들의 효과들의 상호의존성이 수율을 개선하거나 여하한의 다른 목표에 대해 최적화하기 위한 제어 파라미터들의 결정을 유리하게 개선하는 데 사용될 수 있다.
특히, 실시예들은 적용되는 포커스 및 도즈의 값들을 동시-결정하는 것을 포함한다. 포커스 및 도즈가 동시-결정되는 경우, 적용되는 포커스 및 도즈에 의해 보정될 수 있는 편차들의 범위가 증가된다. 예를 들어, 편차를 보정하는 데 필요한 포커스가 적용가능한 포커스 범위를 벗어날 수 있다. 하지만, 포커스뿐 아니라 적용되는 도즈를 추가로 조정하는 것으로부터의 조합된 효과에 의해 편차가 여전히 보정가능할 수 있다. 이는 큰 포커스 변화들이 필요할 수 있는 기판의 에지에서 이미지 관련 메트릭들을 개선하는 데 특히 유리하다.
또한, 적용될 도즈의 결정된 값은 적용될 포커스의 결정된 값에 의존적일 수 있으며, 적용될 포커스의 결정된 값은 적용될 도즈의 값에 의존적일 수 있다. 유리하게는, 기판의 특정 부분에서 CD와 같은 이미지 관련 메트릭을 최소화하기 위해 독립적으로 결정된 최적 포커스 및 도즈를 적용하는 대신에, 독립적으로 결정된 포커스 값과는 상이한 포커스 값이 적용될 수 있고, 이미지 관련 메트릭이 여전히 적적하도록 적용되는 도즈가 조정될 수 있다. 이의 효과는, 기판의 여하한의 특정 부분에서 적용될 수 있는 적용되는 포커스 및 도즈의 범위가 증가된다는 것이다.
적용되는 포커스 및 적용되는 도즈 둘 다에 대한 이미지 메트릭 CD의 의존성은 수학식: CD = a*도즈 + b*포커스^2에 의해 근사될 수 있다.
따라서, 원하는 CD가 여전히 달성되는 상태에서 도즈의 변화에 의해 포커스의 변화가 보상될 수 있으며, 그 역도 마찬가지이다. 앞선 수학식의 파라미터들은 경험적으로 또는 다른 기술들에 의해 결정될 수 있다. 추가적으로, 알려진 기술들은 도즈 및 포커스의 상호의존성 및 조합된 효과들을 모델링하는 데 사용될 수 있다.
기판 상의 피처들의 제조 공정 동안, 적용되는 포커스가 변화될 수 있는 비율, 적용되는 포커스가 변화될 수 있는 범위, 적용되는 도즈가 변화될 수 있는 비율 및 적용되는 도즈가 변화될 수 있는 범위에 대한 제한들이 존재한다. 이 제한들의 결과는, 기판의 각각의 부분에서 포커스 또는 도즈의 개별적 최적 값을 적용하는 것이 항상 가능하지는 않다는 것이다. 하지만, 앞서 설명된 바와 같이, 본 실시예는 유리하게는 기판의 여하한의 특정 부분에서 적용될 수 있는 적절한 포커스 및 도즈의 범위를 증가시키고, 이는 앞선 제한들의 효과를 감소시킨다. 그러므로, 포커스 및 도즈 프로파일들을 동시-결정하는 것은 적용되는 포커스가 적용되는 도즈와 독립적으로 결정되는 경우보다 증가된 전체 수율을 제공할 수 있다.
또한, 실시예들은 2보다 많은 제어 파라미터들의 동시-결정을 포함한다. 예를 들어, 포커스를 변화시키는 효과는 콘트라스트(contrast)가 적절하지 않은 값으로 변화되게 할 수도 있다. 특히, 낮은 콘트라스트 적용들로는, 허용가능한 콘트라스트 감소가 작을 수 있다. 그러므로, 포커스, 도즈 및 콘트라스트 모두가 바람직하게는 동시-결정된다. 추가적으로, 포커스 및 도즈는 오버레이 제어 및/또는 콘트라스트와 동시-결정될 수 있다.
실시예들은 포커스 및 도즈의 동시-결정에 제한되지 않으며, 실시예들은 여하한의 제어 파라미터들의 동시-결정을 포함한다. 제어 파라미터들의 조합된 및 상호의존적 효과들은 알려진 기술들을 사용하여 모델링될 수 있고, 여하한의 메트릭에 따라 제어 파라미터들을 최적화하는 데 사용될 수 있다. 특히, 실시예들은 CD를 최적화하기 위해 동시-결정되는 제어 파라미터들로 제한되지 않는다. 제어 파라미터들은 EPE, 수율, 및/또는 GCDU 및 LCDU와 같은 국부적 및 전역적 메트릭들의 조합과 같은 여하한의 메트릭을 최적화하기 위해 동시-결정될 수 있다.
본 실시예는 기판에 걸친 LCDU 변동을 감소시키는 데 특히 유리하다. 바람직하게는, 큰 LCDU 변동을 갖는 기판의 구역들이 결함들을 포함할 가능성이 높고 이에 따라 수율을 감소시키기 때문에, 기판에 걸친 LCDU 변동이 거의 없거나 전혀 없다.
LCDU는 포커스 및 도즈와 같은 이미징 메트릭들에 의존적이다. 실시예들에 따르면, 적용되는 포커스 및 도즈는 기판에 걸친 총 CDU 예산에 대한 LCDU의 기여를 최소화하기 위해 기판 상의 피처들의 제조 공정 동안 포커스 및 도즈가 조정되도록 LCDU에 대한 그 효과에 의존하여 결정된다.
특히, 피처의 LCDU는 피처의 도즈 민감도와 상관관계가 있다. 상관관계는 알려진 시뮬레이션 및/또는 실제 측정 기술들에 의해 모델링되어, 도즈 민감도에 미치는 도즈, 포커스 및 MSD와 같은 스캐너 파라미터들의 영향이 결정될 수 있도록 한다. 그러므로, 도즈 및 포커스와 같은 스캐너 파라미터들은 LCDU가 감소되도록 LCDU에 대한 그 영향에 의존하여 결정될 수 있다. 바람직하게는, 포커스 및 도즈는 GCDU 및 LCDU 둘 다에 의존하여 결정된다. 또한, 실시예들은 국부적 EPE, 또는 전역적 EPE 및 국부적 EPE 모두에 의존하여 결정되는 포커스 및 도즈를 포함한다.
특정 지점들에서의 오버레이 측정들과 같은 단일의 특정한 타입의 메트릭의 기판에 걸쳐 희박하게 분포된 측정들에 의존하여 제어 파라미터들을 결정하는 것이 알려져 있다. 실시예들은 모든 제어가능한 파라미터들의 최적화 프로세스들을 수행하기 위해 이미지들로부터 결정된 이미지 관련 메트릭들을 사용함으로써 이러한 알려진 기술을 개선시키는 것을 포함한다.
도 28에 나타낸 바와 같이, 기판 상의 패터닝된 구역의 1 이상의 이미지가 얻어진다. 이미지들은 스캐닝 전자 현미경(SEM) 및/또는 HMI에 의해 제조된 것과 같은 e-빔 장치에 의해 얻어졌을 수 있다. 복수의 이러한 이미지들은 공정 파라미터들의 기판에 걸친 핑거프린트를 얻기 위해 사용될 수 있다.
얻어진 각각의 이미지는 이미지 관련 메트릭들을 얻기 위해 해체될 수 있다. 제어 파라미터들의 변화들에 대한 이미지 관련 메트릭들의 의존성은 시뮬레이션 또는 측정에 의해 결정될 수 있다. 그 후, 이미지 관련 메트릭들을 최소화하기 위한 제어 파라미터들을 결정하는 최적화 프로세스가 수행될 수 있다. 따라서, 이미지 관련 메트릭들은 스캐너 또는 에칭 툴과 같은 반도체 디바이스의 제조 공정들을 제어하는 데 사용된다.
이미지 관련 메트릭들은: 이미지들 내의 블록 패턴들의 크기들, 이미지들 내의 블록 패턴들의 크기들의 차이들, 이미지들 내의 격자들에서의 피치들의 차이들, 격자 층에 대한 블록 층의 전반적인 시프트 및 두 LELE 층들 사이의 시프트 중 1 이상을 포함한다.
바람직하게는, 이미지 관련 메트릭들은 기판의 동일한 층의 상이한 부분들의 복수의 이미지들 각각으로부터 결정된다. 이는 이미지들로부터 핑거프린트 및 잠재적 제어 핑거프린트들이 얻어지게 한다(오버레이 핑거프린트, 도즈 핑거프린트 등).
바람직하게는, 이미지들은 기판의 동일한 부분이고, 이미지들은 기판의 층의 상이한 제조 공정들 동안 얻어진다.
예를 들어, 2 개의 스캐닝 작업들이 수행되는 경우, 델타 이미지, 즉 두 이미지들 사이의 차이가 얻어지고 EPE가 결정될 수 있다. EPE를 개선하는 방식으로 작업들이 제어될 수 있다.
스캐닝 작업들 이외의 2 개의 다른 작업들이 수행되는 경우, 델타 이미지는 공정들을 위한 장치들의 매칭 부족에 의해 야기되는 근접 효과들을 제어하는 데 사용될 수 있다. 예를 들어, 에처들이 각각의 개별적인 에처에 대해 상이한 미세 부하(micro loading)와 같은 근접 효과들을 유도하는 것으로 알려져 있다.
이미지 관련 메트릭들이 결정되기 위해, 이미지가 복수의 공정 파라미터들로 해체될 수 있다. 상기 방법은 다음을 포함할 수 있다:
- 측정된 이미지를 기준 이미지에 매핑하는 단계. 스케일링, 스큐(skew), 회전, 시프트, 왜곡 등과 같은 매핑 속성들이 파라미터화될 수 있다. 그 후, 리소그래피 공정, 에칭 공정 등과 같은 공정들의 제어 파라미터들이 파라미터화된 매핑 속성들에 의존하여 결정될 수 있다; 및/또는
- 이미지 전체에 걸친 컷라인과 같은 이미지 관련 메트릭으로부터 도출되는 파라미터들을 평균하는 단계.
그러므로, 실시예들은 제어 파라미터들의 최적화로 하여금 원하는 이미지 관련 메트릭들을 달성하게 한다. 최적화는 특정 에지 위치설정의 오차를 최소화하는 것과 같은 특정 이미지 속성들을 향해 지향될 수 있다. 유리하게는, 실시예들은 패턴 충실도가 증가되게 하며, 즉 오버레이 및 CD 제어가 개선된다.
이미지 비교의 추가적인 장점은, 이것이 이미지 데이터의 일관성을 검증하는 데 사용될 수 있고, (이미지) 잡음을 감소시키고 처리 결과물(processing artefacts)를 결정하는 데 사용될 수 있다는 것이다. 상기와 같이, HMI가 기판들의 일부의 이미지들을 얻기 위한 e-빔 기반 이미징 디바이스를 제조한다. 이미지들은 다수의 HMI 툴들에 의해 취해질 수 있고, 상이한 HMI 툴들로부터의 이미지들의 비교가 결정된 공정 파라미터들의 일관성을 검증하기 위해 사용될 수 있다.
또한, 실시예들은 기판 상의 패터닝된 구역의 1 이상의 얻어진 이미지 내의 피처들의 구조체들의 이미지 관련 메트릭을 생성하고 나타내는 데 필요한 데이터 처리량을 감소시키기 위한 기술들을 적용하는 것을 포함한다.
이미지 내의 피처에 의해 포함되는 실제 구조체의 분석은 1 이상의 이미지 관련 메트릭을 결정하기 위해 실제 구조체를 기준 구조체와 비교하는 것을 포함한다. 하지만, 각각의 개별 구조체의 이러한 분석을 상세하게, 즉 픽셀 단위로 수행하는 것은 많은 양의 데이터가 처리될 것을 요구하므로 느리다.
실시예들은 바람직하게는 실제 구조체와 기준 구조체 간의 차이들의 모델을 생성함으로써 기판 상의 패터닝된 구역의 얻어진 1 이상의 이미지의 분석을 위한 필요한 데이터 처리량을 감소시킨다. 이미지 내의 개별적인 구조체들이 검출되고 그 윤곽 형상들이 알려진 기술들에 따라 추출된다. 그 후, 각각의 윤곽 형상이 기준 윤곽 형상과 비교, 즉 이에 피팅된다.
기준 윤곽 형상은 이상적인 의도된 윤곽 형상이 달성될 수 있는 윤곽 형상 및/또는 1 이상의 다른 실제 윤곽 형상에 정확하게 대응하도록 편차가 있거나 없는 이상적인 의도된 윤곽 형상일 수 있다. 특히, 복수의 층들 내의 동일한 구조체의 실제 윤곽 형상들을 비교함으로써, 오버레이 오차의 측정이 얻어질 수 있다.
각각의 비교의 결과를 나타내기 위해 N 개의 파라미터들을 갖는 모델이 생성된다. 예를 들어, 모델은 비교되는 윤곽 형상들 사이의 병진(Translation), 확대 및 회전을 설명하는 파라미터들을 포함할 수 있다. 병진은 라인 배치 오차에 대응한다. 확대는 국부적 CD 차이들에 대응한다. 회전은 제어 파라미터에 연결되지 않고, 여전히 두 윤곽들 간의 결정가능한 차이이다. 그러므로, 6 개의 파라미터 모델은 병진, 확대 및 회전 각각에 대한 X 및 Y 파라미터들을 가질 수 있다. 실시예들에 따른 모델들은, 추가적으로 또는 대안적으로 다른 파라미터들뿐 아니라 윤곽 형상들의 비교의 다른 타입의 측정들을 포함할 수 있다.
바람직하게는, 이미지로부터 윤곽 형상을 추출하고 추출된 윤곽 형상을 기준 윤곽 형상과 비교하는 작동들은 동일한 작동으로 함께 수행된다. 이는 계산 효율을 개선할 수 있다.
또한, 기준 윤곽 형상들은 바람직하게는 윤곽 형상 검출 프로세스들에서 사용될 수 있다. 예를 들어, 윤곽 검출 알고리즘이 단일 윤곽을 2 개의 윤곽들로서 부정확하게 검출할 수 있다. 기준 윤곽 형상이 사용되어 이 오차를 검출하고, 이에 의해 윤곽 형상 검출을 개선할 수 있다.
또한, 필요한 데이터 처리량은 1 이상의 일반적인 모델을 생성하기 위해 이미지 또는 복수의 이미지들에 걸쳐 모델 파라미터들을 더 모델링함으로써 더 감소될 수 있다. 유리하게는, 이러한 일반적인 모델들은 각각 이미지(들) 내의 개별 구조체들에 의존하여 생성된다.
윤곽 형상들의 비교를 나타내는 모델들의 생성은 이미지 관련 메트릭들을 계산하는 매우 효율적인 방식이다. 본 실시예에 따른 비교를 나타내는 데 필요한 데이터의 양은 구조체들 간의 픽셀-당 비교가 수행되는 경우에 필요한 데이터의 양보다 약 1000 배 적을 수 있다. 그러므로, 이미지 관련 메트릭을 얻기 위해 필요한 데이터 처리량 및 이를 나타내는 데 필요한 데이터의 양은 크게 감소된다.
모델 파라미터들은 다양한 방식으로 사용될 수 있다. 예를 들어, 인접한 구조체들의 모델 파라미터들은 구조체들의 상대적 배치 및 상호작용을 결정하는 데 사용될 수 있다.
모델 파라미터들은 이미지 관련 메트릭들이다. 실시예들은 모델 파라미터들에 의존하여 제어 파라미터들을 조정하기 위한 피드백 신호들을 생성하는 것을 포함한다. 예를 들어, 피드백 신호는 복수의 모델 파라미터들의 평균 또는 가중 조합에 의존하여 생성될 수 있다. 추가적으로 또는 대안적으로, 모델 파라미터들에 의존하여 제어 파라미터들을 조정하기 위해, 모델 파라미터들은 여하한의 다른 공정을 개선하는 데 사용될 수 있다. 예를 들어, 모델 파라미터들은 이미징 공정들을 캘리브레이션하는 데 사용될 수 있다.
도 29는 일 실시예에 따른 공정의 흐름도이다.
단계 2901에서, 공정이 시작된다.
단계 2903에서, 기판 상의 디바이스의 제조 공정 -제조 공정은 리소그래피 장치 및 디바이스의 제조 공정에서의 1 이상의 추가 공정을 사용하여 기판 상에 디자인 레이아웃의 일부분을 이미징하는 리소그래피 공정을 포함함- 에서, 기판의 적어도 일부의 이미지가 얻어지고, 이미지는 기판 상에 제조되는 디바이스에 의해 포함되는 적어도 하나의 피처를 포함한다.
단계 2905에서, 적어도 하나의 피처를 포함하는 이미지로부터 결정되는 윤곽에 의존하여 1 이상의 이미지 관련 메트릭이 계산된다.
단계 2907에서, 1 이상의 이미지 관련 메트릭에 의존하여, 리소그래피 장치 및/또는 디바이스의 제조 공정에서의 상기 1 이상의 추가 공정의 1 이상의 제어 파라미터가 결정된다.
단계 2909에서, 공정이 종료된다.
본 발명의 추가 실시예들이 아래의 번호가 매겨진 실시예들의 목록에 개시되어 있다:
1. 기판 상의 디바이스의 제조 공정의 방법에 있어서,
제조 공정은 리소그래피 장치 및 디바이스의 제조 공정에서의 1 이상의 추가 공정을 사용하여 기판 상에 디자인 레이아웃의 일부분을 이미징하는 리소그래피 공정을 포함하고, 상기 방법은:
기판의 적어도 일부의 이미지를 얻는 단계 -이미지는 기판 상에 제조되는 디바이스에 의해 포함되는 적어도 하나의 피처를 포함함- ;
적어도 하나의 피처를 포함한 이미지로부터 결정된 윤곽에 의존하여 1 이상의 이미지 관련 메트릭을 계산하는 단계;
1 이상의 이미지 관련 메트릭에 의존하여 리소그래피 장치 및/또는 디바이스의 제조 공정에서의 상기 1 이상의 추가 공정의 1 이상의 제어 파라미터를 결정하는 단계를 포함하는 방법.
2. 실시예 1에 있어서, 상기 방법은 결정된 1 이상의 제어 파라미터에 의존하여 디바이스의 제조 공정에서의 상기 1 이상의 추가 공정 및 리소그래피 장치 중 적어도 하나를 제어하는 단계를 더 포함하는 방법.
3. 실시예 1 또는 2에 있어서, 디바이스의 제조 공정에서의 상기 추가 공정은: 리소그래피 공정, 전처리 공정, 레지스트 코팅 공정, 소프트 베이크 공정, 노광-후 베이크 공정, 현상 공정, 하드 베이크 공정, 측정/검사 공정들, 에칭 공정, 이온-주입 공정, 금속화 공정, 산화 공정 및 화학-기계적 연마 공정 중 1 이상을 포함하는 방법.
4. 앞선 실시예들 중 어느 하나에 있어서, 이미지 관련 메트릭은 피처의 에지 배치 오차(EPE)인 방법.
5. 앞선 실시예들 중 어느 하나에 있어서, 이미지 관련 메트릭은 윤곽과 타겟 윤곽의 비교에 의존하여 계산되는 방법.
6. 앞선 실시예들 중 어느 하나에 있어서, 이미지 관련 메트릭은 피처의 복수의 이미지들에 의존하여 생성되는 방법.
7. 실시예 6에 있어서, 피처의 복수의 이미지들은 기판의 각각의 복수의 층들에 있는 방법.
8. 앞선 실시예들 중 어느 하나에 있어서, 상기 방법은:
피처의 윤곽의 복수의 세그먼트들을 결정하는 단계;
복수의 세그먼트들 각각에 대한 각각의 가중치를 결정하는 단계;
세그먼트들 각각에 대해, 세그먼트의 이미지 관련 메트릭을 계산하는 단계; 및
세그먼트들 각각의 가중치 및 이미지 관련 메트릭에 의존하여 피처의 이미지 관련 메트릭을 계산하는 단계를 더 포함하는 방법.
9. 실시예 8에 있어서, 각각의 세그먼트의 가중치는 세그먼트의 이미지 관련 메트릭의 공차 값에 의존하는 방법.
10. 실시예 8 또는 9에 있어서, 1 이상의 제어 파라미터는 세그먼트들 각각의 민감도에 의존하여 결정되는 방법.
11. 실시예 4 또는 이에 종속하는 실시예들 중 어느 하나에 있어서, 1 이상의 제어 파라미터는 피처의 EPE를 최소화하도록 결정되는 방법.
12. 실시예 8 또는 이에 종속하는 실시예들 중 어느 하나에 있어서, 상기 방법은 이미지 내의 복수의 피처들 각각에 대한 이미지 관련 메트릭을 생성하는 단계를 포함하고, 피처의 각각의 이미지 관련 메트릭은 실시예 8 또는 이에 종속하는 여하한의 실시예에 따른 방법을 수행함으로써 생성되는 방법.
13. 실시예 12에 있어서, 이미지 내의 복수의 피처들 각각에 대한 가중치를 결정하는 단계; 및 각각의 피처의 이미지 관련 메트릭 및 각각의 피처의 가중치에 의존하여 이미지의 이미지 관련 메트릭을 계산하는 단계를 더 포함하는 방법.
14. 실시예 13에 있어서, 이미지의 이미지 관련 메트릭은 이미지의 EPE이고, 1 이상의 제어 파라미터는 이미지의 EPE를 최소화하도록 결정되는 방법.
15. 실시예 13 또는 14에 있어서, 기판의 동일한 층의 상이한 부분들의 복수의 이미지들을 얻는 단계; 및 실시예 13 또는 14의 방법에 따라 각각의 이미지의 이미지 관련 메트릭을 계산하는 단계를 더 포함하고,
1 이상의 제어 파라미터는 각각의 이미지의 이미지 관련 메트릭에 의존하여 결정되는 방법.
16. 앞선 실시예들 중 어느 하나에 있어서, 각각의 이미지는 10 ㎛ x 10 ㎛ 시야인 방법.
17. 앞선 실시예들 중 어느 하나에 있어서,
기판 층의 1 이상의 이미지에서 복수의 피처들 각각의 이미지 관련 메트릭을 계산하는 단계를 더 포함하고,
상기 1 이상의 제어 파라미터는 복수의 이미지 관련 메트릭들 각각에 의존하여 결정되는 방법.
18. 실시예 17에 있어서, 1 이상의 제어 파라미터는 디바이스의 제조 공정에서 적용될 도즈 프로파일을 정의하는 방법.
19. 실시예 17 또는 18에 있어서, 상기 방법은 전역적 이미지 관련 메트릭을 계산하는 단계를 더 포함하고, 상기 1 이상의 제어 파라미터는 전역적 이미지 관련 메트릭에 의존하여 추가적으로 결정되는 방법.
20. 실시예들 17 내지 19 중 어느 하나에 있어서, 상기 방법은 EPE를 계산하는 단계를 더 포함하고, 상기 1 이상의 제어 파라미터는 EPE를 최소화하도록 결정되는 방법.
21. 실시예 20에 있어서, EPE는 전역적 임계 치수 균일성, 라인 폭 거칠기, 국부적 임계 치수 균일성 및 임계 치수 진폭 중 1 이상에 의존하여 결정되는 방법.
22. 실시예 20에 있어서, EPE는 전역적 임계 치수 균일성 및 국부적 임계 치수 균일성의 가중 조합으로서 계산되는 방법.
23. 앞선 실시예들 중 어느 하나에 있어서, 복수의 제어 파라미터들이 결정되고, 제어 파라미터들 중 적어도 2 개는 동시-결정되는 방법.
24. 실시예 23에 있어서, 제어 파라미터들 중 적어도 2 개의 동시-결정은 제어 파라미터들 중 하나의 적용되는 값을 제어 파라미터들 중 다른 것의 적용되는 값에 의존하여 결정하는 단계를 포함하는 방법.
25. 실시예 23 또는 24에 있어서, 제어 파라미터들 중 적어도 2 개의 동시-결정은:
적어도 2 개의 제어 파라미터들의 조합된 효과; 및/또는
적어도 2 개의 제어 파라미터들의 상호의존성에 의존하는 방법.
26. 실시예들 23 내지 25 중 어느 하나에 있어서, 동시-결정된 제어 파라미터들은 포커스 및 도즈인 방법.
27. 실시예 26에 있어서, 동시-결정된 제어 파라미터들은 오버레이 및/또는 콘트라스트를 더 포함하는 방법.
28. 실시예들 23 내지 27 중 어느 하나에 있어서, 동시-결정된 제어 파라미터들은 작은 공간 스케일의 CD 변동, 또는 작은 공간 스케일의 CD 변동 및 큰 공간 스케일의 CD 변동 모두에 의존하여 결정되는 방법.
29. 실시예들 23 내지 27 중 어느 하나에 있어서, 동시-결정된 제어 파라미터들은: 전역적 EPE, 국부적 EPE, CD, CDU, 작은 공간 스케일의 CD 변동 및 큰 공간 스케일의 CD 변동 중 1 이상에 의존하여 결정되는 방법.
30. 앞선 실시예들 중 어느 하나에 있어서, 상기 방법은:
기판의 복수의 이미지들을 얻는 단계; 및
각각의 이미지 내의 피처들의 이미지 관련 메트릭들을 결정하는 단계를 포함하는 방법.
31. 실시예 30에 있어서, 1 이상의 제어 파라미터는 각각의 이미지의 이미지 관련 메트릭 및 1 이상의 제어 파라미터의 변화에 대한 결정된 이미지 관련 메트릭의 의존성에 의존하여 결정되는 방법.
32. 실시예 30 또는 31에 있어서, 이미지 관련 메트릭들은 이미지들 내의 블록 패턴들의 크기들, 이미지들 내의 블록 패턴들의 크기들의 차이들, 이미지들 내의 격자들에서의 피치들의 차이들, 격자 층에 대한 블록 층의 전체 시프트 및 두 LELE 층들 간의 스프트 중 1 이상을 포함하는 방법.
33. 실시예들 30 내지 32 중 어느 하나에 있어서, 이미지들은 기판의 동일한 층의 상이한 부분들인 방법.
34. 실시예들 30 내지 33 중 어느 하나에 있어서, 이미지들은 기판의 동일한 부분이고; 이미지들은 기판 층의 상이한 제조 공정들 동안 얻어지는 방법.
35. 실시예 34에 있어서, 이미지들 간의 차이들에 의존하여 근접 효과들을 제어하는 단계를 더 포함하는 방법.
36. 실시예들 30 내지 35 중 어느 하나에 있어서, 이미지 관련 메트릭들은 측정된 이미지를 기준 이미지에 매핑함으로써; 및/또는 이미지를 가로지르는 라인들로부터 도출된 파라미터들을 평균함으로써 얻어지는 방법.
37. 앞선 실시예들 중 어느 하나에 있어서, 이미지 관련 메트릭을 얻는 단계는:
이미지 내의 피처에 의해 포함되는 구조체의 윤곽 형상을 결정하는 단계;
결정된 윤곽 형상을 1 이상의 기준 윤곽 형상과 비교하는 단계;
비교 결과의 모델을 생성하는 단계를 포함하는 방법.
38. 실시예 37에 있어서, 기준 윤곽 형상은 의도된 윤곽 형상 또는 실제 윤곽 형상인 방법.
39. 실시예 37 또는 38에 있어서, 기준 윤곽 형상은 구조체의 또 다른 이미지 내의 동일한 구조체의 실제 윤곽 형상인 방법.
40. 실시예들 37 내지 39 중 어느 하나에 있어서, 모델은 결정된 윤곽 형상과 1 이상의 기준 윤곽 형상 사이의 병진, 확대 및 회전 차이들 중 1 이상을 나타내는 파라미터들을 포함하는 방법.
41. 실시예들 37 내지 40 중 어느 하나에 있어서, 복수의 이미지 관련 메트릭들은 1 이상의 이미지 내의 피처들에 의해 포함되는 각각의 복수의 구조체들 각각에 대해 얻어지고, 이미지 관련 메트릭들 각각에 대해 구조체의 결정된 윤곽 형상과 1 이상의 기준 윤곽 형상 사이의 비교 결과의 모델이 생성되는 방법.
42. 실시예 41에 있어서, 1 이상의 일반적인 모델을 생성하기 위해 복수의 모델들을 사용하는 단계를 더 포함하는 방법.
43. 실시예 41 또는 42에 있어서, 1 이상의 이미지 관련 메트릭은 복수의 모델들에 의존하여 생성되는 방법.
44. 실행될 때, 기판 상의 디바이스의 제조 공정이 실시예들 1 내지 43 중 어느 하나의 방법에 따라 제어되게 하는 명령어들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
45. 기판 상에 디바이스들을 제조하는 시스템에 있어서, 시스템은 실시예들 1 내지 43 중 어느 하나의 방법을 수행하도록 구성되는 시스템.
실시예들은 알려진 공정들에 대한 다수의 수정들 및 변형들을 포함한다.
본 명세서 전반에 걸쳐 설명된 여하한의 기술들은 실시예들의 이미지 관련 메트릭들을 결정하고 최적화하는 데 사용될 수 있다.
실시예들은 반도체 디바이스의 제조에서 공정들을 제어하는 제어 파라미터들을 결정한다. 공정들은 측정 공정들을 포함한 여하한의 공정들을 포함하고, 여하한의 알려진 장치들에 의해 수행될 수 있다. 실시예들에 따른 공정들은 비-일시적 컴퓨터 판독가능한 매체에 저장되는 공정들을 수행하기 위한 명령어들을 실행하는 컴퓨팅 시스템에 의해 제어될 수 있다.
본 발명의 다른 실시예들은 본 명세서에 개시된 실시예들의 사양 및 실행을 고려하여 당업자에게 명백할 것이다. 본 명세서 및 예시들은 단지 예시적인 것으로 간주되며, 본 발명의 진정한 범위 및 기술사상은 다음 청구항들에 의해 나타내어지는 것으로 의도된다. 또한, 본 출원이 특정 순서로 방법 또는 절차의 단계들을 열거한 경우, 일부 단계들이 수행되는 순서를 변경하는 것이 가능하거나, 심지어 소정 상황들에서 유리할 수 있으며, 아래에서 설명되는 방법 또는 절차 청구항들의 특정 단계들은 이러한 순서 특정성이 청구항에서 명시적으로 언급되지 않는 한 순서-특정적인 것으로 해석되지 않는 것으로 의도된다.

Claims (17)

  1. 리소그래피 공정 및 1 이상의 추가 공정을 포함하는 제조 공정의 1 이상의 제어 파라미터를 결정하는 방법으로서,
    기판의 적어도 일부의 이미지를 얻는 단계 -상기 이미지는 상기 제조 공정에 의해 상기 기판 상에 제조된 적어도 하나의 피처(feature)를 포함함- ;
    상기 이미지로부터 결정된 윤곽에 의존하여 1 이상의 이미지 관련 메트릭(image-related metrics)을 계산하는 단계 -상기 이미지 관련 메트릭들 중 하나는 상기 적어도 하나의 피처의 에지 배치 오차(EPE)임- ; 및
    상기 에지 배치 오차에 의존하여 상기 리소그래피 공정 및/또는 상기 1 이상의 추가 공정의 1 이상의 제어 파라미터를 결정하는 단계 -적어도 하나의 제어 파라미터는 상기 적어도 하나의 피처의 에지 배치 오차를 최소화하도록 결정됨-
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    결정된 1 이상의 제어 파라미터에 의존하여 상기 리소그래피 공정에서 사용되는 리소그래피 장치를 제어하는 단계를 더 포함하는 방법.
  3. 제 1 항에 있어서,
    결정된 1 이상의 제어 파라미터에 의존하여 상기 1 이상의 추가 공정에서 사용되는 에칭 장치를 제어하는 단계를 더 포함하는 방법.
  4. 제 1 항에 있어서,
    상기 이미지 관련 메트릭은 상기 윤곽 및 타겟 윤곽의 비교에 의존하여 계산되는 방법.
  5. 제 1 항에 있어서,
    상기 피처의 윤곽의 복수의 세그먼트(segment)들을 결정하는 단계;
    상기 복수의 세그먼트들 각각에 대한 각각의 가중치(weight)를 결정하는 단계;
    상기 세그먼트들 각각에 대해, 상기 세그먼트의 이미지 관련 메트릭을 계산하는 단계; 및
    상기 세그먼트들 각각의 가중치 및 이미지 관련 메트릭에 의존하여 상기 피처의 이미지 관련 메트릭을 계산하는 단계를 더 포함하는 방법.
  6. 제 5 항에 있어서,
    각각의 세그먼트의 가중치는 상기 세그먼트의 이미지 관련 메트릭의 공차 값(tolerance value)에 의존하는 방법.
  7. 제 5 항에 있어서,
    상기 1 이상의 제어 파라미터는 상기 세그먼트들 각각의 민감도(sensitivity)에 의존하여 결정되는 방법.
  8. 제 1 항에 있어서,
    복수의 제어 파라미터들이 결정되고, 상기 제어 파라미터들 중 적어도 2 개는 동시-결정(co-determine)되는 방법.
  9. 제 8 항에 있어서,
    상기 제어 파라미터들 중 적어도 2 개의 동시-결정은:
    적어도 2 개의 제어 파라미터들의 조합된 효과; 및/또는
    상기 적어도 2 개의 제어 파라미터들의 상호의존성에 의존하는 방법.
  10. 제 8 항에 있어서,
    동시-결정된 제어 파라미터들은 포커스 및 도즈인 방법.
  11. 제 8 항에 있어서,
    동시-결정된 제어 파라미터들은 작은 공간 스케일(spatial scale)의 CD 변동, 또는 작은 공간 스케일의 CD 변동 및 큰 공간 스케일의 CD 변동 모두에 의존하여 결정되는 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 기판의 복수의 이미지들을 얻는 단계; 및
    각각의 이미지 내의 피처들의 이미지 관련 메트릭들을 결정하는 단계를 포함하는 방법.
  13. 제 12 항에 있어서,
    상기 이미지 관련 메트릭들은 상기 이미지들 내의 블록 패턴들의 크기들, 상기 이미지들 내의 블록 패턴들의 크기들의 차이들, 상기 이미지들 내의 격자들에서의 피치(pitch)들의 차이들, 격자 층에 대한 블록 층의 전체 시프트 및 두 LELE 층들 간의 스프트 중 1 이상을 포함하는 방법.
  14. 제 1 항에 있어서,
    상기 이미지 관련 메트릭을 얻는 단계는:
    상기 이미지 내의 피처에 의해 포함되는 구조체의 윤곽 형상을 결정하는 단계;
    결정된 윤곽 형상을 1 이상의 기준 윤곽 형상과 비교하는 단계; 및
    비교 결과의 모델을 생성하는 단계를 포함하는 방법.
  15. 제 14 항에 있어서,
    상기 모델은 상기 결정된 윤곽 형상과 상기 1 이상의 기준 윤곽 형상 사이의 병진(translation), 확대 및 회전 차이들 중 1 이상을 나타내는 파라미터들을 포함하는 방법.
  16. 실행될 때, 제조 공정이 제 1 항의 방법에 따라 제어되게 하는 명령어들을 포함하는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체.
  17. 기판 상에 디바이스들을 제조하는 시스템으로서,
    제 1 항의 방법을 수행하도록 구성되는 시스템.
KR1020207009031A 2017-09-27 2018-08-22 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법 KR102473979B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227041979A KR102581877B1 (ko) 2017-09-27 2018-08-22 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP17193430.0A EP3462240A1 (en) 2017-09-27 2017-09-27 Method of determining control parameters of a device manufacturing process
EP17193430.0 2017-09-27
EP17200255.2 2017-11-07
EP17200255 2017-11-07
EP18155070.8 2018-02-05
EP18155070 2018-02-05
PCT/EP2018/072605 WO2019063206A1 (en) 2017-09-27 2018-08-22 METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227041979A Division KR102581877B1 (ko) 2017-09-27 2018-08-22 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법

Publications (2)

Publication Number Publication Date
KR20200072474A true KR20200072474A (ko) 2020-06-22
KR102473979B1 KR102473979B1 (ko) 2022-12-06

Family

ID=63350545

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227041979A KR102581877B1 (ko) 2017-09-27 2018-08-22 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
KR1020207009031A KR102473979B1 (ko) 2017-09-27 2018-08-22 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227041979A KR102581877B1 (ko) 2017-09-27 2018-08-22 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법

Country Status (7)

Country Link
US (3) US11513442B2 (ko)
EP (2) EP4235305A1 (ko)
JP (2) JP7438105B2 (ko)
KR (2) KR102581877B1 (ko)
CN (2) CN111149063B (ko)
TW (1) TWI737935B (ko)
WO (1) WO2019063206A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11740073B2 (en) 2021-03-18 2023-08-29 Samsung Electronics Co., Ltd. Method for measuring CD using scanning electron microscope

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020233950A1 (en) * 2019-05-21 2020-11-26 Asml Netherlands B.V. Method for determining stochastic variation associated with desired pattern
CA3091808A1 (en) * 2019-09-02 2021-03-02 Norman Schmidt Variable speed profile extrusion portion cutting head and control system
US11657207B2 (en) * 2020-07-28 2023-05-23 Synopsys, Inc. Wafer sensitivity determination and communication
CN113418560B (zh) * 2021-06-29 2022-06-17 哈尔滨工业大学 一种快速制定树脂基复合材料成型工艺参数的设备及方法
CN115598923B (zh) * 2022-12-12 2023-03-21 华芯程(杭州)科技有限公司 一种光掩膜优化方法及装置、电子设备
CN115906543B (zh) * 2023-03-08 2023-08-04 苏州培风图南半导体有限公司 一种基于光刻建模仿真的参数获取方法
CN116627101B (zh) * 2023-07-20 2023-09-19 北京东方泰阳科技有限公司 一种复合基片生产线的控制方法及系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009508161A (ja) * 2005-09-13 2009-02-26 ルミネセント テクノロジーズ インコーポレイテッド フォトリソグラフィのためのシステム、マスク、及び方法
JP2012112974A (ja) * 2006-12-05 2012-06-14 Ngr Inc パターン検査装置および方法
JP2012220955A (ja) * 2011-04-04 2012-11-12 Asml Netherlands Bv リソグラフィ装置と多重パターニングプロセスを含むマスク最適化プロセスとの統合
KR20160131110A (ko) * 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US6792590B1 (en) * 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US7515279B2 (en) * 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
JP3856197B2 (ja) 2001-04-13 2006-12-13 ソニー株式会社 Opマスクの製作方法
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US6978438B1 (en) 2003-10-01 2005-12-20 Advanced Micro Devices, Inc. Optical proximity correction (OPC) technique using generalized figure of merit for photolithograhic processing
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
WO2005059531A1 (en) 2003-12-10 2005-06-30 Applied Materials Israel, Ltd. Advanced roughness metrology
US7039896B2 (en) * 2003-12-18 2006-05-02 Lsi Logic Corporation Gradient method of mask edge correction
JP4709511B2 (ja) 2004-08-18 2011-06-22 株式会社東芝 マスクパターン補正方法、マスクパターン補正プログラム、フォトマスクの作製方法及び半導体装置の製造方法
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
JP4954211B2 (ja) 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US7506285B2 (en) * 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
US7378202B2 (en) 2006-02-21 2008-05-27 Mentor Graphics Corporation Grid-based resist simulation
US7568179B1 (en) * 2006-09-21 2009-07-28 Armen Kroyan Layout printability optimization method and system
IL181209A0 (en) 2007-02-07 2007-07-04 Nova Measuring Instr Ltd A method of measurement
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
JP5408852B2 (ja) * 2007-08-09 2014-02-05 株式会社日立ハイテクノロジーズ パターン測定装置
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP5913979B2 (ja) * 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
US20100005635A1 (en) 2008-07-09 2010-01-14 International Business Machines Corporation Package Fastening Clip with Secure Latching
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
NL2005738A (en) * 2009-12-15 2011-06-16 Asml Holding Nv Improved polarization designs for lithographic apparatus.
US8355807B2 (en) 2010-01-22 2013-01-15 Synopsys, Inc. Method and apparatus for using aerial image sensitivity to model mask errors
US20110202893A1 (en) * 2010-02-16 2011-08-18 Ir Kusnadi Contour Self-Alignment For Optical Proximity Correction Model Calibration
US8498469B2 (en) 2010-03-01 2013-07-30 Synopsys, Inc. Full-field mask error enhancement function
US8490034B1 (en) * 2010-07-08 2013-07-16 Gauda, Inc. Techniques of optical proximity correction using GPU
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8607169B2 (en) * 2011-12-28 2013-12-10 Elitetech Technology Co., Ltd. Intelligent defect diagnosis method
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
JP5967946B2 (ja) 2012-01-23 2016-08-10 株式会社アドテックエンジニアリング 露光描画装置、露光描画システム、プログラム及び露光描画方法
NL2010196A (en) 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US8631360B2 (en) * 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
JP5986817B2 (ja) 2012-06-15 2016-09-06 株式会社日立ハイテクノロジーズ オーバーレイ誤差測定装置、及びコンピュータープログラム
JP2014049573A (ja) 2012-08-30 2014-03-17 Toshiba Corp 半導体装置の評価方法および半導体装置の評価装置
JP5957357B2 (ja) 2012-10-15 2016-07-27 株式会社日立ハイテクノロジーズ パターン検査・計測装置及びプログラム
JP2014130077A (ja) * 2012-12-28 2014-07-10 Hitachi High-Technologies Corp パターン形状評価方法、半導体装置の製造方法及びパターン形状評価装置
US9026956B1 (en) * 2013-10-11 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of lithographic process evaluation
CN106164775B (zh) 2014-02-03 2019-07-19 Asml荷兰有限公司 量测方法和设备、衬底、光刻系统和器件制造方法
CN105992975B (zh) * 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
WO2016096309A1 (en) 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US9672320B2 (en) 2015-06-30 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
JP6581835B2 (ja) * 2015-07-31 2019-09-25 株式会社日立ハイテクノロジーズ 半導体デバイスの評価条件設定方法、及び評価条件設定装置
WO2017027366A1 (en) 2015-08-10 2017-02-16 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
WO2017102321A1 (en) 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
KR102350572B1 (ko) * 2016-02-22 2022-01-11 에이에스엠엘 네델란즈 비.브이. 계측 데이터에 대한 기여도들의 분리
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
US10041873B2 (en) * 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10145674B2 (en) * 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10481504B2 (en) * 2016-06-10 2019-11-19 Imec Vzw Method and apparatus for semiconductor manufacturing
US11029594B2 (en) * 2016-09-13 2021-06-08 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
KR102248121B1 (ko) * 2016-10-24 2021-05-06 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 패턴을 최적화하는 방법
CN109891324B (zh) * 2016-10-26 2021-05-25 Asml荷兰有限公司 用于光刻过程的优化的方法
WO2018099716A1 (en) * 2016-12-01 2018-06-07 Asml Netherlands B.V. Method and system for pattern configuration
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10534257B2 (en) * 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10727142B2 (en) * 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009508161A (ja) * 2005-09-13 2009-02-26 ルミネセント テクノロジーズ インコーポレイテッド フォトリソグラフィのためのシステム、マスク、及び方法
JP2012112974A (ja) * 2006-12-05 2012-06-14 Ngr Inc パターン検査装置および方法
JP2012220955A (ja) * 2011-04-04 2012-11-12 Asml Netherlands Bv リソグラフィ装置と多重パターニングプロセスを含むマスク最適化プロセスとの統合
KR20160131110A (ko) * 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11740073B2 (en) 2021-03-18 2023-08-29 Samsung Electronics Co., Ltd. Method for measuring CD using scanning electron microscope

Also Published As

Publication number Publication date
US11768442B2 (en) 2023-09-26
EP3688529B1 (en) 2023-12-13
KR20220163532A (ko) 2022-12-09
KR102581877B1 (ko) 2023-09-25
CN111149063B (zh) 2022-04-22
TW201923474A (zh) 2019-06-16
JP7438105B2 (ja) 2024-02-26
JP2022125282A (ja) 2022-08-26
CN114721232A (zh) 2022-07-08
CN111149063A (zh) 2020-05-12
EP4235305A1 (en) 2023-08-30
US11513442B2 (en) 2022-11-29
US20210149312A1 (en) 2021-05-20
US20240012337A1 (en) 2024-01-11
JP2020535479A (ja) 2020-12-03
EP3688529A1 (en) 2020-08-05
US20230058839A1 (en) 2023-02-23
JP7438275B2 (ja) 2024-02-26
KR102473979B1 (ko) 2022-12-06
TW202141204A (zh) 2021-11-01
WO2019063206A1 (en) 2019-04-04
TWI737935B (zh) 2021-09-01

Similar Documents

Publication Publication Date Title
KR102053152B1 (ko) 임의 패턴의 확률적 변동을 계산하는 모델
TWI790792B (zh) 在圖案化程序中判斷圖案之方法
KR102154206B1 (ko) 이미지 로그 슬로프(ils) 최적화
US11768442B2 (en) Method of determining control parameters of a device manufacturing process
CN113454532A (zh) 训练机器学习模型以确定掩模的光学邻近效应校正的方法
US20210407112A1 (en) Method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
KR20210141673A (ko) 핫스팟 감소를 위한 결함 기반 패터닝 공정 특성 결정 방법
TWI837495B (zh) 器件製造程序中的方法
EP3822703A1 (en) Method for determining a field-of-view setting
NL2025146A (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant