KR20190002269A - 고 종횡비 갭 충전 - Google Patents

고 종횡비 갭 충전 Download PDF

Info

Publication number
KR20190002269A
KR20190002269A KR1020170163338A KR20170163338A KR20190002269A KR 20190002269 A KR20190002269 A KR 20190002269A KR 1020170163338 A KR1020170163338 A KR 1020170163338A KR 20170163338 A KR20170163338 A KR 20170163338A KR 20190002269 A KR20190002269 A KR 20190002269A
Authority
KR
South Korea
Prior art keywords
layer
spacer material
photoresist
stack
dielectric
Prior art date
Application number
KR1020170163338A
Other languages
English (en)
Other versions
KR102110280B1 (ko
Inventor
완-린 차이
싱-치앙 판
성-엔 린
저-리앙 이
정-하우 시우
젠-훙 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190002269A publication Critical patent/KR20190002269A/ko
Application granted granted Critical
Publication of KR102110280B1 publication Critical patent/KR102110280B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 개시내용은 포토레지스트에 대한 손상을 최소화하거나 회피하면서 포토레지스트 층 상에 유전체 층 또는 유전체 스택을 형성하는 방법을 설명한다. 추가적으로, 유전체 층 또는 유전체 스택은 고 종횡비 개구부들을 충전할 수 있고 에칭으로 제거될 수 있다. 유전체 층 또는 유전체 스택은 다수의 전구체들 및 플라즈마 또는 반응물 가스들을 이용하는 등각 저온 화학 기상 증착 프로세스 또는 등각 저온 원자 층 퇴적 프로세스로 퇴적될 수 있다.

Description

고 종횡비 갭 충전{HIGH ASPECT RATIO GAP FILL}
스핀-온 유전체들은 고 종횡비 구조체들(예컨대, 적어도 10:1)에 대한 특정 갭 충전 특성들을 갖는다. 그러나, 스핀-온 유전체들의 에칭 레이트는 고체적(high volume) 제조의 경우 낮을 수 있다. 추가적으로, 스핀-온 유전체들은 스핀-온 프로세스 동안 바람직하지 않은 에어-포켓들(보이드들)을 발달시킬 수 있다.
우선권 주장 및 상호 참조
본 출원은 2017년 7월 29일자로 출원된 발명 명칭이 "고 종횡비 갭 충전"인 미국 가출원 62/526,801호의 우선권을 주장하며, 이 출원 전체가 본 출원에 참고로 포함된다.
본 개시내용의 양태들은 첨부 도면들과 함께 판독할 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 업계의 통상적인 관례에 따르면, 다양한 피처들이 일정한 비율로 그려지지 않는다는 것에 유의한다. 실제로, 다양한 피처들의 치수들이 논의의 명료성을 위해 임의로 증가 또는 감소될 수 있다.
도 1은 일부 실시예들에 따른 기판 상에 형성되는 복수의 패터닝된 구조체들 위의 스페이서 재료의 등각도(isometric view)이다.
도 2는 일부 실시예들에 따른 포토레지스트 층에 개구부들을 갖는 스페이서 재료 위의 포토레지스트 층의 등각도이다.
도 3은 일부 실시예들에 따른 포토레지스트 개구부 내의 갭 충전 유전체 재료의 단면도이다.
도 4는 일부 실시예들에 따른 제1 에치-백 프로세스 후의 스페이서 재료 위의 갭 충전 유전체 재료의 등각도이다.
도 5는 일부 실시예들에 따른 제2 에치-백 프로세스 후의 스페이서 재료 위의 갭 충전 유전체 재료의 등각도이다.
도 6은 일부 실시예들에 따른 포토레지스트 개구부 내의 유전체 스택의 단면도이다.
도 7은 일부 실시예들에 따른 제1 에치-백 프로세스 후의 스페이서 재료 위의 유전체 스택의 등각도이다.
도 8은 일부 실시예들에 따른 제2 에치-백 프로세스 후의 스페이서 재료 위의 유전체 스택의 등각도이다.
도 9는 일부 실시예들에 따른 포토레지스트 구조체들에서 저온 프로세스로 퇴적되는 하나 이상의 유전체 층들의 형성을 설명하는 예시적인 제조 프로세스의 흐름도 다이어그램이다.
하기의 개시내용은 제공된 청구물의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 예들이 하기에 설명된다. 이들은, 물론, 단지 예들일 뿐이고 제한하는 것으로 의도된 것이 아니다. 예를 들어, 후속하는 설명에서 제2 피처 위의 제1 피처의 형성은 제1 및 제2 피처들이 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제1 및 제2 피처들이 직접 접촉하지 않을 수도 있도록 제1 및 제2 피처들 사이에 추가적인 피처들이 형성될 수 있는 실시예들을 또한 포함할 수 있다. 추가적으로, 본 개시내용에는 다양한 예들에서 참조 번호들 및/또는 문자들이 반복될 수 있다. 이러한 반복 그 자체는 논의되는 다양한 실시예들 및/또는 구성들 사이의 관계에 영향을 주지 않는다.
추가로, "밑에", "아래에", "하부", "위에", "상부" 등과 같은 공간적으로 관련된 용어들은 본 명세서에서 도면들에 예시된 바와 같은 하나의 요소 또는 피처와 다른 요소(들) 또는 피처(들)와의 관계를 설명하는 설명의 용이성을 위해 사용될 수 있다. 공간적으로 관련된 용어들은 도면들에 도시된 배향들에 더하여 사용 또는 동작에 있어서의 디바이스의 상이한 배향들을 포괄하도록 의도된다. 장치는 이와 다르게 배향될(90도 또는 다른 배향들로 회전될) 수 있고, 이에 따라 본 명세서에서 사용되는 공간적으로 관련된 기술어(descriptor)들도 마찬가지로 해석될 수 있다.
본 명세서에서 사용되는 바와 같은 용어 "공칭"은, 제품 또는 프로세스의 설계 단계 동안 설정된, 컴포넌트 또는 프로세스 동작에 대한 특성 또는 파라미터의 원하는 또는 목표 값을, 그 원하는 값 초과 및/또는 미만의 값들의 범위와 함께, 지칭한다. 값들의 범위는 전형적으로 제조 프로세스들 또는 공차들의 약간의 변동들로 인한 것이다. 달리 정의되지 않는 한, 본 명세서에서 사용되는 모든 기술적 및 과학적 용어들은 본 개시내용이 속하는 본 기술분야의 통상의 기술자에 의해 통상적으로 이해되는 것과 동일한 의미들을 갖는다.
일부 반도체 제조 동작들은, 예컨대, 적어도 10:1의, 고 종횡비 포토레지스트 구조체들 내의 유전체 층의 퇴적을 요구한다. 그러나, 유전체 층은 포토레지스트 상에 직접 퇴적될 수 있기 때문에, 포토레지스트에의 손상을 회피하기 위해 저온 프로세스(예컨대, 300℃ 미만)가 요구된다. 손상된 포토레지스트는, 예를 들어, 수축, 의도치 않게 큰 피처들, 라인 에지 러프니스(line edge roughness), 및 두께 불균일성과 같은 패턴 왜곡을 겪을 수 있다. 이러한 패턴 왜곡들을 완화시키기 위해 스핀-온 유전체(spin-on dielectric)(SOD)들이 사용될 수 있다. 그러나, SOD들은 몇 가지 약점들을 갖는다. 예를 들어, 이들은 낮은 에칭 레이트들을 나타내고, 이는 고체적 제조의 경우 이슈가 될 수 있다. 추가적으로, SOD들은 스핀-온 프로세스 동안 바람직하지 않고 랜덤한 에어-포켓들(에어 갭들 또는 보이드들)을 발달시킬 수 있다. 랜덤한 보이드들은 후속 프로세싱 동안의 신뢰성 문제가 될 수 있고 그에 따라 바람직하지 않다.
유전체 층 퇴적에 있어서의 상기 결점들을 해결하기 위해, 본 명세서에 설명된 실시예들은 저온 등각 퇴적 프로세스로 퇴적될 수 있는 유전체 필름들 또는 유전체 스택들에 관한 것이다. 기저 재료(예컨대, 포토레지스트)가 산소 손상에 민감한 경우, 유전체 필름들은 산소가 없는 반응물들로 퇴적될 수 있다. 이와 같이, 이러한 유전체 필름들은 (예컨대, 20:1보다 더 큰) 고 종횡비 구조체들을 충전할 수 있는 개선된 갭 충전 특성들을 제공한다. 또한, 이러한 유전체 필름들은 포토레지스트 재료에 대한 최소의 손상으로 또는 어떠한 손상도 없이 포토레지스트 층 상에 직접 퇴적될 수 있다. 그 결과, 퇴적된 유전체 필름들은 최소의 보이드들로 또는 어떠한 보이드들도 없이 개선된 에칭 레이트들을 갖는다.
도 1은 일부 실시예들에 따른 예시적인 구조체(100)의 등각도이다. 예시적인 구조체(100)는 기판(120) 상의 패터닝된 구조체들(110)을 포함한다. 패터닝된 구조체들(110)은 실리콘(silicon)(예컨대, 비정질 또는 결정질), 유전체(예컨대, 질화물, 산화물, 또는 탄화물), 금속, 또는 이들의 임의의 조합물로 제조될 수 있다. 일부 실시예들에서, 패터닝된 구조체들(110)은 블랭킷 스페이서 재료(115)로 커버될 수 있다. 블랭킷 스페이서 재료(115)는, 예를 들어, 산화 티타늄(TiOx) 필름일 수 있다. 일부 실시예들에서, 기판(120)은 FEOL(front end of the line) 층들, MOL(middle of the line) 층들, 및/또는 금속 수직 상호접속 액세스 라인들(비아) 및 평행 라인들이 형성되는 BEOL(back end of the line) 상호접속 층의 일 부분을 포함하는 부분적으로 제조되는 웨이퍼일 수 있다. 일부 실시예들에서, 기판(120)은 베어 반도체 벌크 웨이퍼, SOI(semiconductor on insulator) 웨이퍼의 상부 층, 또는 이전에 형성된 층들(FEOL, MOL, 및/또는 BEOL)을 포함하는 부분적으로 제조되는 반도체 웨이퍼일 수 있다. 제한이 아닌 예로서, 기판(120)은 실리콘, 다른 기본 반도체, 합금 반도체, 또는 이들의 조합물로 제조될 수 있다. 일부 실시예들에서, 기판(120)은, 예를 들어, 석영과 같은 비반도체 기판일 수 있다.
도 2는 일부 실시예들에 따른 스페이서 재료(115) 상에 퇴적되는 층(200), 및 층(200) 내의 (예컨대, 10:1보다 더 큰) 고 종횡비 개구부들(210)을 갖는 예시적인 구조체(100)의 등각도이다. 일부 실시예들에서, 층(200)은 포토레지스트 층, 유전체 층, 금속 층, 또는 반도체 층일 수 있다. 예시 목적들을 위해, 층(200)은 포토레지스트 층의 맥락에서 설명될 것이다. 예를 들어, 유전체들, 금속들, 및 반도체들과 같은, 고 종횡비 개구부들을 갖는 다른 재료 층들이 사용될 수 있다. 이러한 다른 유형들의 재료 층들은 본 개시내용의 사상 및 범주 내에 있다.
도 2에서, 포토레지스트 층(200)은 패터닝된 구조체들(110) 위에 스핀 코팅된다. 스핀 코팅 프로세스는 4개의 단계들을 수반할 수 있다: (i) 분배, (ii) 스핀-업, (iii) 스핀-오프, 및 (iv) 용매 증발. 분배 단계 동안, 웨이퍼가 고정되거나 스피닝되는 동안 레지스트가 웨이퍼 상에 분배된다. 스핀-업 단계에서, 웨이퍼가 스피닝되어 웨이퍼 표면 위의 레지스트를 확장시킬 수 있다. 스핀-오프 단계 동안, 초과 포토레지스트 재료가 제거되어 웨이퍼 표면 위에 균일하거나 거의 균일한 레지스트 필름을 획득한다. 마지막으로, 용매 증발 단계 동안, 포토레지스트로부터의 용매가 증발하여 포토레지스트 필름이 거의 건조될 때까지 웨이퍼가 계속 스피닝한다. 포토레지스트 도포 후에, 웨이퍼에는 어닐링 단계(또한 "소프트 베이크(soft bake)" 또는 "프리-베이크(pre-bake)"라고도 알려짐)가 행해진다. 프리-베이크 어닐링의 목적은 코팅된 포토레지스트로부터 임의의 잔류 용매를 제거하고, 포토레지스트의 접착력을 개선시키고, 스핀 코팅 프로세스 동안 발생된 포토레지스트의 응력을 완화시키는 것이다.
포토레지스트 층(200)은 자외선(UV) 또는 극자외선(EUV) 광으로 노광될 때 현상액(developer solution)에서의 용해도의 변화를 경험하는 화합물이다. 반도체 제조에 있어서의 포토레지스트 층(200)의 목적은 웨이퍼로의 마스크 패턴 전사를 지원하는 것이고 그에 따라 후속 프로세스들(예컨대, 에칭 또는 이온 주입) 동안 기저 재료의 부분들을 보호하는 것이다. 포토레지스트 층(200)은 예를 들어 산소 프로세스에 민감한 유기 화합물일 수 있다. 예를 들어, 포토레지스트 층(200)에는 산소계 플라즈마 프로세싱 또는 산소 열 프로세싱이 행해질 수 없다. 구체적으로는, 산소 노출은, 예를 들어, 수축, 의도치 않게 큰 피처들, 라인 에지 러프니스(에지 선명도가 열악한 라인들), 및/또는 두께 손실과 같은 포토레지스트 패턴의 변형을 초래할 수 있다.
일부 실시예들에서, 포토레지스트 층(200)은 100 내지 300nm의 두께를 가질 수 있다. 일부 실시예들에서, 포토레지스트 층(200)은 약 20 내지 약 40nm의 두께 범위를 갖는 하드 마스크 층(205)에 의해 커버될 수 있다. 일부 실시예들에서, 하드 마스크 층(205)은 실리콘, 산소, 및/또는 탄소를 함유하는 층일 수 있다. 전술된 두께 범위는 단지 예일 뿐이며 제한하는 것으로 의도된 것이 아니다. 포토레지스트 층(200)은 개구부들(210)이 형성되어 기판(120)의 일부 및 패터닝된 구조체들(110) 중 적어도 하나를 노출시킬 수 있도록 규정된 설계 레이아웃에 따라 패터닝될 수 있다.
예를 들어, 포토레지스트 층(200)은 포토마스크(레티클)에서의 패턴에 따라 포토레지스트의 상이한 영역들을 UV 또는 EUV 광으로 노광시킴으로써 패터닝될 수 있다. 포토레지스트 층(200)에서 화학 반응을 개시하기 위해 노광 후 베이크(post exposure bake)가 적용될 수 있다. 화학 반응은 포토레지스트 층(200)의 노광된 영역들의 용해도를 변화시킬 수 있다. 네거티브 톤(negative-tone) 포토레지스트의 경우, 노광된 영역들이 가교(경화)되고 현상액에서 비가용성으로 된다. 포지티브 톤(positive-tone) 포토레지스트의 경우, 비노광된 영역들이 가교(경화)되고 현상액에서 비가용성으로 된다. 다시 말해, 네거티브 톤 포토레지스트의 경우, 노광된 영역들이 제거되지 않는다. 그리고 포지티브 톤 레지스트의 경우, 노광된 영역들이 제거된다. 그러나, 포지티브 톤 포토레지스트는 그의 개선된 라인 폭 분해능으로 인해 서브마이크론 반도체 제조에 사용될 수 있다.
포토레지스트 노광 동작 후에, 포토레지스트 층(200)이 현상된다. 현상 단계 동안, 포토레지스트 층(200)은 포토레지스트 층(200) 내의 비가교된 영역들을 용해시키는 현상액에 노출된다. 포토레지스트 유형(즉, 네가티브 톤 또는 포지티브 톤)에 따라, 포토레지스트 층(200) 내의 비가용성 영역들은 노출된 또는 비노출된 영역들일 수 있다. 현상 단계 후에, 웨이퍼는 탈이온수(DI)로 린스되고 건조된다. 임의의 잔류 용매를 증발시키기 위해 그리고 포토레지스트 층(200)을 경화시키기 위해 현상 후 열 베이크(또한 "하드 베이크"라고도 알려짐)가 수행된다. 포지티브 톤 및 네거티브 톤 레지스트들에 대한 공칭 하드 베이크 온도들은 각각 약 130℃ 및 150℃일 수 있다.
일부 실시예들에 따르면, 패터닝된 포토레지스트 층(200)에 형성되는 개구부(210)는 기판(120) 위의 블랭킷 스페이서 재료(115)의 일 부분 및 하나 이상의 패터닝된 구조체들(110) 위의 블랭킷 스페이서 재료(115)의 일 부분을 노출시킬 수 있다. 일부 실시예들에서, 개구부(210)와 유사한 복수의 개구부들이 포토레지스트 층(200)에 걸쳐 형성될 수 있다. 일부 실시예들에서, 개구부(210)는 약 10:1의 종횡비를 가질 수 있다. 종횡비는 개구부의 깊이와 폭 사이의 비율로서 정의된다. 예를 들어, 개구부(210)는 약 150nm의 깊이 및 약 15nm의 하부 폭을 가질 수 있다. 일부 실시예들에서, 개구부(210)는 약 20:1보다 더 큰 종횡비를 가질 수 있다.
도 3은 도 2의 선(215)을 따른 단면도이다. 일부 실시예들에서, 유전체 층(300)은 포토레지스트 층(200) 및 하드 마스크 층(205) 위에 퇴적되어 개구부(210)를 충전할 수 있다. 일부 실시예들에서, 유전체 층(300)은 저온 플라즈마 강화 원자 층 퇴적(plasma-enhanced atomic layer deposition)(PEALD) 프로세스 또는 플라즈마 강화 화학 기상 증착(plasma-enhanced chemical vapor deposition)(PECVD) 프로세스로 퇴적될 수 있다. PEALD 및 PECVD 프로세스들은 등각일 수 있고, 따라서 유전체 층(300)은 요형(re-entrant) 상부 개구부들이 아니라 수직 측벽들을 갖는 구조체들에서 최소의 보이드들로 또는 어떠한 보이드들도 없이 퇴적될 수 있다. 일부 실시예들에서, 퇴적 동안의 프로세스 온도는 300℃ 미만일 수 있고, 프로세스 압력은 0.5 내지 30Torr의 범위일 수 있으며, 무선 주파수(RF) 전력은 5 내지 1000와트의 범위일 수 있다. 제한이 아닌 예로서, 보다 높은 RF 전력 설정들에서, 유전체 층(300)은 더 고밀도로 그리고 더 에칭 내성으로 될 수 있고 보다 낮은 성장 레이트를 나타낼 수 있다.
(예컨대, PECVD 또는 PEALD에 의한) 퇴적 동안, 플라즈마는 플라즈마 반응기 구성에 따라 직접적 또는 간접적(원격)일 수 있다. 직접적인 플라즈마 구성에서, 웨이퍼는 반응성 종들이 높은 에너지들을 갖는 플라즈마 방전에 직접 노출된다. 원격 플라즈마 구성에서, 웨이퍼는 플라즈마의 가장 활동적인 활성 종들의 직접적인 경로에 있지 않고, 그에 따라 웨이퍼는 보다 낮은 에너지들을 갖는 종들에게 영향받는다. 원격 플라즈마들은 플라즈마 내의 성분들 중 일부 또는 전부에 민감할 수 있는 재료들에 대해 사용될 수 있다.
일부 실시예들에서, 유전체 층(300)은 개구부(210)를 충전하고 포토레지스트 층(200) 및 하드 마스크 층(205)을 커버한다. 제한이 아닌 예로서, 유전체 층(300)의 두께는 최대 약 500nm일 수 있다.
일부 실시예들에서, 유전체 층(300)을 위한 전구체는 트리스(디메틸아미노)실란(3DMAS), 테트라키스(디메틸아미노)티타늄(TDMAT), 비스(터셔리-부틸-아미노)실란(BTBAS), 또는 비스(디에틸아미노)실란(BDEAS)일 수 있다. 일부 실시예들에서, 아르곤 플라즈마, 질소 플라즈마, 또는 질소계 플라즈마(예컨대, 암모니아(NH3))가 전구체를 해리시키기 위해 퇴적 프로세스 동안 사용될 수 있다. 일부 실시예들에서, 산소 플라즈마 또는 이산화탄소 플라즈마는 층(200)이 포토레지스트 층 또는 산소-민감성 층이 아닌 경우 전구체를 해리시키기 위해 퇴적 프로세스 동안 사용될 수 있다. 플라즈마 강화 프로세스들은 플라즈마가 전구체 해리에 필요한 추가적인 "에너지"를 제공할 수 있기 때문에 이들의 열 대응물들(예컨대, 전구체 해리를 위해 열 에너지만을 사용하는 프로세스들)과 비교한다면 더 낮은 퇴적 온도들(더 낮은 열 버짓(thermal budget))을 가질 수 있다. 그 결과, 동일한 반응물들이 사용되는 경우 유사한 열 프로세스가 보다 높은 온도에서 동작해야 할 수 있다. 예를 들어, 열 프로세스는 약 300℃ 이상의 퇴적 온도들에서 동작할 수 있다.
일부 실시예들에서, 모두가 스페이서 재료(115) 위에 있는 유전체 층(300), 포토레지스트 층(200), 및 하드 마스크 층(205)은 에치-백 프로세스로 제거될 수 있다. 에치-백 프로세스는 유전체 층(300), 포토레지스트 층(200), 및 하드 마스크 층(205)에 대해 동일한 선택성을 나타내는 에칭 화학물질을 사용할 수 있다. 다시 말해, 에치-백 프로세스 동안 유전체 층(300), 포토레지스트 층(200), 및 하드 마스크(205)는 동일한 레이트로 에칭될 필요가 있다. 일부 실시예들에서, 에치-백 프로세스는 물리적 및 화학적 에칭 성분을 갖는다. 제한이 아닌 예로서, 에칭 화학물질은 테트라플루오로메탄(CF4) 또는 트리플루오로메탄(CHF3)과 같은 가스들 및 Ar과 같은 불활성 가스를 포함할 수 있다. 일부 실시예들에서, 유전체 층(300)에 대한 에칭 레이트는 에치-백 프로세스 조건들 및 유전체 층(300)의 기본 농도에 따라 약 5Å/초 내지 약 50Å/초(예컨대, 15Å/초)의 범위에 있을 수 있다. 일부 실시예들에서, 에치-백 프로세스는 (예컨대, 스페이서 재료(115)가 에칭 화학물질에 의해 노출될 때) 스페이서 재료(115) 상에서 중단될 수 있다. 스페이서 재료(115) 상에서의 그리고 패터닝된 구조체들(110) 사이에서의 임의의 잔류 포토레지스트는 포토레지스트 층(200)에 선택적인 습식 세정 또는 건식 에칭 프로세스로 스트리핑(제거)될 수 있다. 도 4는 일부 실시예들에 따른 에치-백 프로세스 및 습식 세정 또는 건식 에칭 동작의 완료 후의 패터닝된 구조체들(110) 및 스페이서 재료(115)를 도시한다.
일부 실시예들에서, 제2 에치-백 프로세스는 패터닝된 구조체들(110)의 상부 표면 및 기판(120)의 상부 표면이 노출될 때까지 수평 표면들로부터 스페이서 재료(115)를 제거할 수 있다. 일부 실시예들에서, 스페이서 재료(115)는, 도 5에 도시된 바와 같이, 패터닝된 구조체들(100)의 수직 표면들 상에서는 에칭되지 않는다.
일부 실시예들에서, 유전체 층(300)은 2개 이상의 층들을 포함하는 스택일 수 있다. 제한이 아닌 예로서, 유전체 스택 층은 하기의 것을 포함할 수 있다: (i) 포토레지스트 층(200) 및 하드 마스크 층(205) 위에 퇴적되고 포토레지스트 개구부(들) 내측의 노출된 표면들을 등각으로(conformally) 커버하는 얇은 하부 층(예컨대, 최대 약 6nm); 및 (ii) 포토레지스트 층(200) 내의 개구부(들)를 충전하기 위해 하부 층 위에 퇴적되는 보다 두꺼운 상부 층(예컨대, 최대 약 500nm). 일부 실시예들에서, 상부 층은 갭 충전 층으로 간주된다.
도 6은 유전체 스택 층(600)의 스택 구성을 도시한다. 유전체 층(610)은 유전체 스택 층(600)의 하부 층이고, 유전체 층(620)은 유전체 스택 층(600)의 상부(또는 갭 충전) 층이다. 일부 실시예들에서, 유전체 층(620)의 퇴적이 산소를 함유하는 플라즈마 또는 반응물 가스를 수반하는 경우, 유전체 층(610)은 포토레지스트 손상에 대한 보호를 제공할 수 있다. 보다 두꺼운 하부 유전체 층(610)은 보다 얇은 유전체 층보다 더 양호한 포토레지스트 보호를 제공할 수 있다. 일부 실시예들에서, 하부 유전체 층(610)은 산소 손상에 대한 적절한 포토레지스트 보호를 제공하기 위해 최대 약 6nm의 두께일 수 있다. 앞서 언급된 바와 같이, 산소 손상된 포토레지스트는 수축, 의도치 않게 큰 피처들, 라인 에지 러프니스, 및 두께 손실과 같은 패턴 왜곡을 겪을 수 있다.
"유전체 스택" 접근법은 퇴적된 유전체 재료들 및 퇴적 프로세스에 채용되는 반응물 가스들의 관점에서 유전체 층(620)에 대한 추가의 프로세스 유연성을 제공한다. 이는 보호 층으로서의 하부 유전체 층(610)의 사용이 유전체 층(620)을 보호 층으로서 작용하는 것으로부터 분리시키기 때문이고; 그에 따라, 반응물 가스들의 선택이 산소계 가스들을 포함하도록 확장될 수 있다. 이와 같이, 유전체 층(620)은 하부 유전체 층(610)과 비교한다면 더 큰 산소 농도들을 함유할 수 있다.
일부 실시예들에서, 유전체 층(610)은 도 3의 유전체 층(300)과 유사한 저온 PEALD 프로세스 또는 저온 PECVD 프로세스로 퇴적될 수 있다. 앞서 언급된 바와 같이, 플라즈마 강화 프로세스들은 플라즈마가 전구체 해리에 필요한 추가적인 "에너지"를 제공할 수 있기 때문에 전형적으로 이들의 열 대응물들과 비교한다면 더 낮은 퇴적 온도들을 갖는다. 동일한 반응물들이 사용된 경우 유사한 열 프로세스가 보다 높은 온도에서 동작해야 할 것이다.
일부 실시예들에서, 유전체 층(610)에 대한 전구체는 3DMAS, TDMAT, BTBAS, 또는 BDEAS일 수 있다. 일부 실시예들에서, 아르곤 플라즈마, 질소 플라즈마, 또는 질소계 플라즈마(예컨대, NH3)가 전술된 전구체들을 해리시키기 위해 퇴적 프로세스 동안 사용될 수 있다. 일부 실시예들에서, 산소 플라즈마 또는 이산화탄소 플라즈마는 층(200)이 포토레지스트 층 또는 산소-민감성 층이 아닌 경우 전구체를 해리시키기 위해 퇴적 프로세스 동안 사용될 수 있다. 일부 실시예들에서, 퇴적 동안의 프로세스 온도는 300℃ 미만일 수 있고, 프로세스 압력은 0.5 내지 30Torr일 수 있으며, 무선 주파수(RF) 전력은 5 내지 1000와트의 범위일 수 있다. 보다 높은 RF 전력 설정들에서, 유전체 층(610)은 더 고밀도로 그리고 더 에칭 내성으로 될 수 있고 보다 낮은 성장 레이트를 나타낼 수 있다. 앞서 언급된 바와 같이, 유전체 층(610)의 두께는, 예를 들어, 최대 6nm일 수 있다.
일부 실시예들에서, 유전체 층(620)에 대한 전구체는 3DMAS, TDMAT, BTBAS, 또는 BDEAS일 수 있다. 그러나, 기저 유전체 층(610)이 산소 손상으로부터 포토레지스트(200)를 보호하도록 구성되었기 때문에, 전술된 전구체들을 해리시키는 데 사용되는 반응물 가스들은 산소를 함유할 수 있다. 예를 들어, 유전체 층(620)의 퇴적 동안 플라즈마를 생성하는 데 사용될 수 있는 반응물 가스들은 몇 가지 예를 들자면 산소, 이산화탄소, 및 아산화질소를 포함한다. 일부 실시예들에서, 유전체 층(620)은 사용된 전구체 및 프로세스 조건들에 따라 탄소, 질소, 티타늄, 또는 이들의 임의의 조합물을 함유할 수 있는 실리콘 산화물계 재료일 수 있다. 제한이 아닌 예로서, 유전체 층(620)은 저온 PEALD 프로세스 또는 저온 PECVD 프로세스로 퇴적될 수 있다.
대안적으로, 유전체 층(620)은 전술된 산소 함유 반응물 가스들(예컨대, 이산화탄소 및 아산화질소)을 사용하는 열(예컨대, 비플라즈마(non-plasma)) ALD 또는 CVD 프로세스로 퇴적될 수 있다. 일부 실시예들에서, 플라즈마 강화 및 열 프로세스들 양측 모두는 300℃ 미만의 퇴적 온도들 및 0.5 내지 30Torr 범위의 프로세스 압력들을 가질 수 있다. 퇴적이 플라즈마를 수반하는 경우, 그러면 RF 전력은 약 5 내지 약 1000와트의 범위일 수 있다. 유전체 층들(300 및 610)과 유사하게, 보다 높은 RF 전력 설정들에서, 유전체 층(620)은 더 고밀도로 그리고 더 에칭 내성으로 될 수 있고 보다 낮은 성장 레이트를 나타낼 수 있다.
앞서 언급된 바와 같이, 플라즈마 강화 프로세스들은 플라즈마가 전구체 해리에 필요한 추가적인 "에너지"를 제공할 수 있기 때문에 전형적으로 이들의 열 대응물들과 비교한다면 더 낮은 퇴적 온도들을 갖는다. 동일한 반응물들이 사용되는 경우 유사한 열 프로세스가 보다 높은 온도에서 동작해야 할 것이다. 예를 들어, 열 프로세스는 그의 플라즈마 강화 등가물과 비교한다면 약 300℃에서 동작할 필요가 있다.
일부 실시예들에서, 스페이서 재료(115) 위에 있는 유전체 스택 층(600) 및 포토레지스트 층(200)은 에치-백 프로세스로 제거될 수 있다. 에치-백 프로세스는 유전체 층들(610 및 620)에 대해서뿐만 아니라, 포토레지스트 층(200) 및 하드 마스크 층(205)에 대해서 동일한 선택성을 나타내는 에칭 화학물질을 사용할 수 있다. 다시 말해, 에치-백 프로세스 동안 유전체 층들 및 포토레지스트 층은 동일한 레이트로 에칭될 필요가 있다. 일부 실시예들에서, 에치-백 프로세스는 물리적 및 화학적 에칭 성분을 갖는다. 제한이 아닌 예로서, 에칭 화학물질은 테트라플루오로메탄(CF4) 또는 트리플루오로메탄(CHF3)과 같은 가스들 및 Ar과 같은 불활성 가스를 포함할 수 있다. 일부 실시예들에서, 유전체 층(300)에 대한 에칭 레이트는 약 15Å/초일 수 있다. 그러나, 그것은 에치-백 프로세스 조건들 및 유전체 층들(610 및 620)의 기본 농도에 따라 5 내지 50Å/초의 범위일 수 있다. 일부 실시예들에서, 에치-백 프로세스는 (예컨대, 스페이서 재료(115)가 에칭 화학물질에 의해 노출될 때) 스페이서 재료(115) 상에서 중단될 수 있다. 스페이서 재료(115) 상에서 패터닝된 구조체들(110) 사이에서의 임의의 잔류 포토레지스트는 포토레지스트 층(200)에 선택적인 습식 세정 프로세스 또는 건식 에칭 프로세스로 스트리핑(제거)될 수 있다. 도 7은 일부 실시예들에 따른 에치-백 프로세스 및 습식 세정 또는 건식 에칭 동작의 완료 후의 패터닝된 구조체들(110) 및 스페이서 재료(115)를 도시한다.
일부 실시예들에서, 그리고 도 8을 참조하면, 제2 에치-백 프로세스는 패터닝된 구조체들(110)의 상부 표면 및 기판(120)의 상부 표면이 노출될 때까지 수평 표면들로부터 스페이서 재료(115)를 제거할 수 있다. 일부 실시예들에서, 스페이서 재료(115)는 패터닝된 구조체들(100)의 수직 표면들 상에서는 에칭되지 않는다.
도 9는 고 종횡비 개구부를 충전하는 방법(900)(이후 방법(900))의 흐름도 다이어그램이다. 방법(900)은 포토레지스트 층 구조체들에서 저온 프로세스로 퇴적되는 유전체 층들의 형성을 설명한다. 다른 제조 동작들이 방법(900)에서 수행될 수 있고 단지 명료성을 위해 생략된다. 유전체 층 형성은 예시적인 제조 프로세스(900)로 제한되지 않을 수도 있다.
예시적인 제조 프로세스(900)는 복수의 패터닝된 구조체들이 기판 위에 형성될 수 있는 동작(910)으로 시작한다. 패터닝된 구조체는 실리콘(예컨대, 비정질 또는 결정질), 유전체(예컨대, 질화물, 산화물, 또는 탄화물), 금속, 또는 이들의 임의의 조합물로 제조될 수 있다. 일부 실시예들에 따르면, 패터닝된 구조체들은 측벽 및 상부 표면들을 갖는다. 일부 실시예들에서, 스페이서 재료는 패터닝된 구조체들 위에 있을 수 있다. 제한이 아닌 예로서, 스페이서 재료는 산화 티타늄으로 제조될 수 있다. 기판은 FEAL 층들, MOL 층들, 및 금속 비아들 및 라인들이 형성되는 BEOL 상호접속 층의 일 부분을 포함하는 부분적으로 제조되는 웨이퍼일 수 있다. 일부 실시예들에서, 기판은 베어 반도체 웨이퍼, SOI(semiconductor on insulator) 웨이퍼일 수 있다. 제한이 아닌 예로서, 기판은 실리콘, 다른 기본 반도체, 합금 반도체, 또는 이들의 조합물로 제조될 수 있다. 대안적으로, 기판은 비반도체, 예를 들어, 석영일 수 있다. 도 1의 구조체(100)는 기판(120), 패터닝된 구조체들(110), 및 스페이서 재료(115)를 이용하여 동작(910)으로부터 발생되는 예시적인 구조체이다.
동작(920)에서, 재료 층 - 예컨대, 도 2의 층(200) - 이 스페이서 재료(115) 위에 배치된다. 일부 실시예들에서, 층(200)은 포토레지스트, 유전체, 금속, 또는 반도체일 수 있다. 예시 목적들을 위해, 층(200)은 포토레지스트 층의 맥락에서 설명될 것이다. 예를 들어, 유전체들, 금속들, 및 반도체들과 같은, 고 종횡비 개구부들을 갖는 다른 재료 층들이 사용될 수 있다. 이러한 다른 유형들의 재료 층들은 본 개시내용의 사상 및 범주 내에 있다. 도 2를 참조하면, 포토레지스트 층(200)은 반응물 가스로서 또는 플라즈마의 형태로 산소를 함유하는 후속 프로세스에 의해 손상되기 쉬운 유기 화합물일 수 있다. 일부 실시예들에서, 포토레지스트 층(200)은 20 내지 40nm의 범위일 수 있는 두께를 갖는 얇은 하드 마스크 층(205)에 의해 커버될 수 있다. 일부 실시예들에서, 하드 마스크 층(205)은, 예를 들어, 실리콘, 산소, 및 탄소를 함유할 수 있다.
동작(930)에서, 적어도 하나의 개구부가 포토레지스트 층에 형성되어 복수의 패터닝된 구조체들(110) 및 기판(120) 위의 스페이서 재료(115)의 일 부분을 노출시킨다. 도 2를 참조하면, 포토레지스트 층(200)이 패터닝되고 개구부들(210)이 형성되어 패터닝된 구조체들(110) 및 기판(120) 위의 스페이서 재료(115)의 부분을 노출시킬 수 있다. 개구부들(210)과 유사한 2개 초과의 개구부들이 포토레지스트 층(200)에 걸쳐 가능하다. 예를 들어, 포토레지스트 층(200)의 상이한 영역들은 포토마스크 패턴에 따라 그 영역들을 UV 또는 EUV 광으로 노광시킴으로써 패터닝될 수 있다. 포토레지스트에서 화학 반응들을 개시하여 포토레지스트의 노광된 영역들의 용해도를 변화시키기 위해 노광 후 베이크가 수행될 수 있다. 네거티브 톤 포토레지스트의 경우, 노광된 영역들이 가교(경화)되고 현상액에서 비가용성으로 된다. 포지티브 톤 포토레지스트의 경우, 비노광된 영역들이 가교(경화)되고 현상액에서 비가용성으로 된다. 그에 따라, 네거티브 톤 포토레지스트의 경우, 노광된 영역들이 제거되지 않는다. 그리고 포지티브 톤 레지스트의 경우, 노광된 영역들이 제거된다. 포토레지스트 층(200)은 일부 실시예들에 따라 포지티브 또는 네거티브 톤 포토레지스트일 수 있다.
노광 후에, 포토레지스트 층이 현상된다. 현상 단계 동안, 포토레지스트 층은 포토레지스트의 비가교된 영역들을 용해시키는 현상액에 노출된다. 현상액에의 노출 후에, 웨이퍼는 탈이온수(DI)로 린스되고 건조된다. 임의의 잔류 용매를 증발시키고 포토레지스트 층을 경화시키기 위해 현상 후 열 베이크(하드 베이크)가 행해진다. 포지티브 톤 및 네거티브 톤 레지스트들의 공칭 하드 베이크 온도들은 각각 약 130℃ 및 150℃일 수 있다.
일부 실시예들에서, 개구부(210)와 유사한 다수의 개구부들이 웨이퍼의 상이한 영역들에서 가능하다. 일부 실시예들에서, 개구부(210)와 유사한 개구부들은 약 10:1의 종횡비를 가질 수 있다. 이는 개구부가 약 150nm의 깊이 및 약 15nm의 하부 폭을 가질 수 있다는 것을 의미한다. 일부 실시예들에서, 개구부(210)와 유사한 개구부들은 10:1보다 더 큰 종횡비(예컨대, 20:1)를 가질 수 있다. 종횡비는 개구부의 깊이와 폭 사이의 비율로서 정의된다.
동작(940)에서, 적어도 하나의 개구부를 충전하기 위해 포토레지스트 층 위에 재료 - 예컨대, 도 3의 유전체 층(300) - 가 퇴적된다. 퇴적 프로세스는 저온에서 수행된다. 도 3을 참조하면, 유전체 층(300)은 포토레지스트 층(200) 및 하드 마스크(205) 위에 퇴적되어 개구부(210)를 충전한다. 제한이 아닌 예로서, 유전체 층(300)의 두께는 최대 약 500nm일 수 있다. 일부 실시예들에서, 층(300)은 저온 PEALD 또는 저온 PECVD 프로세스로 퇴적될 수 있다. 일부 실시예들에서, 퇴적 동안의 프로세스 온도는 300℃ 미만일 수 있고, 압력은 0.5 내지 30Torr의 범위일 수 있으며, 무선 주파수(RF) 전력은 약 5 내지 약 1000와트의 범위일 수 있다. 보다 높은 RF 전력 설정들에서, 유전체 층(300)은 더 고밀도로 그리고 더 에칭 내성으로 될 수 있고 보다 낮은 성장 레이트를 나타낼 수 있다. 일부 실시예들에 따르면, 플라즈마는 플라즈마 반응기 구성에 따라 직접적이거나 또는 원격일 수 있다.
상기 논의된 바와 같이, 플라즈마 강화 프로세스들은 플라즈마가 전구체 해리에 필요한 추가적인 "에너지"를 제공할 수 있기 때문에 이들의 열 대응물들과 비교한다면 더 낮은 퇴적 온도들을 갖는다. 동일한 반응물들이 사용되는 경우 유사한 열 프로세스가 보다 높은 온도에서 동작해야 할 것이다.
대안적으로, 유전체 스택이 개구부(210)에 퇴적될 수 있다. 예를 들어, 도 6을 참조하면, 유전체 스택 층(600)은 하부 유전체 층(610) 및 상부(또는 갭 충전) 층 유전체(620)를 포함할 수 있다. 일부 실시예들에서, 유전체 층(620)의 퇴적이 산소를 함유하는 플라즈마 또는 반응물 가스를 수반하는 경우, 유전체 층(610)은 포토레지스트 손상에 대한 보호를 제공할 수 있다. 보다 두꺼운 하부 유전체 층(610)은 보다 얇은 유전체 층보다 더 양호한 포토레지스트 보호를 제공할 수 있다. 일부 실시예들에서, 하부 유전체 층(610)은 산소 손상에 대한 적절한 포토레지스트 보호를 제공하기 위해 최대 약 6nm의 두께일 수 있다.
상기 "유전체 스택" 접근법은 퇴적된 유전체 재료들 및 퇴적 프로세스에 채용되는 반응물 가스들의 관점에서 유전체 층(620)에 대한 추가의 프로세스 유연성을 제공한다. 이와 같이, 유전체 층(620)은 산소를 함유할 수 있다.
일부 실시예들에서, 유전체 층(610)은 도 3의 유전체 층(300)과 유사한 저온 PEALD 프로세스 또는 저온 PECVD 프로세스로 퇴적될 수 있다. 앞서 언급된 바와 같이, 플라즈마 강화 프로세스들은 플라즈마가 전구체 해리에 필요한 추가적인 "에너지"를 제공할 수 있기 때문에 전형적으로 이들의 열 대응물들과 비교한다면 더 낮은 퇴적 온도들을 갖는다. 동일한 반응물들이 사용된 경우 유사한 열 프로세스가 보다 높은 온도에서 동작해야 할 것이다.
일부 실시예들에서, 유전체 층(610)에 대한 전구체는 3DMAS, TDMAT, BTBAS, 또는 BDEAS일 수 있다. 일부 실시예들에서, 아르곤 플라즈마, 질소 플라즈마, 또는 질소계 플라즈마(예컨대, NH3)가 전술된 전구체들을 해리시키기 위해 퇴적 프로세스 동안 사용될 수 있다. 일부 실시예들에서, 산소 플라즈마 또는 이산화탄소 플라즈마는 층(200)이 포토레지스트 층 또는 산소-민감성 층이 아닌 경우 전구체를 해리시키기 위해 퇴적 프로세스 동안 사용될 수 있다. 일부 실시예들에서, 퇴적 동안의 프로세스 온도는 300℃ 미만일 수 있고, 프로세스 압력은 0.5 내지 30Torr일 수 있으며, 무선 주파수(RF) 전력은 5 내지 1000와트의 범위일 수 있다. 보다 높은 RF 전력 설정들에서, 유전체 층(610)은 더 고밀도로 그리고 더 에칭 내성으로 될 수 있고 보다 낮은 성장 레이트를 나타낼 수 있다. 앞서 언급된 바와 같이, 유전체 층(610)의 두께는, 예를 들어, 최대 6nm일 수 있다.
일부 실시예들에서, 유전체 층(620)에 대한 전구체는 3DMAS, TDMAT, BTBAS, 또는 BDEAS일 수 있다. 그러나, 기저 유전체 층(610)이 산소 손상으로부터 포토레지스트(200)를 보호하도록 구성되었기 때문에, 전술된 전구체들을 해리시키는 데 사용되는 반응물 가스들은 산소를 함유할 수 있다. 예를 들어, 유전체 층(620)의 퇴적 동안 플라즈마를 생성하는 데 사용될 수 있는 반응물 가스들은 몇 가지 예를 들자면 산소, 이산화탄소, 및 아산화질소를 포함한다. 일부 실시예들에서, 유전체 층(620)은 사용된 전구체 및 프로세스 조건들에 따라 탄소, 질소, 티타늄, 또는 이들의 임의의 조합물을 함유할 수 있는 실리콘 산화물계 재료일 수 있다. 제한이 아닌 예로서, 유전체 층(620)은 저온 PEALD 프로세스 또는 저온 PECVD 프로세스로 퇴적될 수 있다.
대안적으로, 유전체 층(620)은 전술된 산소 함유 반응물 가스들(예컨대, 이산화탄소 및 아산화질소)을 사용하는 열(예컨대, 비플라즈마) ALD 또는 CVD 프로세스로 퇴적될 수 있다. 일부 실시예들에서, 플라즈마 강화 및 열 프로세스들 양측 모두는 약 300℃ 미만의 퇴적 온도들 및 0.5 내지 30Torr 범위의 프로세스 압력들을 가질 수 있다. 퇴적이 플라즈마를 수반하는 경우, 그러면 RF 전력은 약 5 내지 약 1000와트의 범위일 수 있다. 유전체 층들(300 및 610)과 유사하게, 보다 높은 RF 전력 설정들에서, 유전체 층(620)은 더 고밀도로 그리고 더 에칭 내성으로 될 수 있고 보다 낮은 성장 레이트를 나타낼 수 있다.
동작(950)에서, 스페이서 재료 위의 재료들이 에칭된다. 도 3을 참조하면, 스페이서 재료(115) 위의 유전체 층(300), 포토레지스트 층(200), 및 하드 마스크(205)가 제거된다. 예를 들어, 유전체 층(300), 포토레지스트 층(200) 및 하드 마스크(205)가 동시에 제거되는 에치-백 프로세스로 제거가 달성될 수 있다. 결과적으로, 에치-백 선택성은 제거될 재료들에 대해 동일해야 한다. 제한이 아닌 예로서, 에칭 화학물질은 CF4 또는 CHF3과 같은 가스들 및 Ar과 같은 불활성 가스를 포함할 수 있다. 일부 실시예들에서, 유전체 층(300)에 대한 에칭 레이트는 에치-백 프로세스 조건들에 따라 약 5Å/초 내지 50Å/초(예컨대, 약 15Å/초)의 범위를 가질 수 있다. 일부 실시예들에서, 에치-백 프로세스는 (예컨대, 스페이서 재료(115)가 에칭 화학물질에 의해 노출될 때) 스페이서 재료(115) 상에서 중단될 수 있다.
동작(960)에서, 임의의 잔류 포토레지스트가 제거될 수 있다. 예를 들어, 도 4 및 도 7을 참조하면, 스페이서 재료(115) 위의 포토레지스트(200)는 포토레지스트 층(200)에 선택적인 습식 세정 프로세스 또는 건식 에칭 프로세스로 스트리핑(제거)될 수 있다. 일부 실시예들에서, 제2 에치-백 프로세스는 패터닝된 구조체들(110) 및 기판(120)의 수평 표면들 위의 스페이서 재료(115)를 제거할 수 있다. 도 5 및 도 8은 일부 실시예들에 따른 에치-백 프로세스 및 습식 세정 또는 건식 에칭 동작의 완료 후의 패터닝된 구조체들(110) 및 스페이서 재료(115)를 도시한다.
본 개시내용은 포토레지스트 재료를 손상시키는 일 없이 포토레지스트 층 상에 퇴적될 수 있고 10:1보다 더 큰 종횡비 피처들을 충전할 수 있는 유전체 필름들 또는 필름들의 스택에 관한 것이다. 일부 실시예들에 따르면, 그러한 유전체 필름들 또는 필름들의 스택은 0.5 내지 30Torr의 프로세스 압력 범위를 갖는 등각 저온(예컨대, 300℃ 미만) 플라즈마 지원 또는 열 퇴적 프로세스들로 퇴적될 수 있다. 추가적으로, 유전체 필름들은 에치-백 프로세스 조건들에 따라 5 내지 50Å/초 범위의 허용가능한 에칭 레이트들(예컨대, 약 15Å/초) 및 양호한 에칭 선택성을 갖는, 예를 들어, CF4, CHF3 및 불활성 가스들의 혼합물을 사용하는 에치-백 프로세스로 제거될 수 있다.
일부 실시예들에 따르면, 유전체 필름은 하기의 것을 포함할 수 있다: (i) 0.5 내지 30Torr의 프로세스 압력 범위를 갖는 등각 저온(예컨대, 300℃ 미만) 플라즈마 강화 퇴적 프로세스로 포토레지스트 층 상에 직접 퇴적되는 얇은 하부 유전체 층(예컨대, 최대 6nm); 및 (ii) 0.5 내지 30Torr의 프로세스 압력 범위를 갖는 저온(예컨대, 300℃ 미만) 등각 플라즈마 강화 또는 열 퇴적 프로세스로 제1 유전체 층 위에 퇴적되는 보다 두꺼운 상부 갭 충전 유전체 층(예컨대, 최대 500nm).
"유전체 스택" 접근법은 채용된 반응물 가스들 및 퇴적된 유전체 재료들의 관점에서 갭 충전 유전체 층에 대한 추가의 프로세스 유연성을 제공한다. 이는 보호 층으로서의 하부 유전체 층의 사용이 상부 갭 충전 유전체 층을 이러한 역할로부터 분리시키기 때문이고, 반응물 가스들의 선택이 산소계 가스들을 포함하도록 확장될 수 있다. 이와 같이, 갭 충전 유전체 층은 하부 유전체 층과 비교한다면 더 큰 산소 농도들을 함유할 수 있다.
추가적으로, 플라즈마 강화 프로세스들의 사용은 열 프로세스들과 비교한다면 요구되는 퇴적 온도를 낮추기 때문에 유리할 수 있다. 플라즈마는 전구체 해리에 필요한 추가적인 "에너지"를 제공할 수 있다. 동일한 반응물들이 사용되는 경우 유사한 열 프로세스가 보다 높은 온도(예컨대, 300℃에 가깝거나 그보다 더 높음)에서 동작해야 할 것이다. 일부 실시예들에서, 유전체 스택은 유전체 층들 및 포토레지스트 층에 대해 유사한 에칭 레이트들을 갖는 적합한 에치-백 프로세스로 제거될 수 있다. 제한이 아닌 예로서, 에치-백 화학물질은 CF4 또는 CHF3과 같은 가스들 및 Ar과 같은 불활성 가스를 포함할 수 있다. 일부 실시예들에서, 유전체 층들에 대한 에칭 레이트는 에치-백 프로세스 조건들에 따라 5 내지 50Å/초(예컨대, 15Å/초)의 범위를 가질 수 있다.
일부 실시예들에서, 방법은 기판 위에 형성되는 복수의 패터닝된 구조체들 및 그 복수의 패터닝된 구조체들 위에 형성되는 스페이서 재료를 포함한다. 스페이서 재료의 일 부분을 노출시키기 위해 재료 층에 형성된 하나 이상의 개구부들을 가지는 스페이서 재료 위에 재료 층이 배치된다. 유전체는 하나 이상의 개구부들을 충전하기 위해 재료 층 위에 퇴적된다. 추가로, 유전체 및 재료 층은 스페이서 재료가 노출될 때까지 에칭된다. 일 실시예에서, 상기 복수의 패터닝된 구조체들의 상부 표면 위의 상기 스페이서 재료를 제거하는 단계가 더 포함된다. 일 실시예에서, 상기 유전체를 퇴적시키는 단계는 플라즈마 강화 화학 기상 증착(plasma-enhanced chemical vapor deposition)(PECVD) 또는 플라즈마 강화 원자 층 퇴적(plasma-enhanced atomic layer deposition)(PEALD) 프로세스로 상기 유전체를 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 PECVD 또는 PEALD 프로세스는 트리스(디메틸아미노)실란(3DMAS), 테트라키스(디메틸아미노)티타늄(TDMAT), 비스(터셔리-부틸-아미노)실란(BTBAS), 또는 비스(디에틸아미노)실란(BDEAS) 전구체 및 산소, 이산화탄소, 아르곤, 질소, 또는 질소계 플라즈마를 사용한다. 일 실시예에서, 상기 PECVD 또는 PEALD 프로세스는 300℃ 미만의 프로세싱 온도를 포함한다. 일 실시예에서, 상기 재료 층은 포토레지스트, 유전체, 금속, 또는 반도체를 포함하고, 상기 하나 이상의 개구부들은 10 대 1보다 더 큰 종횡비를 갖는다.
일부 실시예들에서, 방법은 기판 위에 형성되는 복수의 패터닝된 구조체들을 포함하고, 여기서 복수의 패터닝된 구조체들 각각은 상부 표면을 갖는다. 패터닝된 구조체들 위에 스페이서 재료가 형성되고 스페이서 재료 위에 포토레지스트 층이 배치된다. 스페이서 재료의 일 부분을 노출시키기 위해 포토레지스트 층에 개구부가 형성되고, 개구부에 재료 스택이 퇴적된다. 재료 스택은 하부 층 및 상부 층을 포함하고, 여기서 재료 스택을 퇴적시키는 것은 포토레지스트 층 상에 등각으로 하부 층을 퇴적시키는 것; 및 개구부를 충전시키기 위해 상부 층을 퇴적시키는 것을 포함한다. 재료 스택 및 포토레지스트 층은 스페이서 재료가 노출될 때까지 에칭된다. 추가로, 복수의 패터닝된 구조체들의 상부 표면 위에서 그리고 기판 위에서 스페이서 재료가 제거된다. 일 실시예에서, 상기 하부 층을 퇴적시키는 단계는 트리스(디메틸아미노)실란(3DMAS), 테트라키스(디메틸아미노)티타늄(TDMAT), 비스(터셔리-부틸-아미노)실란(BTBAS), 또는 비스(디에틸아미노)실란(BDEAS) 전구체 및 아르곤, 질소, 또는 질소계 플라즈마로 상기 하부 층을 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 상부 층을 퇴적시키는 단계는 트리스(디메틸아미노)실란(3DMAS), 테트라키스(디메틸아미노)티타늄(TDMAT), 비스(터셔리-부틸-아미노)실란(BTBAS), 또는 비스(디에틸아미노)실란(BDEAS) 전구체; 및 산소, 이산화탄소, 또는 아산화질소 반응물 가스 또는 플라즈마로 상기 상부 층을 퇴적시킨다. 일 실시예에서, 상기 하부 및 상부 층들을 퇴적시키는 단계는 플라즈마 강화 화학 기상 증착(PECVD) 프로세스 또는 플라즈마 강화 원자 층 퇴적(PEALD) 프로세스로 상기 하부 및 상부 층들을 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 상부 층을 퇴적시키는 단계는 열 화학 기상 증착(CVD) 프로세스 또는 열 원자 층 퇴적(ALD) 프로세스로 상기 상부 층을 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 하부 및 상부 층들을 퇴적시키는 단계는 300℃ 미만의 온도에서 상기 하부 및 상부 층들을 퇴적시키는 단계를 포함한다.
일부 실시예들에서, 방법은 기판 위에 형성되는 복수의 패터닝된 구조체들, 및 그 복수의 패터닝된 구조체들 위에 형성되는 스페이서 재료를 포함한다. 스페이서 재료 위에 제1 재료 스택이 배치되고, 여기서 제1 재료 스택은 스페이서 재료를 커버하고 있는 포토레지스트 하부 층, 및 하드 마스크 상부 층을 포함한다. 스페이서 재료의 일 부분을 노출시키기 위해 제1 재료 스택에 10:1보다 더 큰 종횡비로 개구부가 형성된다. 제1 재료 스택 위에 제2 재료 스택이 퇴적되어 개구부를 충전한다. 제2 재료 스택은 포토레지스트 하부 층 및 하드 마스크 상부 층 상에 형성되는 제2 하부 층, 및 개구부를 충전하는 제2 상부 층을 포함한다. 일 실시예에서, 방법은 상기 스페이서 재료가 노출될 때까지 상기 제1 재료 스택 및 상기 제2 재료 스택을 동시에 제거하는 단계; 및 상기 복수의 패터닝된 구조체들의 상부 표면 위의 그리고 상기 기판 위의 상기 스페이서 재료를 제거하는 단계를 더 포함한다. 일 실시예에서, 상기 제2 재료 스택을 퇴적시키는 단계는 트리스(디메틸아미노)실란(3DMAS), 테트라키스(디메틸아미노)티타늄(TDMAT), 비스(터셔리-부틸-아미노)실란(BTBAS), 또는 비스(디에틸아미노)실란(BDEAS) 전구체 및 아르곤, 질소, 또는 질소계 플라즈마로 상기 제2 하부 층을 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 제2 재료 스택을 퇴적시키는 단계는 트리스(디메틸아미노)실란(3DMAS), 테트라키스(디메틸아미노)티타늄(TDMAT), 비스(터셔리-부틸-아미노)실란(BTBAS), 또는 비스(디에틸아미노)실란(BDEAS) 전구체 및 산소, 이산화탄소, 또는 아산화질소 반응물 가스 또는 플라즈마로 상기 제2 상부 층을 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 제2 재료 스택을 퇴적시키는 단계는 플라즈마 강화 화학 기상 프로세스(PECVD) 또는 플라즈마 강화 원자 층 퇴적(PEALD) 프로세스로 상기 제2 하부 층을 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 PECVD 또는 PEALD 프로세스들은 300℃ 미만의 퇴적 온도를 포함한다. 일 실시예에서, 상기 제2 재료 스택을 퇴적시키는 단계는 열 화학 기상 증착(CVD) 프로세스 또는 원자 층 퇴적(ALD) 프로세스로 상기 제2 상부 층을 퇴적시키는 단계를 포함한다. 일 실시예에서, 상기 제2 재료 스택을 퇴적시키는 단계는 플라즈마 강화 화학 기상 증착(PECVD) 프로세스 또는 플라즈마 강화 원자 층 퇴적(PEALD) 프로세스로 상기 제2 상부 층을 퇴적시키는 단계를 포함하고, 상기 PECVD 또는 PEALD 프로세스는 300℃ 미만의 퇴적 온도를 포함한다.
전술한 것은 본 기술분야의 통상의 기술자가 본 개시내용의 양태들을 더 잘 이해할 수 있도록 실시예들의 피처들을 약술한다. 본 기술분야의 통상의 기술자는 이들이 본 명세서에 도입된 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 이점들을 달성하기 위한 다른 프로세스들 및 구조체들을 설계 또는 수정하기 위한 기초로서 본 개시내용을 쉽게 사용할 수 있다는 것을 이해해야 한다. 또한, 본 기술분야의 통상의 기술자는 이러한 등가의 구성들이 본 개시내용의 사상 및 범주로부터 벗어나지 않고, 이들이 본 개시내용의 사상 및 범주로부터 벗어남이 없이 본 명세서에서 다양한 변화들, 대체들, 및 변경들을 행할 수 있다는 것을 알아야 한다.

Claims (10)

  1. 방법으로서,
    기판 위에 복수의 패터닝된 구조체들을 형성하는 단계;
    상기 복수의 패터닝된 구조체들 위에 스페이서 재료를 형성하는 단계;
    상기 스페이서 재료 위에 재료 층을 배치하는 단계;
    상기 스페이서 재료의 일 부분을 노출시키기 위해 상기 재료 층에 하나 이상의 개구부들을 형성하는 단계;
    상기 하나 이상의 개구부들을 충전하기 위해 상기 재료 층 위에 유전체를 퇴적시키는 단계; 및
    상기 스페이서 재료가 노출될 때까지 상기 유전체 및 상기 재료 층을 에칭하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 복수의 패터닝된 구조체들의 상부 표면 위의 상기 스페이서 재료를 제거하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서,
    상기 유전체를 퇴적시키는 단계는 플라즈마 강화 화학 기상 증착(plasma-enhanced chemical vapor deposition)(PECVD) 또는 플라즈마 강화 원자 층 증착(plasma-enhanced atomic layer deposition)(PEALD) 프로세스로 상기 유전체를 퇴적시키는 단계를 포함하는, 방법.
  4. 제3항에 있어서,
    상기 PECVD 또는 PEALD 프로세스는 트리스(디메틸아미노)실란(3DMAS), 테트라키스(디메틸아미노)티타늄(TDMAT), 비스(터셔리-부틸-아미노)실란(BTBAS), 또는 비스(디에틸아미노)실란(BDEAS) 전구체 및 산소, 이산화탄소, 아르곤, 질소, 또는 질소계 플라즈마를 사용하는, 방법.
  5. 제3항에 있어서,
    상기 PECVD 또는 PEALD 프로세스는 300℃ 미만의 프로세싱 온도를 포함하는, 방법.
  6. 제1항에 있어서,
    상기 재료 층은 포토레지스트, 유전체, 금속, 또는 반도체를 포함하고, 상기 하나 이상의 개구부들은 10 대 1보다 더 큰 종횡비를 갖는, 방법.
  7. 방법으로서,
    기판 위에 복수의 패터닝된 구조체들을 형성하는 단계로서, 상기 복수의 패터닝된 구조체들 각각은 상부 표면을 갖는, 상기 기판 위에 복수의 패터닝된 구조체들을 형성하는 단계;
    상기 패터닝된 구조체들 위에 스페이서 재료를 형성하는 단계;
    상기 스페이서 재료 위에 포토레지스트 층을 배치하는 단계;
    상기 스페이서 재료의 일 부분을 노출시키기 위해 상기 포토레지스트 층에 개구부를 형성하는 단계;
    상기 개구부에 재료 스택을 퇴적시키는 단계로서, 상기 재료 스택은 하부 층 및 상부 층을 포함하고, 상기 재료 스택을 퇴적시키는 단계는,
    상기 포토레지스트 층 상에 등각으로(conformally) 상기 하부 층을 퇴적시키는 단계; 및
    상기 개구부를 충전하기 위해 상기 상부 층을 퇴적시키는 단계
    를 포함하는, 상기 재료 스택을 퇴적시키는 단계;
    상기 스페이서 재료가 노출될 때까지 상기 재료 스택 및 상기 포토레지스트 층을 에칭하는 단계; 및
    상기 복수의 패터닝된 구조체들의 상기 상부 표면 위의 그리고 상기 기판 위의 상기 스페이서 재료를 제거하는 단계
    를 포함하는, 방법.
  8. 제7항에 있어서,
    상기 상부 층을 퇴적시키는 단계는 열 화학 기상 증착(CVD) 프로세스 또는 열 원자 층 증착(ALD) 프로세스로 상기 상부 층을 퇴적시키는 단계를 포함하는, 방법.
  9. 방법으로서,
    기판 위에 복수의 패터닝된 구조체들을 형성하는 단계;
    상기 복수의 패터닝된 구조체들 위에 스페이서 재료를 형성하는 단계;
    상기 스페이서 재료 위에 제1 재료 스택을 배치하는 단계로서, 상기 제1 재료 스택은 포토레지스트 하부 층 및 하드 마스크 상부 층을 포함하고, 상기 포토레지스트 하부 층은 상기 스페이서 재료를 커버하는, 상기 스페이서 재료 위에 제1 재료 스택을 배치하는 단계;
    상기 스페이서 재료의 일 부분을 노출시키기 위해 상기 제1 재료 스택에 10 대 1보다 더 큰 종횡비를 갖는 개구부를 형성하는 단계; 및
    상기 개구부를 충전하기 위해 상기 제1 재료 스택 위에 제2 재료 스택을 퇴적시키는 단계로서, 상기 제2 재료 스택은 제2 하부 층 및 제2 상부 층을 포함하고, 상기 제2 하부 층은 상기 포토레지스트 하부 층 및 상기 하드 마스크 상부 층 상에 형성되고, 상기 제2 상부 층은 상기 개구부를 충전하는, 상기 제2 재료 스택을 퇴적시키는 단계
    를 포함하는, 방법.
  10. 제9항에 있어서,
    상기 스페이서 재료가 노출될 때까지 상기 제1 재료 스택 및 상기 제2 재료 스택을 동시에 제거하는 단계; 및
    상기 복수의 패터닝된 구조체들의 상부 표면 위의 그리고 상기 기판 위의 상기 스페이서 재료를 제거하는 단계
    를 더 포함하는, 방법.
KR1020170163338A 2017-06-29 2017-11-30 고 종횡비 갭 충전 KR102110280B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762526801P 2017-06-29 2017-06-29
US62/526,801 2017-06-29
US15/725,996 US10361112B2 (en) 2017-06-29 2017-10-05 High aspect ratio gap fill
US15/725,996 2017-10-05

Publications (2)

Publication Number Publication Date
KR20190002269A true KR20190002269A (ko) 2019-01-08
KR102110280B1 KR102110280B1 (ko) 2020-05-14

Family

ID=64739160

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170163338A KR102110280B1 (ko) 2017-06-29 2017-11-30 고 종횡비 갭 충전

Country Status (4)

Country Link
US (1) US10361112B2 (ko)
KR (1) KR102110280B1 (ko)
CN (1) CN109216263A (ko)
TW (1) TW201906079A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021113257A1 (en) * 2019-12-02 2021-06-10 Lam Research Corporation In-situ pecvd cap layer

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11482411B2 (en) 2020-06-30 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20220021863A (ko) * 2020-08-14 2022-02-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013004603A (ja) * 2011-06-14 2013-01-07 Toshiba Corp 半導体装置の製造方法
US20140170853A1 (en) * 2012-12-14 2014-06-19 Lam Research Corporation Image reversal with ahm gap fill for multiple patterning
US20150040077A1 (en) * 2013-07-31 2015-02-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
KR101711264B1 (ko) * 2014-10-01 2017-02-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 상호 접속 구조체를 형성하는 방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004059428A1 (de) * 2004-12-09 2006-06-22 Infineon Technologies Ag Herstellungsverfahren für eine mikroelektronische Elektrodenstruktur, insbesondere für ein PCM-Speicherelement, und entsprechende mikroelektronische Elektrodenstruktur
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
KR101095780B1 (ko) * 2009-06-30 2011-12-21 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
US8466067B2 (en) * 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US9166053B2 (en) * 2013-02-22 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device including a stepped profile structure
US10068781B2 (en) * 2014-10-06 2018-09-04 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
TWI766789B (zh) * 2015-06-18 2022-06-01 美商應用材料股份有限公司 用於均勻且共形之混成氧化鈦薄膜的沉積方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013004603A (ja) * 2011-06-14 2013-01-07 Toshiba Corp 半導体装置の製造方法
US20140170853A1 (en) * 2012-12-14 2014-06-19 Lam Research Corporation Image reversal with ahm gap fill for multiple patterning
US20150040077A1 (en) * 2013-07-31 2015-02-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
KR101711264B1 (ko) * 2014-10-01 2017-02-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 상호 접속 구조체를 형성하는 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021113257A1 (en) * 2019-12-02 2021-06-10 Lam Research Corporation In-situ pecvd cap layer

Also Published As

Publication number Publication date
CN109216263A (zh) 2019-01-15
US20190006227A1 (en) 2019-01-03
TW201906079A (zh) 2019-02-01
KR102110280B1 (ko) 2020-05-14
US10361112B2 (en) 2019-07-23

Similar Documents

Publication Publication Date Title
US8465903B2 (en) Radiation patternable CVD film
US7235478B2 (en) Polymer spacer formation
US11676852B2 (en) Patterning methods for semiconductor devices
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US8273258B2 (en) Fine pattern forming method
TWI781368B (zh) 處理基板的方法
US7901869B2 (en) Double patterning with a double layer cap on carbonaceous hardmask
US11022878B2 (en) Critical dimension uniformity
KR102110280B1 (ko) 고 종횡비 갭 충전
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
WO2022100070A1 (zh) 光刻胶的处理方法及自对准双图案化方法
US6350706B1 (en) Process for using photo-definable layers in the manufacture of semiconductor devices and resulting structures of same
CN101107698A (zh) 半导体装置的制造方法
TW201025418A (en) Methods of forming a photoresist-comprising pattern on a substrate
KR100983724B1 (ko) 반도체 소자의 형성 방법
US20070231746A1 (en) Treating carbon containing layers in patterning stacks
US11538692B2 (en) Cyclic plasma etching of carbon-containing materials
EP4325550A1 (en) Substrate processing method
US20240153770A1 (en) Method of Profile Control for Semiconductor Manufacturing
KR20180121325A (ko) 반도체 디바이스 및 제조 방법
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
KR100904422B1 (ko) 반도체 소자 제조 방법
WO2001009683A1 (en) Reduction of resist poisoning
KR20080085287A (ko) 패턴 형성을 위한 반도체 구조 및 이를 이용한 패턴 형성방법
DE102017127430A1 (de) Füllen von Lücken mit hohem Seitenverhältnis

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant