KR20180104025A - Euv 용기 및 euv 콜렉터의 타겟 재료 잔해 세정을 위한 시스템, 방법 및 장치 - Google Patents

Euv 용기 및 euv 콜렉터의 타겟 재료 잔해 세정을 위한 시스템, 방법 및 장치 Download PDF

Info

Publication number
KR20180104025A
KR20180104025A KR1020187023648A KR20187023648A KR20180104025A KR 20180104025 A KR20180104025 A KR 20180104025A KR 1020187023648 A KR1020187023648 A KR 1020187023648A KR 20187023648 A KR20187023648 A KR 20187023648A KR 20180104025 A KR20180104025 A KR 20180104025A
Authority
KR
South Korea
Prior art keywords
hydrogen
euv
source
target material
hydrogen radical
Prior art date
Application number
KR1020187023648A
Other languages
English (en)
Inventor
백종훈
매튜 치어란 에이브라함
데이빗 로버트 에반스
잭 마이클 게자
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180104025A publication Critical patent/KR20180104025A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B19/00Condensers, e.g. light collectors or similar non-imaging optics
    • G02B19/0033Condensers, e.g. light collectors or similar non-imaging optics characterised by the use
    • G02B19/0095Condensers, e.g. light collectors or similar non-imaging optics characterised by the use for use with ultraviolet radiation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0006Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 with means to keep optical surfaces clean, e.g. by preventing or removing dirt, stains, contamination, condensation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70166Capillary or channel elements, e.g. nested extreme ultraviolet [EUV] mirrors or shells, optical fibers or light guides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Plasma Technology (AREA)
  • Luminescent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

EUV 광을 생성하는 것과 동시에 타겟 재료 잔해 침전물을 제거하는 시스템 및 방법은, 타겟 재료 잔해 침전물에 근접한 EUV 용기 내에 수소 라디칼을 인시츄로 생성하는 것, 타겟 재료 잔해 침전물을 휘발시키는 것, 및 휘발된 타겟 재료 잔해 침전물을 EUV 용기 내에 산소를 함유하는 종(species)을 필요로 하지 않고 EUV 용기로부터 퍼지시키는 것을 포함한다.

Description

EUV 용기 및 EUV 콜렉터의 타겟 재료 잔해 세정을 위한 시스템, 방법 및 장치
관련 출원에 대한 상호 참조
본 출원은 2016 년 1 월 21 일에 출원되고 발명의 명칭이 "SYSTEM, METHOD AND APPARATUS FOR TARGET MATERIAL DEBRIS CLEANING OF EUV VESSEL AND EUV COLLECTOR"인 미국 유틸리티 출원 제 15/003,385 에 대한 우선권을 주장하고, 이 문헌은 그 전체 내용이 원용되어 본원에 통합된다.
극자외(EUV) 광은 극자외 리소그래피(EUVL)와 같은 응용 분야에서 사용된다.
극자외(EUV) 광은 타겟 재료가 고파워 레이저 소스에 의해 조사되는 EUV 소스를 사용하여 생성될 수 있다. 타겟 재료를 레이저 소스로 조사하면 EUV 광을 방출하는 플라즈마가 생성된다.
EUV 용기 내에 위치된 EUV 콜렉터가 플라즈마에 의해 방출된 EUV 광을 수집하고 포커싱한다. 수집된 EUV 광은 EUV 용기 밖으로 그리고 극자외 리소그래피 시스템(EUVL)과 같은 EUV 소비 시스템 내로 지향된다.
타겟 재료가 고파워 레이저 소스로 조사되면 타겟 재료 중 많은 부분이 타겟 재료 잔해로서 EUV 용기 주위에 분포된다. 타겟 재료 잔해는 EUV 콜렉터 및 EUV 용기 내의 다양한 내부면에 침착된다. EUV 콜렉터 상의 타겟 재료 잔해 침전물은 EUV 콜렉터의 수집 성능을 떨어뜨린다. EUV 용기 상의 내부면은 결과적으로는 내부면에서 떨어져 나와서 EUV 콜렉터 상에 놓이게 되고, EUV 콜렉터의 수집 성능을 더 떨어뜨리게 된다.
이러한 콘텍스트에서 본 발명의 실시예들이 대두된다.
폭넓게 말하면, 본 발명은 타겟 재료 잔해 침전물에 근접한 EUV 용기 내에 수소 라디칼(H*)을 인시츄로 생성하는 시스템 및 방법을 제공함으로써 이러한 필요성을 충족시킨다. 본 발명이 프로세스, 장치, 시스템, 컴퓨터 판독가능 미디어, 또는 디바이스와 같은 다수의 방식으로 구현될 수 있다는 것이 이해되어야 한다. 인시츄로 세정하면 EUV 광을 생성하는 것과 동시에 EUV 콜렉터 및 EUV 용기를 세정할 수 있게 된다. 인시츄로 세정하면 EUV 생성 동작이 EUV 콜렉터 및 EUV 용기를 세정하기 위해서 인터럽트될 필요가 없어진다. 본 발명의 수 개의 진보적인 실시예들이 후술된다.
일 실시예는, EUV 광을 생성하는 것과 동시에 타겟 재료 잔해 침전물을 제거하는 시스템을 제공하고, 이러한 시스템은, 타겟 재료 잔해 침전물에 근접한 EUV 용기 내에 수소 라디칼을 인시츄로 생성하는 것, 타겟 재료 잔해 침전물을 휘발시키는 것, 및 EUV 용기 내의 종(species)을 함유하는 산소가 필요 없이 EUV 용기로부터 휘발된 타겟 재료 잔해 침전물을 EUV 용기로부터 퍼지(purge)시키는 것을 포함한다.
다른 실시예는, 퍼지 가스(purge gas)의 소정 양을 EUV 용기 내로 분배할 수 있는 퍼지 가스 소스에 커플링된 EUV 용기 퍼지 가스 유입구가 있는 EUV 용기를 포함하는 EUV 광원을 제공한다. EUV 콜렉터는 EUV 용기 내에 배치된다. EUV 콜렉터는 반사면을 포함한다. 타겟 재료 소스는 타겟 재료의 소정 양을 EUV 용기 내로 분배할 수 있다. 타겟 재료의 양의 제 1 부분은 제 1 타겟 재료 잔해 침전물로서, 적어도 EUV 콜렉터의 반사면의 일부에 배치된다. 제 1 수소 라디칼 소스는 EUV 용기 내에 배치된다. 제 1 수소 라디칼 소스는 EUV 콜렉터의 반사면에 근접하게 배치된 제 1 수소 라디칼 소스 배출구를 포함한다. 제 1 수소 라디칼 소스는 수소 소스에 커플링된 제 1 수소 소스 유입구, 제 1 신호 소스에 커플링된 제 1 수소 소스 전극 및 제 2 신호 소스에 커플링된 제 2 수소 소스 전극을 더 포함한다. 제 1 수소 라디칼 소스는 제 1 양의 수소 라디칼을 생성하고 제 1 양의 수소 라디칼을 제 1 수소 라디칼 소스 배출구로부터 분배할 수 있다. 제 1 양의 수소 라디칼은 제 1 타겟 재료 잔해 침전물과 결합하여 제 1 타겟 재료 잔해 침전물 중 적어도 일부를 함유하는 제 1 양의 휘발성 화합물을 형성한다. EUV 용기 퍼지 배출구가 EUV 용기 내에 포함되고, 제 1 양의 휘발성 화합물을 EUV 용기 밖으로 전달할 수 있다.
수소 라디칼 소스는 용량성 또는 유도성 커플링된 수소 플라즈마 챔버와 같은 수소 플라즈마 챔버를 포함할 수 있다. 수소 라디칼을 생성하기 위해서 사용되는 전극들 중 하나는 EUV 콜렉터의 도전층의 일부일 수 있다. 수소 라디칼 소스 배출구는 EUV 콜렉터의 둘레 주위에 배치되거나 EUV 콜렉터의 중앙 개구에 가까이 배치될 수 있다. 수소 라디칼 소스는 EUV 용기 내의 하나 이상의 배플에 가깝게 배치될 수 있다.
수소 라디칼은 타겟 재료 잔해 침전물에 근접하게 생성되고, 따라서 수소 라디칼들이 재결합하여 수소 가스를 형성하기 전의 시간을 연장하기 위한 종을 함유한 산소가 필요하지 않게 되며, 따라서 종을 함유하는 산소가 EUV 용기에 진입하는 것이 방지될 수 있다.
다른 실시예는 EUV 광원 내에 EUV 광을 생성하는 동시에 EUV 광원 내의 타겟 재료 잔해 침전물을 세정하는 방법을 제공한다. 이러한 방법은 수소 라디칼의 소정 양을 EUV 광원의 EUV 용기 내에 생성하는 단계 및 EUV 용기 내의 표면 상의 타겟 재료 침전물에 가까운 수소 라디칼의 생성된 양을 배출하는 단계를 포함한다. 제 1 부분 타겟 재료 침전물의 적어도 일부를 함유하는 제 1 양의 휘발성 화합물이 형성된다. 충분한 양의 퍼지 가스가 EUV 용기 내로 분배되고, 제 1 양의 휘발성 화합물이 EUV 용기 퍼지 배출구를 통해 EUV 용기 밖으로 퍼지된다.
본 발명의 다른 양태 및 장점은 본 발명의 원리를 예를 들어 도시하는 첨부 도면과 함께 후속하는 상세한 설명으로부터 명백해질 것이다.
본 발명은 첨부 도면과 공동으로 설명되는 후속하는 발명을 실시하기 위한 구체적인 내용에 의하여 용이하게 이해될 것이다.
도 1a 는 개시된 기술 요지의 실시예에 따르는 레이저-생성-플라즈마 EUV 광원의 단순화된 개략도이다.
도 1b 는 개시된 기술 요지의 실시예에 따르는, EUV 용기 내의 중간 용기 배플 어셈블리를 도시한다.
도 1c 는 개시된 기술 요지의 실시예에 따르는, 타겟 재료 잔해 침전물이 있는 EUV 콜렉터의 개략도이다.
도 1d 는 개시된 기술 요지의 실시예에 따르는 배플 어셈블리의 일부의 개략도이다.
도 2 는 개시된 기술 요지의 실시예에 따르는 하나 이상의 인시츄 수소 라디칼 소스를 포함하는 EUV 광원의 단순화된 개략도이다.
도 3a 는 개시된 기술 요지의 실시예에 따르는 콜렉터 및 인시츄 수소 라디칼 소스의 일부의 세부 단면도이다.
도 3b 는 개시된 기술 요지의 실시예에 따르는 콜렉터 및 인시츄 수소 라디칼 소스의 단면의 단순화된 개략도이다.
도 4 는 개시된 기술 요지의 실시예에 따르는 콜렉터 및 대안적인 인시츄 수소 라디칼 소스의 단면의 단순화된 개략도이다.
도 5 는 개시된 기술 요지의 실시예에 따르는, 콜렉터의 둘레를 실질적으로 둘러싸는 인시츄 수소 라디칼 소스를 가지는 콜렉터의 단순화된 도면이다.
도 6 은 개시된 기술 요지의 실시예에 따르는, 콜렉터의 둘레에 실질적으로 균일하게 분포되고 배치된 다수의 인시츄 수소 라디칼 소스를 포함하는 콜렉터의 단순화된 도면이다.
도 7 은 개시된 기술 요지의 실시예에 따르는 콜렉터 및 다수의 인시츄 수소 라디칼 소스의 단면의 단순화된 개략도이다.
도 8 은 개시된 기술 요지의 실시예에 따르는 유도성 수소 라디칼 발생기의 단순화된 개략 측면도이다.
도 9 는 개시된 기술 요지의 실시예에 따르는 유도성 수소 라디칼 발생기의 단순화된 개략 평면도이다.
도 10 은 개시된 기술 요지의 실시예에 따르는 유도성 수소 라디칼 발생기의 단순화된 개략 측면도이다.
도 11 은 개시된 기술 요지의 실시예에 따르는 용량성 수소 라디칼 발생기의 단순화된 개략 측면도이다.
도 12 는 개시된 기술 요지의 실시예에 따르는 용량성 수소 라디칼 발생기의 단순화된 개략 측면도이다.
도 13 은 개시된 기술 요지의 실시예에 따르는, 배플 내에 또는 가까이 배치되는 용량성 수소 라디칼 소스를 포함하는 EUV 용기의 간략화된 측면도이다.
도 14 는, 개시된 기술 요지의 실시예에 따르는, EUV 용기 내에서 수소 라디칼을 인시츄로 생성하는 데에 수행되는 방법 동작을 예시하는 흐름도이다.
도 15 는 개시된 기술 요지의 실시예에 따르는, EUV 용기 내의 타겟 재료 잔해 침전물을 제거하면서 동시에 EUV 광을 생성하는 데에 수행되는 방법 동작을 예시하는 흐름도이다.
인-시츄 수소 라디칼 발생기를 사용하여 EUV 용기로부터 타겟 재료 잔해를 제거하기 위한 여러 예시적인 실시예들이 이제 설명될 것이다. 본 발명은 본 명세서에서 설명된 특정 세부사항들의 일부 또는 전부가 없이도 실시될 수 있다는 것이 당업자에게 명백할 것이다.
여러 상이한 타입의 타겟 재료가 EUV 방출 플라즈마를 생성하기 위하여 사용될 수 있다. 한 구현형태는 주석 및/또는 주석 화합물을 이용한다. 타겟 재료를 함유한 주석의 몇 가지 예에는 순수 주석, SnBr4, SnBr2, SnH4 중 하나 이상을 포함하는 주석 화합물 및 주석-갈륨 합금, 주석-인듐 합금, 주석-인듐-갈륨 합금, 및 이들의 조합 중 하나 이상을 포함하는 주석 합금이 있다.
불행하게도, 잔해를 포함하는 주석은 EUV 용기 및 특히 EUV 콜렉터의 내부 표면 중 많은 내부 표면을 오염시킬 수 있다. 잔해를 함유한 주석을 제거하기 위한 많은 시도가 과거에 이루어졌다. 한 접근법은 EUV 용기 내에 수소를 주입하여 증착된 주석을 SnH4와 같으며 이제 EUV 용기로부터 퍼지될 수 있는 휘발성 주석 화합물로 변환하는 것을 포함한다. 불행하게도, 수소를 단순히 주입해서는 증착된 주석이 상대적으로 늦게 휘발성 주석 화합물로 변환된다.
예시적인 일 구현형태에서, H+ 및/또는 H- 이온을 포함하는 수소 라디칼은 EUV 용기 외부에 위치된 마이크로파 수소 라디칼 발생기를 사용하여 생성될 수 있다. 불행하게도, 수소 라디칼이 EUV 용기 내에 도달할 때에는, 대부분의 수소 라디칼이 결합해서 H2 수소 가스를 형성했다.
더 큰 개수의 수소 라디칼이 전달되도록 보장하기 위한 하나의 접근법에서, 산소, 특히 물 증기가 수소 라디칼과 함께 주입될 수 있다. 결과적으로, EUV 용기 내에 도달하는 수소 라디칼의 개수는 증착된 주석과 요구된 결합을 하여 휘발성 주석 화합물을 형성하기에 충분하다. 그러나, 산소 또는 물 증기를 EUV 용기 내로 주입하려면, EUV 용기 내에서 수행되는 EUV 생성 프로세스가 산소 또는 물 증기가 존재한 동안에는 중단되어야 하는데, 그 이유는 산소 및/또는 물 증기가 EUV 생성 프로세스에 문제를 일으키기 때문이다.
개시된 본 명세서에서 하나의 구현형태는, 증착된 주석이 휘발성 주석 화합물로 바뀌는 변환을 H+ 및/또는 H- 이온을 포함하는 수소 라디칼을 EUV 용기 내에 인-시츄로 배치된 수소 라디칼 소스 내에 생성함으로써 증가시키는 것이다. 수소 라디칼 소스는 고농도의 수소 라디칼을 생성할 것이다. 수소 라디칼 소스는 EUV 콜렉터에 매우 가깝게 배치되어, 수소 라디칼 소스의 배출구가 수소 라디칼을 EUV 콜렉터로 직접적으로 전달하도록 할 수 있다. 수소 라디칼 소스의 배출구는 EUV 콜렉터의 둘레 및/또는 EUV 콜렉터의 중심 근처 주위의 하나 이상의 위치에 배치될 수 있다.
수소 라디칼 소스는 단일 수소 라디칼 소스이거나 다수의 수소 라디칼 소스일 수 있다. 일 구현형태에서, 수소 라디칼 소스는 EUV 콜렉터의 둘레에 가깝게 배치된 환형 플라즈마 용기의 형태이다. 다른 구현형태에서, 수소 라디칼 소스는 EUV 콜렉터의 둘레 및/또는 EUV 콜렉터의 중심 근처에 배치된 다수의 수소 라디칼 소스의 형태이다.
하나 이상의 추가적인 수소 라디칼 소스가 EUV 용기 내에 포함될 수도 있다. 일 예로서는, 추가적인 수소 라디칼 소스는, 날개(vane)에 증착된 주석을 휘발성 주석 화합물로 변환하기 위하여, 날개에 근접하게 수소 라디칼을 제공하도록 EUV 용기의 배출구 부분에 있는 날개에 가깝게 포함될 수 있다.
EUV 용기 내에서 수소 라디칼을 생성하면, EUV 용기가 EUV를 생성하기 위하여 사용되고 있는 것과 동시에, 증착된 주석을 휘발성 주석 화합물로 변환하기 위해서 충분한 개수의 수소 라디칼이 이용가능해지게 된다. 결과적으로, EUV 광원은 증착된 주석이 EUV의 생성을 방해하기 전에 더 긴 시간 기간 동안 동작될 수 있다. 충분한 개수의 수소 라디칼이 생성될 수 있는 한, 증착된 주석은 실질적으로 제거되고 실질적으로 제로 레벨로 유지되어서 주석이 과도하게 증착된 결과 EUV 용기를 분해해서 세척해야 할 필요성이 완화될 수 있다.
도 1a 는 개시된 기술 요지의 실시예에 따르는 레이저-생성-플라즈마 EUV 광원(20)의 단순화된 개략도이다. LPP 광원(20)은 광 펄스열을 생성하고 광 펄스를 EUV 용기(26) 내로 전달하기 위한 펄스 생성 시스템(22)을 포함한다. 각각의 광 펄스(23)는 광 펄스 생성 시스템(22)으로부터 빔 수송 시스템(25) 내의 빔 경로(21) 따라 이동한다. 광 펄스(23)는 EUV 용기(26) 내로 포커싱되어 조사 영역(28)에 있는 선택된 타겟 액적을 조명 및/또는 조사한다.
도 1 에 도시되는 광 펄스 생성 시스템(22)에서 사용되기에 적합한 레이저는, 예를 들어 약 10kW 이상과 같은 상대적으로 높은 파워에서 그리고 약 10kHz 이상과 같은 높은 펄스 반복 레이트에서 동작하는 DC 또는 RF 여기에 의하여 약 9.3 μm 또는 약 10.6 μm에서 방사선을 생성하는 펄스형 가스 방전 CO2 레이저 디바이스와 같은 펄스형 레이저 디바이스를 포함할 수 있다. 특정한 일 구현형태에서, 광 펄스 생성 시스템(22) 내의 레이저는, 다수의 증폭 스테이지를 가지고, 저에너지 및 고반복률을 가지는, 예를 들어 100 kHz 동작이 가능한 Q-스위치드 마스터 발진기(MO)에 의하여 개시되는 시드 펄스를 가지는 마스터 발진기/파워 증폭기(MOPA) 구성을 가지는 축류 RF-펌핑 CO2 레이저일 수 있다. 그러면, MO에서 나온 레이저 펄스는 조사 영역(28)에 도달하기 전에 증폭되고, 성형되며 포커싱될 수 있다.
연속적으로 펌핑된 CO2 증폭기가 광 펄스 생성 시스템(22)에 사용될 수도 있다. 예를 들어, 발진기 및 다수의 증폭기(예를 들어, 0-PA1-PA2... 구성)를 가지는 적합한 CO2 레이저 디바이스가 2005 년 6 월 29 일 출원되고 2008 년 10 월 21 일 발행되고 발명의 명칭이 "LPP EUV LIGHT SOURCE DRIVE LASER SYSTEM"인 동일인 소유의 미국 특허 제 7,439,530 에서 개시되는데, 이러한 문헌의 전체 내용은 원용에 의해 본 명세서에 포함된다.
또는, 광 펄스 생성 시스템(22) 내의 레이저는 레이저 웨이스트 내의 타겟 재료의 표면이 광 공진기의 하나의 미러로서의 역할을 하는 소위 "자기-타게팅(self-targeting)" 레이저 시스템으로서 구성될 수 있다. 일부 "자기-타게팅" 장치에서는 마스터 발진기가 필요하지 않을 수도 있다. 자기-타게팅 레이저 시스템은, 2005 년 10 월 26 일에 출원되고 2009 년 2 월 17 일에 발행되며 발명의 명칭이 "DRIVE LASER DELIVERY SYSTEMS FOR EUV LIGHT SOURCE"인 동일인 소유의 미국 특허 제 7,491,954 에 개시되고 청구되는데, 이러한 문헌의 전체 내용은 원용에 의해 본 명세서에 포함된다.
응용 분야에 따라, 다른 타입의 레이저, 예를 들어 높은 파워와 높은 펄스 반복 레이트에서 동작하는 엑시머 또는 분자 불소 레이저도 광 펄스 생성 시스템(22)에서 이용되기에 적합할 수 있다. 다른 예에는, 예를 들어 섬유, 막대 또는 디스크형 액티브 미디어를 포함하는 고상 레이저, 예를 들어 그 전체 내용은 원용에 의해 본 명세서에 포함되는 동일인 소유의 미국 특허 번호 제 6,625,191, 제 6,549,551, 및 제 6,567,450 에 보이는 것과 같은 MOPA 구성 엑시머 레이저 시스템, 예를 들어 발진기 챔버 및 하나 이상의 증폭 챔버(증폭 챔버는 병렬 또는 직렬임)와 같은 하나 이상의 챔버를 가지는 엑시머 레이저, 마스터 발진기/파워 발진기(MOPO) 구성, 마스터 발진기/파워 링 증폭기(MOPRA) 구성, 파워 발진기/파워 증폭기(POPA) 구성, 또는 하나 이상의 엑시머 또는 분자 불소 증폭기 또는 발진기 챔버를 시딩(seed)하는 고상 레이저가 적합할 수 있다. 다른 광원 디자인도 가능하다.
다시 도 1a 를 참조하면, EUV 광원(20)은 타겟 재료의 부분(예를 들어, 액적)을 EUV 용기(26)의 내부로 조사 영역(28)을 향해 전달하는 타겟 재료 전달 시스템(24)을 더 포함할 수 있는데, 액적(102A, 102B)은 하나 이상의 광 펄스(23), 예를 들어 하나 이상의 선-펄스와 그리고 그 이후에 하나 이상의 조사 펄스와 상호작용하여 결과적으로 플라즈마 및 EUV 광(34)의 대응하는 방출을 생성한다. 사용되지 않거나 조사되지 않은 액적(102C)은 타겟 재료 캐치(catch)(200) 내에 수집된다. 타겟 재료는 주석, 리튬, 제논 등 또는 이들의 조합을 포함할 수 있지만 반드시 이들로 한정되는 것은 아닌 재료를 포함할 수도 있다. EUV 방출 원소, 예를 들어 주석, 리튬, 제논, 등은 액체 액적 및/또는 액체 액적(102A, 102B) 내에 보유된 고체 입자의 형태일 수도 있고, 또는 본 명세서의 다른 부분에서 설명되는 다른 형태일 수도 있다.
예를 들어, 주석 원소는 순수 주석으로서, 주석 화합물, 예를 들어 SnBr4, SnBr2, SnH4로서, 주석 합금, 예를 들어 주석-갈륨 합금, 주석-인듐 합금, 주석-인듐-갈륨 합금으로서, 또는 이들의 조합으로서 사용될 수 있다.
사용되는 재료에 따라서, 타겟 재료는 실온 또는 거의 실온에서(예를 들어, 주석 합금, SnBr4), 상승된 온도에서(예를 들어, 순수 주석) 또는 실온보다 낮은 온도에서(예를 들어, SnH4) 조사 영역(28)으로 제공될 수 있고, 일부 경우에는, 예를 들어 SnBr4와 같이 상대적으로 휘발성일 수 있다. LPP EUV 광원에서 이러한 재료를 사용하는 것과 관련된 더 많은 세부사항은 2006 년 4 월 17 일에 출원되고 2008 년 12 월 16 일에 발행되며 발명의 명칭이 "ALTERNATIVE FUELS FOR EUV LIGHT SOURCE"인 동일인 소유의 미국 특허 제 7,465,946 에서 제공되는데, 이러한 문헌의 내용은 본 명세서에 원용에 의해 통합된다.
도 1a 를 더욱 참조하면, EUV 광원(20)은 EUV 콜렉터(30)를 포함한다. EUV 콜렉터(30)는 장형(prolate) 회전타원체(즉, 자신의 장축 중심으로 회전된 타원)의 형태인 반사면을 가지는 근사-수직 입사 EUV 콜렉터이다. 물론, 실제 형상 및 기하학적 구조는 챔버의 크기 및 초점의 위치에 따라서 달라질 수 있다. EUV 콜렉터(30)는 하나 이상의 실시예에서 차등(graded) 다중층 코팅을 포함할 수 있다. 등급별 다중층 코팅은 몰리브덴과 실리콘, 그리고 어떤 경우에는, 하나 이상의 고온 확산 베리어층, 평활층, 캐핑층 및/또는 식각 저지층의 교번하는 층을 포함할 수 있다.
EUV 콜렉터(30)는 개구(32)도 포함한다. 개구(32)는 광 펄스 생성 시스템(22)에 의해 생성된 광 펄스(23)가 통과해서 조사 영역(28)으로 가도록 한다. EUV 콜렉터(30)는 조사 영역(28) 내에 또는 가깝게 일차 초점(31) 및 중간 초점(40)을 가지는 장형 회전타원체 미러일 수 있다. EUV 광(34)은 EUV 광원(20)로부터 중간 초점(40)에 또는 가깝게 출력되고, EUV 광(34)을 이용하는 다운스트림 디바이스(42)로 입력된다. 일 예로서는, EUV 광(34)을 수광하는 다운스트림 디바이스(42)는 집적 회로 리소그래피 툴(예를 들어, 스캐너)일 수 있다.
장형 회전타원체 미러 대신에, 예를 들어 EUV 콜렉터(30)가, 후속해서 EUV 광을 이용하는 디바이스로 전달되도록 EUV 광(34)을 수집하고 중간 초점(40)으로 지향시키기 위해서 사용될 수 있다는 것이 이해되어야 한다. 예를 들어, EUV 콜렉터(30)는 자신의 장축 중심으로 회전된 포물선일 수 있다. 또는, EUV 콜렉터(30)는 링형 단면을 가지는 빔을 중간 초점(40)으로 전달하도록 구성될 수 있다(예를 들어, 2006 년 8 월 16 일에 출원되고 2010 년 11 월 30 일에 발행되고 발명의 명칭이 "EUV OPTICS"이며, 그 내용이 본 명세서에서 원용에 의해 통합되는 동일인 소유의 미국 특허 제 7,843.632).
EUV 광원(20)은 EUV 제어기(60)를 더 포함할 수 있다. EUV 제어기(60)는, 광 펄스 생성 시스템(22) 내의 하나 이상의 램프 및/또는 레이저 디바이스를 트리거링하여 챔버(26)로 전달될 광 펄스(23)를 생성하기 위한 점화(firing) 제어 시스템(65)을 더 포함할 수 있다.
EUV 광원(20)은 하나 이상의 타겟 재료 이미저(imager; 70)를 포함하는 타겟 재료 위치 검출 시스템을 더 포함할 수 있다. 타겟 재료 이미저(70)는, 조사 영역(28)에 대한 하나 이상의 타겟 재료 액적(102A, 102B)의 위치 및/또는 타이밍을 나타내는 출력을 제공하는 CCD 또는 다른 이미징 기술 및/또는 백라이트 스트로보스코픽 조명 및/또는 광 커튼을 사용하여 이미지를 캡쳐할 수 있다. 이미저(70)는 타겟 재료 위치 검출 피드백 시스템(62)에 커플링되고 타겟 재료 위치 및 타이밍 데이터를 해당 시스템에 출력한다. 타겟 재료 위치 검출 피드백 시스템(62)은 타겟 재료 위치 및 궤적을 계산할 수 있고, 이것으로부터 타겟 재료 위치 오차가 계산될 수 있다. 타겟 재료 위치 오차는 타겟 재료의 각각의 부분에 계산되거나 평균으로(예를 들어, 액적 단위로 하나의 액적에 대해서 또는 평균 액적 데이터로) 계산될 수 있다. 그러면, 타겟 재료 위치 오차는 EUV 제어기(60)에 입력으로서 제공될 수 있다. EUV 제어기(60)는 방향 및/또는 타이밍 정정 신호를 광 펄스 생성 시스템(22)에 제공하여, 챔버(26) 내의 조사 영역(28)으로 전달되는 광 펄스(23)의 궤적 및/또는 초점력(focal power) 또는 초점을 변경하도록 소스 타이밍 회로를 제어하거나 및/또는 빔 위치 및 성형 시스템을 제어할 수 있다.
EUV 광원(20)은 소스(20)에 의하여 생성된 EUV 광의 다양한 성질을 측정하기 위한 하나 이상의 EUV 계측 기구를 더 포함할 수 있다. 이러한 성질은 예를 들어 세기(예를 들어, 총 세기 또는 특정 스펙트럼 대역 내의 세기), 스펙트럼 대역폭, 편광, 빔 위치, 포인팅, 등을 포함할 수 있다. EUV 광원(20)에 대하여, 기구는 다운스트림 툴, 예를 들어 포토리소그래피 스캐너가 온-라인인 동안 EUV 출력의 일부를 예를 들어 픽오프 미러를 사용하여 샘플링하거나 "비수집(uncollected)" EUV 광을 샘플링함으로써 동작하도록 구성되거나, 및/또는 다운스트림 툴, 예를 들어 포토리소그래피 스캐너가 오프라인인 동안에 예를 들어 EUV 광원(20)의 전체 EUV 출력을 측정함으로써 동작할 수 있다.
EUV 광원(20)은, 원하는 조사 영역(28)에 도달하는 액적(102A, 102B)에 있는 위치 오차를 정정하고, 및/또는 타겟 재료 액적(102A, 102B)이 광 펄스 생성 시스템(22)과 동기되어 생성되게 하도록, 예를 들어 타겟 재료 디스펜서(92)로부터의 타겟 재료의 릴리스 포인트를 변경하고 및/또는 타겟 재료 형성 타이밍을 변경하기 위하여, EUV 제어기(60)로부터의 신호(일부 구현형태들에서 위에서 설명된 바와 같은 타겟 재료 위치 오차, 또는 그로부터 유도되는 일부 물리량을 포함할 수 있음)에 응답해서 동작가능한 타겟 재료 제어 시스템(90)을 더 포함할 수 있다.
EUV 광원(20)의 추가적인 세부사항과 대체예들은, 2010 년 3 월 16 일에 출원되고 2013 년 11 월 5 일에 발행되며 발명의 명칭이 "System, Method and Apparatus for Laser Produced Plasma Extreme Ultraviolet Chamber with Hot Walls and Cold Collector Mirror"이고 그 전체 내용이 원용에 의해 본원에 통합되는 동일인 소유의 미국 특허 제 8,575,575 에서도 설명된다. 미국 특허 제 8,575,575 는, EUV 콜렉터(30) 및 날개와 같은 다른 내부면이 EUV 콜렉터의 표면에 형성된 주석 침전물의 녹는점보다 낮은 온도로 냉각되는 구현형태를 제공하는데, 그 이유는 일부 구현형태들에서는 고체 형태의 주석 침전물이 휘발성 주석 화합물로 더 쉽게 변환되기 때문이다.
EUV 광원(20)의 추가적인 세부사항과 대체예들은, 2010 년 3 월 16 일에 출원되고 2014 년 2 월 18 일에 발행되며 발명의 명칭이 "System, Method and Apparatus for Aligning and Synchronizing Target Material for Optimum Extreme Ultraviolet Light Output"이고 그 전체 내용이 원용에 의해 본원에 통합되는 동일인 소유의 미국 특허 제 8,653,491 에서도 설명된다. 미국 특허 제 8,653,491 은 타겟 재료를 더 정확하게 타게팅하여 EUV 방출 플라즈마의 양을 개선하는 구현형태를 제공한다.
도 1b 는 개시된 기술 요지의 실시예에 따르는, EUV 용기(26) 내의 중간 용기 배플 어셈블리(150)를 도시한다. 배플 어셈블리(150)는 EUV 용기(26)의 중간 용기 영역(155')에 위치된다. EUV 용기(26)의 이차 영역(155)은 두 개의 부분인 중간 용기 영역(155') 및 고물(aft) 용기 영역(155")으로 나뉜다. 중간 용기 영역(155')은 조사 영역(28)에서 시작해서 EUV 용기(26)의 배출구(40A)로 연장된다. 고물 용기 영역(155")은 중간 용기 영역(155')와 f EUV 용기(26)의 배출구(40A) 사이에서 연장된다. 중간 용기 영역(155') 및 고물 용기 영역(155")은 특정한 길이를 가지지 않기 때문에, 일부 구현형태들에서 중간 용기 영역(155')은 EUV 용기(26)의 이차 영역(155)의 실질적으로 전부를 포함할 수 있다.
배플 어셈블리(150)는 타겟 재료가 조사 영역(28)에서 조사될 때 생성되는 미립자(153)의 일부를 수용하고, 속도를 느리게 하며, 캡쳐하는 일련의 통로 및 구조체를 포함한다. 배플 어셈블리(150)는 조사 영역(28) 및 EUV 콜렉터(30)로부터 중간 초점(40)의 위치 또는 EUV 용기(26)의 이차 영역(155)의 임의의 부분까지 연장되는 일련의 날개 또는 다른 구조체 및 다공성 재료로 형성될 수 있다. 배플 어셈블리(150)가 조사 영역(28) 및 EUV 콜렉터(30)로부터 중간 초점(40)까지 연장될 수 있는 반면에, 배플 어셈블리는 EUV 광(34)이 EUV 콜렉터(30)로부터 3 차원의 원뿔형 투과 영역(152)을 통해 중간 초점(40)으로 전달되는 것을 방지하거나 차단하지 않는다.
배플 어셈블리(150) 내의 통로는 투과 영역(152)의 에지(154A, 154B)에서 시작하고, 배플 어셈블리(150) 내의 통로는 EUV 용기(26)의 내부면(156)으로 연장된다. 배플 어셈블리(150)는 투과 영역(152)을 둘러싸지만 그 안으로 돌출되지는 않는 일련의 동심의 배플을 포함할 수 있다. 배플 어셈블리(150)는 실질적으로 투과 영역(152)의 에지(154A, 154B)로부터 EUV 용기(26)의 내부면(156)으로 연장된다.
비록 도면에서는 수평 구성으로 도시되지만, 일부 구현형태들에서 EUV 용기(26)는 거의 수직 배향으로 구성되어, 배플 어셈블리(150) 및 EUV 용기의 배출구(40A)가 실질적으로 콜렉터(30) 바로 위에 지향된다는 것에 주의해야 한다. 결과적으로, 배플 어셈블리(150) 상에 형성되는 타겟 재료 침전물이 제거(dislodge)되고 우연히 콜렉터(30) 상에 수집될 수 있다.
도 1c 는 개시된 기술 요지의 실시예에 따르는, 타겟 재료 잔해 침전물(161A-D 및 162)이 있는 EUV 콜렉터(30)의 개략도이다. 타겟 재료 잔해 침전물(161A-D 및 162)은 많은 형태로 존재할 수 있다. 일 예로서는, 육안으로 구별할 수 있는 상대적으로 작은 미립자(161A)가 콜렉터(30)의 표면에 침착될 수 있다. 더 큰 침전물(161B, 161D)은, 처음에 배플 어셈블리(150)에 형성되었고 배플 어셈블리로부터 방출된 후 콜렉터(30) 상에 증착된 더 큰 침전물 및/또는 타겟 재료 잔해 침전물로 응고되거나 축적 및/또는 집합되는 다수의 작은 미립자를 포함할 수 있다. 더 큰 타겟 재료 잔해 침전물(161C)도 역시 다양한 이유 때문에 콜렉터(30)에 형성될 수 있다. 지적된 타겟 재료 잔해 침전물(161A-D)에 추가하여, 타겟 재료 잔해 침전물(162)의 매우 얇은 층이 콜렉터(30)의 실질적으로 전체 표면 및 EUV 용기(26) 내부의 다른 표면을 코팅할 수 있다. 타겟 재료 잔해 침전물(162)의 매우 얇은 층은 콜렉터(30)의 표면 상의 먼지의 실질적으로 균일한 코팅과 유사할 수 있는 실질적인 극미세 타겟 재료 잔해로 이루어질 수 있다.
도 1d 는 개시된 기술 요지의 실시예에 따르는 배플 어셈블리(150)의 일부의 개략도이다. 배플 어셈블리(150)는 많은 개별 날개(151A, 151B)를 포함한다. 날개(151A, 151B)는 도시된 것처럼 변하는 거리만큼 서로로부터 분리된다. 더 나아가, 날개는 날개(151A)와 날개(151B) 사이의 차이에 의해 예시된 것처럼 변하는 각도로 형성된다. 타겟 재료 잔해 침전물(171A-171C)은 많은 분리 날개(151A, 151B) 상의 다양한 위치에서 형성될 수 있다. 일 예로서는, 타겟 재료 침전물(171A)은 EUV 용기(26)의 중간 초점(40)의 영역 근처에 형성될 수 있다. 이와 유사하게, 타겟 재료 잔해 침전물(171B 및 171C)은 EUV 용기(26)의 내부면(156)에 조금 더 가까운 날개(151A, 151B)의 외부 에지를 따라 형성될 수 있다. 위에서 언급된 바와 같이, 타겟 재료 잔해 침전물(171A-171C)은 처음에 배플 어셈블리(150) 상에 형성된 뒤 다양한 이유 때문에 배플 어셈블리로부터 분리되고 콜렉터(30)의 표면에 수집될 수 있다.
결과적으로 다양한 타겟 재료 잔해 침전물(161A-161D, 171A-171C)이 다양한 방식으로 EUV 용기(26)의 성능을 저해하고, 어떤 지점에서 반드시 제거돼야 한다. 타겟 재료 잔해 침전물을 제거하는 한 가지 접근법은 EUV의 생성을 인터럽트하고 EUV 용기(26)를 분해해서, 콜렉터(30), 배플 어셈블리(150) 및 다른 내부면(156)과 같은 EUV 용기의 개개의 부분 각각을 세척하는 것이다. 그러나, EUV 용기(26) 내에서 EUV의 생성을 인터럽트하면 EUV 용기 내에서 생성된 EUV를 소비하는 EUV 리소그래피 프로세스 도 역시 인터럽트하게 되어, 결과적으로 생산이 중단된다. 더 효과적인 EUV 용기 세정 프로세스가 필요하다. 다양한 형태의 인시츄 수소 라디칼 생성법이, EUV 용기 내에서의 EUV 광의 생성을 인터럽트하지 않으면서, 선-침전물(161A-161D, 171A-171C)로 가는 다양한 타겟 재료를 EUV 용기(26)로부터 제거하기 위한 더 효과적이고 시기적절한 세정 프로세스를 제공한다.
도 2 는 개시된 기술 요지의 실시예에 따르는 하나 이상의 인시츄 수소 라디칼 소스(201, 201', 201")를 포함하는 EUV 광원(200)의 단순화된 개략도이다. EUV 광원(200)은 EUV 용기(26) 내의 하나 이상의 위치에 위치될 수 있는 하나 이상의 인시츄 수소 라디칼 소스(201, 201', 201")를 포함한다. 인시츄 수소 라디칼 소스(201)는 콜렉터(30)의 둘레에 가깝게 배치된다. 중앙 인시츄 수소 라디칼 소스(201')는 콜렉터(30)의 중앙 개구(32)에 가깝게 배치된다. 배플 인시츄 수소 라디칼 소스(201")는 EUV 용기(26)의 배플 어셈블리에 가깝게 배치된다. EUV 용기(26)는 적어도 하나의 인시츄 수소 라디칼 소스(201, 201', 201")를 포함할 수 있고 이들은 물리적으로 EUV 용기 내에 배치될 수 있다는 것에 주의해야 한다. 인시츄 수소 라디칼 소스(201, 201', 201")는 더 상세히 후술되는 것과 같은 인시츄 수소 라디칼 소스의 하나 이상의 상이한 타입 및 구성을 가질 수 있다.
수소 가스 소스(290)는 인시츄 수소 라디칼 소스(201, 201', 201") 각각에 커플링된다. 캐리어 가스 소스(291)는 선택적으로 인시츄 수소 라디칼 소스(201, 201', 201") 각각에 커플링될 수 있다. 더욱 상세히 후술되는 바와 같이, 신호 소스(212) 또는 소스들은 인시츄 수소 라디칼 소스(201, 201', 201") 각각에 커플링될 수 있다. 인시츄 수소 라디칼 소스(201, 201', 201")는 EUV 용기(26) 내의 실질적으로 대칭인 위치 또는 비대칭 위치에 배치될 수 있다는 것에 주의해야 한다.
도 3a 는 개시된 기술 요지의 실시예에 따르는 콜렉터(30) 및 인시츄 수소 라디칼 소스(201)의 일부의 세부 단면도이다. 도 3b 는 개시된 기술 요지의 실시예에 따르는 콜렉터(30) 및 인시츄 수소 라디칼 소스(201)의 단면의 단순화된 개략도이다. 콜렉터(30)는 콜렉터면(30A) 및 콜렉터 림(30B)을 포함한다. 콜렉터(30)는 중앙 개구(32)를 더 포함한다. 수소 라디칼 소스(201)는 콜렉터(30)에 가깝게 배치된다. 도시된 실시예에서, 수소 라디칼 소스(201)는 콜렉터(30)의 림(30B)에 바로 가까이 배치된다.
수소 라디칼 소스(201)는 라디칼 발생기(202) 및 림 채널(204)로 그리고 배출구(205) 위로 이어지는 배출구 채널(203)을 포함한다. 라디칼 발생기(202)는 신호 소스(212)에 커플링된다. 수소 라디칼 소스(201)는 도시되지 않은 수소 소스에 커플링된다. 수소 소스는 수소 가스 또는 수소 함유 가스 또는 수소 함유 가스의 혼합물과 같은 다른 수소 함유 소스 재료의 소스일 수 있다. 수소 소스는 수소 함유 가스를 아르곤, 헬륨, 질소, 및 다른 실질적으로 불활성 겟 캐리어 가스(inert get carrier gas)와 같은 불활성 캐리어 가스(208)를 혼합하기 위한 혼합 장치를 더 포함할 수 있다. 다른 구현형태에서, 불활성 캐리어 가스(208)는 수소 라디칼 소스(201) 내로 직접적으로 주입될 수 있다.
H+ 및/또는 H- 이온을 포함하는 수소 라디칼(H*)은 수소를 수소 라디칼 소스(201) 내로 주입하고 라디칼 발생기(202)에 급전함으로써 생성된다. 그러면, 수소 라디칼은 배출구 채널(203)을 통해서 림 채널(204) 위를 거쳐서 콜렉터(30)의 표면(30A)에 근접한 배출구(205) 밖으로 흐른다. 불활성 캐리어 가스는 수소 라디칼을 수소 라디칼 소스(201)로부터 콜렉터(30)의 표면(30A)으로 이송하기 위해서 사용될 수 있다. 그러면, 수소 라디칼은 콜렉터(30)의 표면(30A)에 있는 타겟 재료 잔해 침전물(171A, 162)과 반응하여 휘발성 주석 화합물을 생성할 수 있다. 그러면, 휘발성 주석 화합물은 퍼지 가스 소스(295)(도 2 에 도시되는)를 사용하여 EUV 용기(26)로부터 퍼지 배출구(296)(도 2 에 도시되는)를 통과하면서 퍼지될 수 있다.
도 3b 를 참조하면, 수소 라디칼 소스(201)는 제 1 포텐셜에 연결된 벽(201A)과 제 2 포텐셜에 연결된 라디칼 발생기(202)를 가지는, 용량성 커플링된 수소 플라즈마 챔버일 수 있다. 도시된 바와 같이, 벽(201A)은 접지되고 라디칼 발생기(202)가 신호 소스(210)에 연결된다. 그러나, 벽(201A)이 신호 소스(212)에 연결될 수 있고 라디칼 발생기(202)가 접지되거나 제 2 신호 소스에 연결될 수 있다는 것이 이해되어야 한다. 일 구현형태에서, 신호 소스(212)는 수 십 kHz 내지 약 10 GHz의 범위에 속하는 주파수를 가지는 RF 신호 소스일 수 있다. 신호 소스(212)는 수소 라디칼 소스(201) 내에 있는 수소를 여기시켜 수소를 수소 라디칼(H*)로 해리시키는 수소 플라즈마(202A)를 생성할 수 있다.
도 4 는 개시된 기술 요지의 실시예에 따르는 콜렉터(30) 및 대안적인 인시츄 수소 라디칼 소스(221)의 단면의 단순화된 개략도이다. 대안적인 인시츄 수소 라디칼 소스(221)는 인시츄 수소 라디칼 소스(201)와 다소 유사하지만, 대안적인 인시츄 수소 라디칼 소스(221)의 벽(201A) 및 라디칼 발생기(211)를 그 안에서 생성된 플라즈마(202A)로부터 격리시키기 위한 세라믹 절연체(220)를 포함한다.
도 5 는 개시된 기술 요지의 실시예에 따르는, 콜렉터의 둘레를 실질적으로 둘러싸는 인시츄 수소 라디칼 소스(231)를 가지는 콜렉터(30)의 단순화된 도면이다. 인시츄 수소 라디칼 소스(231)는 콜렉터(30)의 둘레에 배치된 환형 챔버이다. 인시츄 수소 라디칼 소스(231)는 전술된 바와 같은 인시츄 수소 라디칼 소스(201 또는 221)에 실질적으로 유사한 단면을 가진다. 인시츄 수소 라디칼 소스(231)는 콜렉터(30)의 둘레에 배출구(205A)를 가져서, 수소 라디칼(H*)이 콜렉터의 둘레에 걸쳐서 실질적으로 균일하게 생성되고 출력되게 한다.
도 6 은 개시된 기술 요지의 실시예에 따르는, 콜렉터의 둘레에 실질적으로 균일하게 분포되고 배치된 다수의 인시츄 수소 라디칼 소스(201)를 포함하는 콜렉터(30)의 단순화된 도면이다. 인시츄 수소 라디칼 소스(201) 각각은 배출구(205)를 포함하여, 수소 라디칼 소스 각각에 의해 생성된 수소 라디칼(H*)이 콜렉터(30)의 둘레 주위로 배출되게 한다. 인시츄 수소 라디칼 소스(201) 각각은 본 명세서에서 설명되는 인시츄 수소 라디칼 소스 중 임의의 하나 이상일 수 있다. 일 구현형태에서, 콜렉터(30)의 둘레에 분포된 수소 라디칼 소스(201) 각각 동일한 타입의 수소 라디칼 소스이다. 다른 구현형태에서, 콜렉터(30)의 둘레에 분포된 수소 라디칼 소스는 하나 이상의 타입의 수소 라디칼 소스를 포함한다.
도 7 은 개시된 기술 요지의 실시예에 따르는 콜렉터(30) 및 다수의 인시츄 수소 라디칼 소스(201)의 단면의 단순화된 개략도이다. 다수의 인시츄 수소 라디칼 소스(201) 중 하나 이상은 콜렉터(30)의 둘레 주위에 그리고 에지(30B)를 따라서 배치된다. 콜렉터(30)의 둘레 주위에 배치된 인시츄 수소 라디칼 소스(201)에 추가하여, 하나 이상의 중앙 인시츄 수소 라디칼 소스(271)가 중앙 개구(32)에 근접하게 배치되어 그 안에서 생성된 수소 라디칼(H*)이 콜렉터의 중앙 개구를 통해서 배출되게 한다. 인시츄 수소 라디칼 소스(271) 중앙에서 생성된 수소 라디칼(H*)은 콜렉터(30) 상에 그리고 중앙 개구(32) 근처에 있는 수소 라디칼 타겟 재료 잔해에 근접한 수소 라디칼을 제공한다. 중앙 인시츄 수소 라디칼 소스(271)가 전술된 수소 라디칼 소스(201)와 유사하게 도시되지만, 중앙 인시츄 수소 라디칼 소스(271) 각각은 본 명세서에서 설명되는 임의의 종류의 수소 라디칼 소스일 수 있다는 것에 주의해야 한다.
중앙 인시츄 수소 라디칼 소스(271) 각각은 각각의 신호 소스(212A 및 212B)를 가지고 인시츄 수소 라디칼 소스(201)는 각각의 신호 소스(212)를 가진다. 각각의 신호 소스(212, 212A, 212B)는 동일하거나 상이한 주파수, 파워, 듀티 사이클, 또는 진폭을 가지는 동일하거나 상이한 신호 소스일 수 있어서, 인시츄 수소 라디칼 소스(201, 271) 각각이 개별적으로 제어되어 그 위에 침착된 타겟 재료 잔해를 가지는 각각의 로컬 표면적에 대해 필요할 수 있는 수소 라디칼의 양을 생성할 수 있게 할 수 있다. 일 예로서는, 개구(32)에 근접한 콜렉터(30)의 표면적이 그 위에 침착된 많은 양의 타겟 재료 잔해를 가지고, 콜렉터의 둘레 근처의 영역은 그 위에 침착된 상대적으로 작은 양의 타겟 재료 잔해를 가지면, 인시츄 수소 라디칼 소스(201, 271)의 배출구 각각에 국지적인 타겟 재료 잔해의 개별적인 양을 제거하기 위해서 개구 근처에 필요한 수소 라디칼(H*)의 양은 둘레 가까이에서 필요한 수소 라디칼(H*)의 양보다 클 수 있다.
도 8 은 개시된 기술 요지의 실시예에 따르는 유도성 수소 라디칼 발생기(800)의 단순화된 개략 측면도이다. 도 9 는 개시된 기술 요지의 실시예에 따르는 유도성 수소 라디칼 발생기(800)의 단순화된 개략 평면도이다. 유도성 수소 라디칼 발생기(800)는 콜렉터(30)의 둘레 주위에 배치된 다수의 수소 노즐(802)을 포함한다. 유도성 코일(804)은 수소 노즐과 f 콜렉터(30)의 림(30B) 사이에 배치된다.
유도성 코일(804)은 신호 소스(212C)에 커플링된 제 1 단부를 가진다. 유도성 코일(804)은 접지에 커플링된 제 2 단부를 가진다. 유도성 코일(804)이 콜렉터(30)의 둘레 주위에 오직 하나의 실질적 루프를 형성하는 것으로 도시되지만, 이것은 유도성 코일을 쉽게 설명하기 위한 것일 뿐이고 유도성 코일은 콜렉터의 둘레 주위에 하나 이상의 루프를 포함할 수 있다는 것에 주의해야 한다.
신호 소스(212C)는 적합한 주파수 진폭 및 듀티 사이클의 유도 신호를 출력한다. 유도 신호가 유도 코일(804)을 통과할 때, 자기장이 도 9 에 도시된 바와 같이 타일 코일의 중앙 안으로 유도된다. 수소를 코일의 중앙으로 주입하기 위한 수소 노즐(802) 및 수소 플라즈마(810)가 생성될 수 있다. 수소 플라즈마는 콜렉터(30)의 표면(30A)에 근접한 수소 라디칼(H*)을 생성하는데, 거기에서 수소 라디칼(H*)은 존재할 수 있는 임의의 타겟 재료 침전물과 상호작용할 수 있다.
도 10 은 개시된 기술 요지의 실시예에 따르는 유도성 수소 라디칼 발생기(1000)의 단순화된 개략 측면도이다. 유도성 수소 라디칼 발생기(1000)는, 유도 코일(1004)이 EUV 용기(26)의 측벽(1008) 외부에 배치된다는 점에서 도 8 및 도 9 에서 전술된 유도성 수소 라디칼 발생기(800)와 다르다. 측벽(1008)은 유도 코일이 통과해서 콜렉터(30)의 표면(30A) 위로 자기장을 유도할 수 있는 세라믹 윈도우(1007)를 포함한다. 전술된 바와 같이, 수소 노즐(802)은 수소를 유도 코일(1004)에 의해 생성된 자기장 내로 주입하여, 요구되는 수소 라디칼(H*)을 생성하는 수소 플라즈마(810)를 생성한다.
도 11 은 개시된 기술 요지의 실시예에 따르는 용량성 수소 라디칼 발생기(1100)의 단순화된 개략 측면도이다. 용량성 수소 라디칼 발생기(1100)는 콜렉터(30)의 도전층(1120)을 제 1 전극으로서 사용하고 EUV 용기(28)의 벽(1008)을 제 2 전극으로서 사용한다. 콜렉터(30)는 다수의 층(1120, 1122, 1124, 1126)을 포함한다. 층들 중 하나는 도전층(1120)이다. 도전층(1120)은 구리, 알루미늄, 강철, 스테인레스 강 및 조합 및 구리, 알루미늄, 강철, 스테인레스 강을 포함하는 합금을 포함하는 임의의 적합한 도전성 재료로부터 형성될 수 있다. 도전층(1120)은 가열 및 냉각 디바이스 및 콜렉터(30)의 온도를 관리하기 위한 서브시스템을 더 포함할 수 있다. 일 구현형태에서, 도전층(1120)은 가스 또는 액체 냉각제와 같은 냉각 유체를 전달하기 위한 저항성 히터 및/또는 냉각 채널을 포함한다. 도전층(1120)은 콜렉터(30)를 위한 물리적 구조 지지체 및 장착 포인트를 더 제공할 수 있다.
실리콘, 유리 또는 석영 층(1122)이 도전층(1120)에 의해 지지된다. 반사층(1124)은 실리콘, 유리 또는 석영 층(1122) 상에 지지된다. 반사층(1124)은 콜렉터(30)의 반사 기능을 수행한다. 선택적인 보호 층(1126)이 반사층(1124) 위에 형성될 수 있다. 상이한 층(1120, 1122, 1124 및 1126)의 두께가 도 11 의 세부 도면에서 정확하게 표시되지 않는다는 것에 주의해야 한다.
동작 시에, 신호 소스(212C)로부터의 신호를 도전층(1120)에 인가하면, 이러한 신호가 수소 노즐(1106)로부터 주입된 수소 가스에 용량성 커플링되어, 콜렉터(30)의 표면(30A) 근처에서 수소 라디칼(H*)을 생성하는 수소 플라즈마(1110)가 생성된다.
도 12 는 개시된 기술 요지의 실시예에 따르는 용량성 수소 라디칼 발생기(1200)의 단순화된 개략 측면도이다. 수소 라디칼 소스(221)는 제 1 포텐셜에 연결된 벽(201A)과 제 2 포텐셜에 연결된 라디칼 발생기(211)를 가지는, 용량성 커플링된 수소 플라즈마 챔버일 수 있다. 도시된 바와 같이, 벽(201A)은 접지되고 라디칼 발생기(202)가 신호 소스(212)에 연결된다. 그러나, 벽(201A)이 신호 소스(212)에 연결될 수 있고 라디칼 발생기(211)가 접지되거나 제 2 신호 소스(미도시)에 연결될 수 있다는 것이 이해되어야 한다. 일 구현형태에서, 신호 소스(212)는 수 십 kHz 내지 약 10 GHz의 범위에 속하는 주파수를 가지는 RF 신호 소스일 수 있다. 신호 소스(212)는 수소 라디칼 소스(221) 내에 있는 수소를 여기시켜 수소를 수소 라디칼(H*)로 해리시키는 수소 플라즈마(202A)를 생성할 수 있다.
용량성 수소 라디칼 발생기(1200)는 또한 콜렉터(30)의 도전층(1120)을 제 2 신호 소스(1212)에 커플링된 제 3 전극으로서 이용한다. 제 2 신호 소스(1212)는 DC(0 Hz)로부터 수 십 MHz에 달하는 범위를 가지는 신호를 생성할 수 있다. 일 구현형태에서, 제 2 신호 소스(1212)는 0 Hz로부터 약 13 MHz까지의 신호를 출력한다. 제 2 신호 소스(1212)는 수소 라디칼(H*)을 콜렉터(30)의 표면(30A)을 향해 견인하고, 이를 통하여 표면(30A) 근처에서의 수소 라디칼(H*)의 농도 및 그 위의 타겟 재료 잔해 침전물을 증가시키기 위하여 사용될 수 있다. 표면(30A) 근처에서 수소 라디칼(H*)의 농도를 증가시키면 타겟 재료 잔해 침전물 내의 주석이 SnH4와 같은 휘발성 주석 화합물로 변환되는 것이 증가된다.
도 13 은 개시된 기술 요지의 실시예에 따르는, 배플(150) 내에 또는 가까이 배치되는 용량성 수소 라디칼 소스(201")를 포함하는 EUV 용기(26)의 간략화된 측면도이다. 수소 라디칼 소스(201")는 제 1 전극(1302) 및 제 2 전극(1304)을 포함한다. 일부 구현형태들에서, 제 1 전극(1302)은 접지된다. 일부 구현형태들에서 제 2 전극(1304)은 신호 소스(1312)에 커플링된다. 또는, 제 1 전극(1302)이 신호 소스(1312)에 커플링되고 제 2 전극(1304)이 접지에 커플링될 수 있다. 또는, 전극(1302, 1304) 중 하나를 하나에 커플링하는 대신에, 이러한 전극은 도시되지 않은 제 2 신호 소스에 커플링될 수 있다. 제 2 전극(1304)은 선택적인 절연층(1306)에 의하여 EUV 용기(26)의 측벽(1008)으로부터 절연될 수 있다.
수소 라디칼 소스(201") 내에 수소를 주입하고 전극(1302, 1304)에 적절한 신호를 인가하면, 배플(150)에 근접하게 수소 라디칼(H*)이 생성된다. 그러면, 수소 라디칼(H*)은 배플(150) 상의 타겟 재료 잔해 침전물과 반응하여 EUV 용기(26)로부터 소개되거나 퍼지될 수 있는 휘발성 부산물을 생성할 수 있다.
도 14 는, 개시된 기술 요지의 실시예에 따르는, EUV 용기(26) 내에서 수소 라디칼을 인시츄로 생성하는 데에 수행되는 방법 동작(1400)을 예시하는 흐름도이다. 본 명세서에서 예시된 동작들은 예시적인 것이고, 일부 동작은 서브-동작을 포함할 수 있으며 다른 경우에는 본 명세서에서 설명되는 특정 동작이 예시된 동작들 중에는 포함되지 않을 수 있다는 것이 이해되어야 한다. 이러한 것들을 유념하면서, 방법 및 동작(1400)이 이제 설명될 것이다.
동작 1405 에서, 수소 라디칼은 타겟 재료 잔해 침전물에 근접한 EUV 용기 내에 인시츄로 생성된다. 수소 라디칼(H*)은 전술된 다양한 수소 라디칼 소스에 의해 생성된다. 여러 상이한 수소 라디칼 소스가 전술되었으며, 둘 이상의 수소 라디칼 소스가 EUV 용기(26) 내에 포함될 수 있지만, 수소 라디칼 소스는 활성화된 수소 라디칼 소스 또는 소스들에 근접한 특정 타겟 재료 잔해 침전물을 제거하도록 선택적으로 활성화될 수 있다. 수소 라디칼 소스 각각은 독립적으로 그리고 상이한 동작 파라미터로 작동되어 변동하는 양의 수소 라디칼(H*)을 생성할 수 있다는 것에 주의해야 한다.
동작 1410 에서, 수소 라디칼(H*)은 타겟 재료 잔해 침전물과 결합하여 타겟 재료 잔해 침전물을 휘발성 화합물로 변환하고, 동작 1415 에서, 휘발성 화합물이 EUV 용기(26)로부터 제거된다. 휘발성 화합물은 휘발성 화합물을 퍼지하거나 소개함으로써 EUV 용기(26)로부터 제거될 수 있고, 동작 1405 내지 1415 는 EUV 용기(26)가 EUV를 역시 생성하고 있는 동안에 수행될 수 있다는 것에 주의해야 한다.
일 구현형태에서, 인시츄 세정 프로세스는, 압력을 약 1.3Torr로 유지하고 요구되는 세정 레이트에 따라서 신호 소스(212, 212A, 212B, 212C, 1212)가 약 수 kHz 내지 수 백 MHz RF 사이의 신호를 약 1kW 내지 수 kW의 파워로 제공하는 동안에, 수소 라디칼을 개구(32) 근처에서 약 90 slm의 유량으로 생성 및 주입하는 것, 및 수소 라디칼을 EUV 콜렉터(30)의 둘레 주위에서 약 90 slm의 유량으로 생성 및 주입하는 것을 포함한다. 이것들은 단순히 예시적인 유량, RF 주파수 및 RF 파워일 뿐이고, 더 적거나 큰 유량, RF 주파수 및 RF 파워 및 이들의 조합이 이용될 수 있다는 것이 이해되어야 한다. 또한, 수소 라디칼 생성 및 주입이, 수소 라디칼 소스 각각의 개별적인 배출구에 근접한 추가적 타겟 재료 잔해를 다루기 위해서 필요할 수 있는 것에 따라서, EUV 콜렉터(30)의 둘레 및 개구(32)의 로컬 부분들 중 하나 이상에서 상이한 유량, RF 주파수, 및 RF 파워로 이루어질 수 있다는 것이 이해되어야 한다.
도 15 는 개시된 기술 요지의 실시예에 따르는, EUV 용기(26) 내의 타겟 재료 잔해 침전물을 제거하면서 동시에 EUV 광을 생성하는 데에 수행되는 방법 동작(1500)을 예시하는 흐름도이다. 본 명세서에서 예시된 동작들은 예시적인 것이고, 일부 동작은 서브-동작을 포함할 수 있으며 다른 경우에는 본 명세서에서 설명되는 특정 동작이 예시된 동작들 중에는 포함되지 않을 수 있다는 것이 이해되어야 한다. 이러한 것들을 유념하면서, 방법 및 동작(1500)이 이제 설명될 것이다.
동작 1505 에서, 소스 레이저는 EUV 용기(26) 내의 타겟 재료의 각각으로 지향된다.
동작 1510 에서, 소스 레이저가 EUV 용기(26) 내의 타겟 재료와 상호작용할 때 플라즈마가 생성된다. 소스 레이저가 EUV 용기 내의 타겟 재료와 상호작용하면, 타겟 재료의 제 1 부분은 플라즈마로 변환되고 타겟 재료의 제 2 부분은 타겟 재료 잔해로서 캐스트오프(cast off)된다.
동작 1515 에서, 플라즈마로부터 방출된 EUV 광은 콜렉터(30) 내에 수집된다. 동작 1520 에서, 타겟 재료의 제 2 부분은 EUV 용기(26)의 다양한 내부면 상에 안착한다.
동작 1525 에서, 추가적 EUV 광이 생성되어야 한다면, 이러한 방법은 전술된 바와 같은 동작 1505 에서 계속된다. 추가적 EUV 광이 생성될 필요가 없으면, 방법 동작은 끝날 수 있다.
동작 1505 와 동시에, 동작 1507 에서 수소 라디칼(H*)이 EUV 용기(26) 내에서 인시츄로 생성된다. 수소 라디칼은 EUV 용기(26) 내에 배치된 하나 이상의 수소 라디칼 소스 내에서 생성된다.
동작 1512 에서, 수소 라디칼(H*)은 타겟 재료 잔해 침전물과 상호작용하여 휘발성 화합물을 생성한다. 전술된 바와 같이, 통상적으로 타겟 재료는 주석을 함유하고, 수소 라디칼(H*)과의 상호작용에 의해 생성된 휘발성 화합물은 SnH4이다. 타겟 재료 잔해 침전물 중 적어도 일부를 함유하는 임의의 적합한 휘발성 화합물이 동작 1512 에서 생성될 수 있다는 것이 이해되어야 한다.
동작 1517 에서, 동작 1512 에서 생성된 휘발성 화합물은 EUV 용기(26)로부터 제거된다. 휘발성 화합물은 EUV 용기(26)의 퍼지 및 소개 그리고 이들의 조합에 의해서 제거될 수 있다.
동작 1522 에서, 추가적인 타겟 재료 잔해 침전물이 제거될 필요가 있으면, 방법 동작은 전술된 동작 1507 에서 계속된다. 추가적인 타겟 재료 잔해 침전물이 EUV 용기(26)로부터 제거될 필요가 없으면, 방법 동작은 끝날 수 있다. 동작 1507 내지 1522 에서의 타겟 재료 잔해 침전물 제거는 EUV 용기(26) 내에서의 동작 1505 내지 1525 에서의 EUV 생성과 동시에 실행될 수 있다는 것에 주의해야 한다. 다른 구현형태들에서, 동작 1507 내지 1522 에서의 타겟 재료 잔해 침전물 제거는 동작 1505 내지 1525 에서 EUV를 생성하는 것과 중첩 또는 교번하는 방식으로 실행될 수 있다.
일 구현형태에서, 인시츄 세정 프로세스는, 압력을 약 1.3.3Torr로 유지하고 요구되는 세정 레이트에 따라서 신호 소스(1312)가 약 수 kHz 내지 수 백 MHz RF 사이의 신호를 약 1kW 내지 수 kW의 파워로 제공하는 동안에, 수소 라디칼을 EUV 용기 주위의 다양한 위치에서 약 90 slm의 유량으로 생성 및 주입하는 것을 포함한다. 이것들은 단순히 예시적인 유량, RF 주파수 및 RF 파워일 뿐이고, 더 적거나 큰 유량, RF 주파수 및 RF 파워 및 이들의 조합이 이용될 수 있다는 것이 이해되어야 한다. 수소 라디칼 생성 및 주입이 EUV 용기의 내부 주위에 배치된 수소 라디칼 소스 각각의 개별 배출구에 근접한 추가적인 타겟 재료 잔해를 다루기 위해서 필요할 수 있는 바와 같은 상이한 유량, RF 주파수, 및 RF 파워에서 EUV 용기의 로컬 부분 중 하나 이상에서 이루어질 수 있다는 것이 이해되어야 한다.
위의 실시형태들을 염두하여, 본 발명이 컴퓨터 시스템들에 저장된 데이터에 관계한 다양한 컴퓨터-구현된 동작들을 채용할 수 있다는 것이 이해되어야 한다. 이들 동작들은 물리 량들의 물리적 조작을 필요로 하는 것들이다. 보통, 필수적인 것은 아니지만, 이러한 물리량은 저장되고, 전송되고, 결합되고, 비교되고, 그렇지 않으면 조작될 수 있는 전기적 또는 자기적 신호의 형태를 가진다. 더 나아가, 수행되는 조작들은 흔히 예컨대 생성, 식별, 결정, 또는 비교라는 용어로 지칭된다.
본 발명의 부분을 형성하는 본원에서 설명된 동작들 중 임의의 것은 유용한 머신 동작들이다. 본 발명은 또한 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관련된다. 장치는 특수 목적 컴퓨터와 같이 원하는 목적을 위해 특별히 구성될 수도 있다. 특수 목적 컴퓨터로서 한정되는 경우, 그 컴퓨터는 또한 특수 목적의 부분이 아닐 수도 있지만 여전히 특수 목적을 위해 동작 가능한 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다.
대안으로, 동작들은 컴퓨터 메모리, 캐시에 저장되거나, 또는 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 또는 구성되는 범용 컴퓨터에 의해 처리될 수도 있다. 데이터가 네트워크를 통해 획득되는 경우 그 데이터는 컴퓨팅 자원들의 네트워크, 예컨대, 클라우드 상의 다른 컴퓨터들에 의해 처리될 수도 있다.
본 발명의 실시예는 데이터를 하나의 상태로부터 다른 상태로 변환시키는 머신이라고도 규정될 수 있다. 변환된 데이터는 저장소에 저장된 후 프로세서에 의해 처리될 수 있다. 따라서, 프로세서는 데이터를 하나의 상태로부터 다른 상태로 변환시킨다. 또한, 방법은 네트워크를 통해 연결될 수 있는 하나 이상의 머신 또는 프로세서에 의해 처리될 수 있다. 각각의 머신은 데이터를 하나의 상태 또는 물성으로부터 다른 상태 또는 물성으로 변환시킬 수 있고, 또한 데이터를 처리하고, 데이터를 저장소에 저장하며, 데이터를 네트워크를 거쳐 전송하고, 결과를 디스플레이하며, 또는 결과를 다른 머신으로 통신할 수 있다.
본 발명은 또한 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 구현될 수 있다. 컴퓨터 판독가능 매체는 컴퓨터 시스템에 의해 그 후에 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브, 네트워크 결부형(attached) 스토리지(NAS), 판독 전용 메모리, 임의 접근 메모리, CD-ROM, CD-R, CD-RW, 플래시, 자기 테이프, 및 다른 광학적 및 비-광학적 데이터 저장 디바이스를 포함한다. 또한, 컴퓨터 판독가능 코드가 분산형태로 저장되고 실행되도록 컴퓨터 판독가능 매체는 네트워크-커플링된 컴퓨터 시스템에 걸쳐 분산될 수 있다.
앞선 도면에서 동작들로 표현되는 명령들이 반드시 예시된 순서로 수행되어야 하는 것은 아니고, 이러한 동작에 의해 표현되는 모든 처리가 반드시 본 발명을 실시해야 하는 것은 아닐 수 있다는 것이 더욱 이해되어야 한다. 더 나아가, 앞선 도면들 중 임의의 것에 표시된 프로세스는 RAM, ROM, 또는 하드 디스크 드라이브 중 임의의 하나 또는 이들의 조합에 저장된 소프트웨어로 구현될 수도 있다.
앞서서 본 발명은 이해의 명료함을 위해 다소 상세히 설명되었지만, 특정 변경들 및 변형들이 첨부의 청구항들의 범위 내에서 실용화될 수 있다는 것이 인정될 것이다. 따라서, 본 발명은 예시적이지만 비제한적인 것으로 고려되는 것들이고, 본 발명은 본원에서 주어진 상세내용들로 한정되는 것이 아니고, 첨부의 청구항들의 범위 및 균등물들 내에서 변형될 수도 있다.

Claims (22)

  1. EUV 광원으로서,
    퍼지 가스(purge gas)의 양을 EUV 용기 내로 분배할 수 있는 퍼지 가스 소스에 커플링되는 EUV 용기 퍼지 가스 유입구를 포함하는, EUV 용기;
    상기 EUV 용기 내에 배치되고 반사면을 포함하는 EUV 콜렉터;
    타겟 재료의 양을 상기 EUV 용기 내로 분배할 수 있는 타겟 재료 소스 - 상기 타겟 재료의 양 중 제 1 부분은 제 1 타겟 재료 잔해 침전물로서 상기 EUV 콜렉터의 상기 반사면의 적어도 일부에 배치됨 -;
    상기 EUV 용기 내에 배치되는 제 1 수소 라디칼 소스 - 상기 제 1 수소 라디칼 소스는:
    상기 EUV 콜렉터의 상기 반사면에 근접하게 배치되는 제 1 수소 라디칼 소스 배출구;
    수소 소스에 커플링되는 제 1 수소 소스 유입구;
    제 1 신호 소스에 커플링되는 제 1 수소 소스 전극; 및
    제 2 신호 소스에 커플링되는 제 2 수소 소스 전극을 포함하고, 상기 제 1 수소 라디칼 소스는 제 1 양의 수소 라디칼을 생성하고 상기 제 1 수소 라디칼 소스 배출구로부터 상기 제 1 양의 수소 라디칼을 분배할 수 있으며, 상기 제 1 양의 수소 라디칼은 상기 제 1 타겟 재료 잔해 침전물과 결합하여, 상기 제 1 타겟 재료 잔해 침전물 중 적어도 일부를 함유하는 제 1 양의 휘발성 화합물을 형성할 수 있음 -; 및
    상기 제 1 양의 휘발성 화합물을 상기 EUV 용기 밖으로 전달할 수 있는 EUV 용기 퍼지 배출구를 포함하는, EUV 광원.
  2. 제 1 항에 있어서,
    상기 제 1 수소 라디칼 소스는 수소 플라즈마 챔버를 포함하는, EUV 광원.
  3. 제 2 항에 있어서,
    상기 수소 플라즈마 챔버는 용량성 커플링된 수소 플라즈마 챔버인, EUV 광원.
  4. 제 3 항에 있어서,
    상기 용량성 커플링된 수소 플라즈마 챔버는 제 3 신호 소스에 커플링된 제 3 수소 소스 전극을 포함하는, EUV 광원.
  5. 제 4 항에 있어서,
    상기 제 3 수소 소스 전극은 상기 EUV 콜렉터의 도전층의 적어도 일부를 포함하는, EUV 광원.
  6. 제 2 항에 있어서,
    상기 수소 플라즈마 챔버는 유도성 커플링된 수소 플라즈마 챔버인, EUV 광원.
  7. 제 1 항에 있어서,
    상기 제 1 수소 라디칼 소스 배출구는 상기 EUV 콜렉터의 둘레 주위에 배치되는, EUV 광원.
  8. 제 1 항에 있어서,
    상기 제 1 수소 라디칼 소스 배출구는 상기 EUV 콜렉터의 중앙 개구에 가까이 배치되는, EUV 광원.
  9. 제 1 항에 있어서,
    상기 제 1 양의 수소 라디칼을 생성하는 것은, 산소 함유 종(oxygen containing specie)이 상기 EUV 용기에 진입하는 것을 방지하는 것을 더 포함하는, EUV 광원.
  10. 제 1 항에 있어서,
    상기 제 1 수소 소스 전극은 상기 콜렉터 내에 포함되는, EUV 광원.
  11. 제 1 항에 있어서,
    상기 제 1 수소 라디칼 소스는 실질적으로 환형인 형상을 가지고, 상기 제 1 수소 라디칼 소스 배출구는 상기 EUV 콜렉터의 둘레에 근접하게 배치되는, EUV 광원.
  12. 제 1 항에 있어서,
    상기 EUV 광원은,
    상기 EUV 용기 내에 포함된 복수 개의 내부 EUV 용기 표면으로서, 상기 복수 개의 내부 EUV 용기 표면은 복수 개의 배플(baffle)을 포함하는, 내부 EUV 용기 표면;
    제 2 타겟 재료 잔해 침전물로서 상기 복수 개의 내부 EUV 용기 표면의 적어도 일부에 배치되는 타겟 재료의 양의 제 2 부분; 및
    상기 EUV 용기 내에 배치되는 제 2 수소 라디칼 소스를 더 포함하고, 상기 제 2 수소 라디칼 소스는:
    상기 복수 개의 배플 중 적어도 하나에 근접하게 배치되는 제 2 수소 라디칼 소스 배출구;
    상기 수소 소스에 커플링되는 제 2 수소 소스 유입구;
    제 3 신호 소스에 커플링되는 제 3 수소 소스 전극; 및
    제 4 신호 소스에 커플링되는 제 4 수소 소스 전극을 포함하며, 상기 제 2 수소 라디칼 소스는 제 2 양의 수소 라디칼을 생성하고 상기 제 2 수소 라디칼 소스 배출구로부터 상기 제 2 양의 수소 라디칼을 분배할 수 있고, 상기 제 2 양의 수소 라디칼은 상기 제 2 타겟 재료 잔해 침전물과 결합하여 상기 제 2 타겟 재료 잔해 침전물 중 적어도 일부를 함유하는 제 2 양의 휘발성 화합물을 형성할 수 있으며,
    상기 EUV 용기 퍼지 배출구는 상기 제 2 양의 휘발성 화합물을 상기 EUV 용기 밖으로 전달할 수 있는, EUV 광원.
  13. EUV 광원으로서,
    EUV 용기 - 상기 EUV 용기는:
    퍼지 가스의 양을 상기 EUV 용기 내로 분배할 수 있는 퍼지 가스 소스에 커플링되는 EUV 용기 퍼지 가스 유입구; 및
    복수 개의 내부 EUV 용기 표면을 포함함 -;
    상기 EUV 용기 내에 배치되고 반사면을 포함하는 EUV 콜렉터;
    타겟 재료의 양을 상기 EUV 용기 내로 분배할 수 있는 타겟 재료 소스 - 상기 타겟 재료의 양 중 제 1 부분은 제 1 타겟 재료 잔해 침전물로서 상기 EUV 콜렉터의 상기 반사면의 적어도 일부에 배치되고, 상기 타겟 재료의 양 중 제 2 부분은 제 2 타겟 재료 잔해 침전물로서 복수 개의 내부 표면의 적어도 일부에 배치됨 -;
    상기 EUV 용기 내에 배치되는 제 1 수소 플라즈마 챔버 - 상기 제 1 수소 플라즈마 챔버는:
    상기 EUV 콜렉터의 상기 반사면의 둘레에 근접하게 배치되는 제 1 수소 라디칼 소스 배출구;
    수소 소스에 커플링되는 제 1 수소 소스 유입구; 및
    제 1 신호 소스에 커플링되는 적어도 하나의 제 1 수소 소스 전극을 포함하고, 상기 제 1 수소 라디칼 소스는 제 1 양의 수소 라디칼을 생성하고 상기 제 1 수소 라디칼 소스 배출구로부터 상기 제 1 양의 수소 라디칼을 분배할 수 있으며, 상기 제 1 양의 수소 라디칼은 상기 제 1 타겟 재료 잔해 침전물과 결합하여, 상기 제 1 타겟 재료 잔해 침전물 중 적어도 일부를 함유하는 제 1 양의 휘발성 화합물을 형성할 수 있음 -;
    상기 EUV 용기 내에 배치되는 제 2 수소 플라즈마 챔버 - 상기 제 2 수소 플라즈마 챔버는:
    상기 복수 개의 내부 EUV 용기 표면 중 적어도 하나에 근접하게 배치되는 제 2 수소 라디칼 소스 배출구;
    상기 수소 소스에 커플링되는 제 2 수소 소스 유입구; 및
    제 2 신호 소스에 커플링되는 적어도 하나의 제 2 수소 소스 전극을 포함하고, 상기 제 2 수소 플라즈마 챔버는 제 2 양의 수소 라디칼을 생성하고 상기 제 2 수소 라디칼 소스 배출구로부터 상기 제 2 양의 수소 라디칼을 분배할 수 있으며, 상기 제 2 양의 수소 라디칼은 상기 제 2 타겟 재료 잔해 침전물과 결합하여, 상기 제 2 타겟 재료 잔해 침전물 중 적어도 일부를 함유하는 제 2 양의 휘발성 화합물을 형성할 수 있음 -; 및
    상기 제 1 양의 휘발성 가스 및 상기 제 2 양의 휘발성 화합물을 상기 EUV 용기 밖으로 전달할 수 있는 EUV 용기 퍼지 배출구를 포함하는, EUV 광원.
  14. EUV 광원 내에서 EUV 광을 생성하는 것과 동시에 상기 EUV 광원 내의 타겟 재료 잔해 침전물을 세정하는 방법으로서,
    상기 EUV 광원의 EUV 용기 내에 수소 라디칼의 양을 생성하는 단계;
    수소 라디칼의 생성된 양을 상기 EUV 용기 내의 표면 상의 타겟 재료 침전물에 근접하게 배출하는 단계;
    상기 타겟 재료 침전물의 제 1 부분의 적어도 일부를 함유하는 제 1 양의 휘발성 화합물을 형성하는 단계;
    퍼지 가스의 양을 상기 EUV 용기 내로 분배하는 단계; 및
    상기 제 1 양의 휘발성 화합물을 상기 EUV 용기 밖으로 퍼지하는 단계를 포함하고,
    상기 제 1 양의 휘발성 화합물은 상기 EUV 용기 퍼지 배출구를 통하여 퍼지되는, 세정 방법.
  15. 제 14 항에 있어서,
    상기 제 1 수소 라디칼 소스는 수소 플라즈마 챔버를 포함하는, 세정 방법.
  16. 제 15 항에 있어서,
    상기 수소 플라즈마 챔버는 용량성 커플링된 수소 플라즈마 챔버인, 세정 방법.
  17. 제 15 항에 있어서,
    상기 수소 플라즈마 챔버는 유도성 커플링된 수소 플라즈마 챔버인, 세정 방법.
  18. 제 14 항에 있어서,
    상기 제 1 수소 라디칼 소스 배출구는 EUV 콜렉터의 둘레 주위에 배치되는, 세정 방법.
  19. 제 14 항에 있어서,
    상기 제 1 수소 라디칼 소스 배출구는 상기 EUV 콜렉터의 중앙 개구에 가까이 배치되는, 세정 방법.
  20. 제 1 항에 있어서,
    상기 EUV 광원은,
    상기 EUV 콜렉터의 중앙 개구에 가까이 배치된 중앙 개구 수소 라디칼 소스 배출구를 가지는 중앙 개구 수소 라디칼 소스를 더 포함하고,
    상기 제 1 수소 라디칼 소스 배출구는 상기 EUV 콜렉터의 둘레에 근접하게 배치되는, EUV 광원.
  21. 제 20 항에 있어서,
    상기 제 1 수소 라디칼 소스 또는 중앙 개구 수소 라디칼 소스 중 적어도 하나는 유도성 커플링된 수소 플라즈마 챔버인, EUV 광원.
  22. 제 20 항에 있어서,
    상기 제 1 수소 라디칼 소스 및 중앙 개구 수소 라디칼 소스는 유도성 커플링된 수소 플라즈마 챔버인, EUV 광원.
KR1020187023648A 2016-01-21 2016-12-13 Euv 용기 및 euv 콜렉터의 타겟 재료 잔해 세정을 위한 시스템, 방법 및 장치 KR20180104025A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/003,385 2016-01-21
US15/003,385 US9888554B2 (en) 2016-01-21 2016-01-21 System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
PCT/US2016/066412 WO2017127182A1 (en) 2016-01-21 2016-12-13 System, method and apparatus for target material debris cleaning of euv vessel and euv collector

Publications (1)

Publication Number Publication Date
KR20180104025A true KR20180104025A (ko) 2018-09-19

Family

ID=59359379

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187023648A KR20180104025A (ko) 2016-01-21 2016-12-13 Euv 용기 및 euv 콜렉터의 타겟 재료 잔해 세정을 위한 시스템, 방법 및 장치

Country Status (6)

Country Link
US (4) US9888554B2 (ko)
JP (2) JP6928609B2 (ko)
KR (1) KR20180104025A (ko)
CN (2) CN113791523B (ko)
TW (2) TWI774528B (ko)
WO (1) WO2017127182A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020046737A1 (en) 2018-08-31 2020-03-05 Corning Incorporated Method and device for vapor deposition of functional coating

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9888554B2 (en) 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
JP2021501907A (ja) * 2017-11-02 2021-01-21 エーエスエムエル ネザーランズ ビー.ブイ. 極端紫外線光源のチャンバ内の光学系の表面の洗浄
US10656539B2 (en) 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography process
WO2019158492A1 (en) * 2018-02-13 2019-08-22 Asml Netherlands B.V. Cleaning a structure surface in an euv chamber
NL2022644A (en) 2018-03-05 2019-09-10 Asml Netherlands Bv Prolonging optical element lifetime in an euv lithography system
KR102576703B1 (ko) 2018-05-17 2023-09-08 삼성전자주식회사 파편 차단 조립체를 구비한 광 발생 장치 및 포토리소그래피 장치와 이를 이용하는 집적회로 소자의 제조 방법
US11979971B2 (en) * 2018-06-29 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. EUV light source and apparatus for lithography
NL2024042A (en) * 2018-10-22 2020-05-07 Asml Netherlands Bv Apparatus for and method of reducing contamination from source material in an euv light source
US11153957B2 (en) * 2018-10-31 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for generating an electromagnetic radiation
KR20200052124A (ko) * 2018-11-06 2020-05-14 삼성전자주식회사 Euv 집광 장치 및 상기 euv 집광 장치를 포함하는 리소그래피 장치
DE102018222326A1 (de) 2018-12-19 2019-10-02 Carl Zeiss Smt Gmbh Kollektorspiegelanordnung für eine EUV-Lichtquelle
KR20200133126A (ko) 2019-05-17 2020-11-26 삼성전자주식회사 소스 용기용 잔류물 제거 장치
KR20210023535A (ko) * 2019-08-23 2021-03-04 삼성전자주식회사 타겟 잔해물 수집 장치 및 이를 포함하는 극자외선 광원 장치
JP7368984B2 (ja) * 2019-09-05 2023-10-25 ギガフォトン株式会社 極端紫外光生成装置、及び電子デバイスの製造方法
KR20220119061A (ko) * 2019-12-23 2022-08-26 에이에스엠엘 네델란즈 비.브이. 콜렉터 흐름 링
US11720027B2 (en) 2020-09-17 2023-08-08 Samsung Electronics Co., Ltd. Apparatus for generating extreme ultraviolet light and lithography apparatus including the same
US11815821B2 (en) 2021-03-19 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Module vessel with scrubber gutters sized to prevent overflow
KR20230066737A (ko) 2021-11-08 2023-05-16 삼성전자주식회사 Euv 광원 용기용 잔류물 제거 장치

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0529227A (ja) * 1991-07-17 1993-02-05 Canon Inc 堆積膜形成方法
JPH07130719A (ja) * 1993-11-05 1995-05-19 Tokyo Electron Ltd プラズマ処理装置
JP3327391B2 (ja) * 1999-09-09 2002-09-24 日本電気株式会社 薄膜製造装置および製造方法
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6992011B2 (en) * 2003-01-15 2006-01-31 Tokyo Electron Limited Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma
TWI299505B (en) * 2003-04-08 2008-08-01 Cymer Inc Systems and methods for removal of debris on a reflecting surface of an euv collector in an euv light source
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US8075732B2 (en) 2004-11-01 2011-12-13 Cymer, Inc. EUV collector debris management
JP2006202671A (ja) * 2005-01-24 2006-08-03 Ushio Inc 極端紫外光光源装置及び極端紫外光光源装置で発生するデブリの除去方法
JP2006261040A (ja) 2005-03-18 2006-09-28 Ngk Insulators Ltd プラズマ反応器
US8076655B2 (en) * 2005-06-21 2011-12-13 Koninklijke Philips Electronics N.V. Method of cleaning optical surfaces of an irradiation unit in a two-step process
US8317929B2 (en) * 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
US7504643B2 (en) * 2005-12-22 2009-03-17 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US8513629B2 (en) * 2011-05-13 2013-08-20 Cymer, Llc Droplet generator with actuator induced nozzle cleaning
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
JP5098019B2 (ja) 2007-04-27 2012-12-12 ギガフォトン株式会社 極端紫外光源装置
DE102007033701A1 (de) 2007-07-14 2009-01-22 Xtreme Technologies Gmbh Verfahren und Anordnung zur Reinigung von optischen Oberflächen in plasmabasierten Strahlungsquellen
US7894037B2 (en) * 2007-07-30 2011-02-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7872245B2 (en) * 2008-03-17 2011-01-18 Cymer, Inc. Systems and methods for target material delivery in a laser produced plasma EUV light source
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP2011023712A (ja) 2009-06-19 2011-02-03 Gigaphoton Inc 極端紫外光源装置
JP5818528B2 (ja) * 2011-06-17 2015-11-18 ギガフォトン株式会社 極端紫外光生成装置
JP2012109377A (ja) * 2010-11-17 2012-06-07 Tokyo Electron Ltd 電極構造及びプラズマ処理装置
US8633459B2 (en) * 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
JP5876711B2 (ja) * 2011-11-17 2016-03-02 ギガフォトン株式会社 チャンバ装置および極端紫外光生成装置
JP2013135033A (ja) 2011-12-26 2013-07-08 Gigaphoton Inc 極端紫外光生成装置
WO2013131719A1 (en) 2012-03-08 2013-09-12 Lemoptix Sa A mems micro-mirror assembly
WO2013136656A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜装置
WO2013180007A1 (ja) * 2012-05-29 2013-12-05 ギガフォトン株式会社 極端紫外光生成装置および極端紫外光生成システム
US9560730B2 (en) * 2013-09-09 2017-01-31 Asml Netherlands B.V. Transport system for an extreme ultraviolet light source
EP2905637A1 (en) * 2014-02-07 2015-08-12 ASML Netherlands B.V. EUV optical element having blister-resistant multilayer cap
JP6268008B2 (ja) * 2014-03-17 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020046737A1 (en) 2018-08-31 2020-03-05 Corning Incorporated Method and device for vapor deposition of functional coating

Also Published As

Publication number Publication date
TWI739788B (zh) 2021-09-21
CN108472497B (zh) 2021-09-21
US20190289704A1 (en) 2019-09-19
US20170215265A1 (en) 2017-07-27
CN113791523A (zh) 2021-12-14
US20200037428A1 (en) 2020-01-30
JP6928609B2 (ja) 2021-09-01
US11013096B2 (en) 2021-05-18
JP2021184104A (ja) 2021-12-02
WO2017127182A1 (en) 2017-07-27
TW202144932A (zh) 2021-12-01
TWI774528B (zh) 2022-08-11
US10477662B2 (en) 2019-11-12
TW201736978A (zh) 2017-10-16
CN113791523B (zh) 2024-04-09
US10362664B2 (en) 2019-07-23
JP2019504356A (ja) 2019-02-14
US9888554B2 (en) 2018-02-06
CN108472497A (zh) 2018-08-31
US20180160517A1 (en) 2018-06-07

Similar Documents

Publication Publication Date Title
KR20180104025A (ko) Euv 용기 및 euv 콜렉터의 타겟 재료 잔해 세정을 위한 시스템, 방법 및 장치
US11275318B2 (en) Radiation source for lithography process
US8847183B2 (en) System, method and apparatus for laser produced plasma extreme ultraviolet chamber with hot walls and cold collector mirror
US9516730B2 (en) Systems and methods for buffer gas flow stabilization in a laser produced plasma light source
EP2544766B1 (en) Laser produced plasma euv light source
US20120286176A1 (en) Droplet generator with actuator induced nozzle cleaning
CN107003626B (zh) 琢面euv光学器件
US20130234051A1 (en) Droplet generator with actuator induced nozzle cleaning
US20210364928A1 (en) Tin trap device, extreme ultraviolet light generation apparatus, and electronic device manufacturing method
JP5425265B2 (ja) 極端紫外光源装置
JP2022504135A (ja) ターゲット材料送達システムの寿命を延長する装置及び方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal