KR20180068844A - 반도체 디바이스 및 그 제조 방법 - Google Patents

반도체 디바이스 및 그 제조 방법 Download PDF

Info

Publication number
KR20180068844A
KR20180068844A KR1020170105983A KR20170105983A KR20180068844A KR 20180068844 A KR20180068844 A KR 20180068844A KR 1020170105983 A KR1020170105983 A KR 1020170105983A KR 20170105983 A KR20170105983 A KR 20170105983A KR 20180068844 A KR20180068844 A KR 20180068844A
Authority
KR
South Korea
Prior art keywords
layer
source
finfet
sacrificial layer
drain structure
Prior art date
Application number
KR1020170105983A
Other languages
English (en)
Other versions
KR101978046B1 (ko
Inventor
멩-수안 샤오우
텅 잉 리
치 치에 예
이-치아 예오
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180068844A publication Critical patent/KR20180068844A/ko
Application granted granted Critical
Publication of KR101978046B1 publication Critical patent/KR101978046B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

FinFET(fin field effect transistor)를 포함한 반도체 디바이스를 형성하는 방법에 있어서, 제1 희생층이 FinFET 구조의 소스/드레인 구조 및 격리 절연층 위에 형성된다. 제1 희생층이 패터닝됨에 따라, 개구부를 형성한다. 제1 라이너층이 개구부의 바닥부에서 격리 절연층 상에 그리고 패터닝된 제1 희생층의 적어도 측면 상에 형성된다. 제1 라이너층이 형성된 후에, 개구부 안에 유전체층이 형성된다. 유전체층이 형성된 후에, 패터닝된 제1 희생층이 제거되고, 그럼으로써 소스/드레인 구조 위에 컨택 개구부를 형성한다. 전도성층이 컨택 개구부 안에 형성된다.

Description

반도체 디바이스 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME}
<관련 출원과의 상호 참조>
본 출원은 2016년 12월 14일에 출원한 미국 특허 가출원 번호 제62/434,135호에 대해 우선권을 주장하며, 이 우선권 출원의 전체 개시내용은 참조에 의해 본 명세서에 포함된다.
<기술 분야>
본 개시내용은 반도체 집적 회로 제조 방법에 관한 것이며, 더 구체적으로는 FinFET(fin field effect transistor)를 포함한 반도체 디바이스의 제조 방법 및 그 반도체 디바이스에 관한 것이다.
반도체 산업이 디바이스의 고밀도화, 고성능 및 저비용을 추구하여 나노 기술 공정으로 발전함에 따라, 제조 및 설계 양쪽의 문제로부터의 과제로 FinFET과 같은 3차원 설계를 개발하고 하이k(유전 상수) 재료로 이루어진 금속 게이트 구조를 사용하게 되었다. 금속 게이트 구조는 종종 게이트 대체 기술을 이용하여 제조되며, 소스 및 드레인은 에피택셜 성장 방법을 이용하여 형성된다.
본 개시내용의 양태들은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준 관행에 따라, 다양한 피처를 실척으로 도시하지는 않는다. 사실상, 다양한 피처의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1a, 도 1b 및 도 1c는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 2a, 도 2b 및 도 2c는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 3a, 도 3b 및 도 3c는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 4a, 도 4b 및 도 4c는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 5a, 도 5b 및 도 5c는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 6a, 도 6b, 도 6c 및 도 6d는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 7a, 도 7b 및 도 7c는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 8a, 도 8b 및 도 8c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 9a, 도 9b 및 도 9c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 10a, 도 10b 및 도 10c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 11a, 도 11b 및 도 11c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 12a, 도 12b 및 도 12c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 13a, 도 13b 및 도 13c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 14a, 도 14b 및 도 14c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 15a, 도 15b 및 도 15c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 16a, 도 16b 및 도 16c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정의 다양한 공정 스테이지 중 하나를 도시한다.
도 17a와 도 17b는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스의 예시적인 단면도를 도시한다.
도 18은 본 개시내용의 일부 실시형태에 따른 반도체 디바이스의 예시적인 단면도를 도시한다.
도 19a와 도 19b는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스의 예시적인 단면도를 도시한다.
도 20a와 도 20b는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스의 예시적인 단면도를 도시한다.
이하의 개시내용에서는 본 발명의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 개시내용을 단순화하기 위해 구성요소 및 장치의 특정 실시형태 또는 실시예에 대해 후술한다. 물론 이들은 예시일뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 요소들의 치수는 개시하는 범위 또는 수치에 한정되지 않지만, 디바이스의 공정 조건 및/또는 바람직한 특성에 종속될 수 있다. 또한, 이어지는 설명에 있어서 제2 피처 위(over) 또는 상(on)의 제1 피처의 형성은 제1 및 제2 피처가 직접 접촉으로 형성되는 실시형태를 포함할 수 있고, 또한 제1 및 제2 피처가 직접 접촉하지 않도록 제1 및 제2 피처 사이에 추가 피처가 형성될 수 있는 실시형태도 또한 포함할 수 있다. 단순화와 명확화를 위해 다양한 피처가 상이한 스케일로 임의대로 도시될 수 있다. 첨부 도면에서는, 간략화를 위해 일부 층/피처가 생략될 수도 있다.
또한, "아래(beneath)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 피처와 다른 요소(들) 또는 피처(들)와의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 방향 외에, 사용 또는 동작 시의 디바이스의 상이한 방향도 포함하는 것을 의도한다. 디바이스는 다른 식으로 지향(90도 또는 다른 방향으로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다. 또한, "~로 제조되는(made of)"이란 기재는 "포함하는(comprising)" 또는 "~로 구성되는(consisting of)" 중 하나를 의미할 수 있다. 또한, 이어지는 제조 공정에서는, 설명하는 단계 중에/사이에 하나 이상의 추가 단계가 있을 수 있으며, 단계들의 순서가 바뀔 수도 있다.
개시하는 실시형태는 소스/드레인(S/D) 구조 위에 컨택을 위한 개구부를 패터닝하는 방법을 포함한, FinFET(in field-effect transistor)을 위해 S/D 구조에 컨택을 형성하는 방법에 관한 것이다. 본 명세서에 개시하는 것과 같은 실시형태는 일반적으로 FinFET뿐만 아니라, 더블-게이트(double-gate), 서라운드-게이트(surround-gate), 오메가-게이트(omega-gate) 또는 게이트-올-어라운드 트랜지스터(gate-all-around), 2D(2-dimensional) FET 및/또는 나노와이어 트랜지스터, 또는 소스/드레인 에피택셜 성장 공정을 갖는 임의의 적절한 디바이스에 적용 가능하다.
도 1a 내지 도 7c는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스 제조 공정에서의 다양한 공정을 도시한다. 다양한 도면 및 예시적인 실시형태 전체에 있어서, 같은 참조 번호는 같은 요소를 지정하는데 이용된다. 도 1a 내지 도 7c에서, "a"가 붙은 도면(예, 도 1a, 도 2a 등)은 투시도를 나타내며, "b"가 붙은 도면(예, 도 1b, 도 2b 등)은 도 1a에 표시한 선 Y1-Y1에 대응하는 Y 방향을 따른 단면도를 나타내고, "c"가 붙은 도면(예, 도 1c, 도 2c 등)은 도 1a에 표시한 선 X1-X1에 대응하는 X 방향을 따른 단면도를 나타낸다. 도 1a 내지 도 7c에 나타내는 공정의 이전, 동안, 이후에 추가 단계들이 제공될 수 있으며, 후술하는 단계들의 일부는 방법의 추가 실시형태를 위해 대체 또는 삭제될 수 있는 것은 물론이다. 단계/공정의 순서는 교체될 수도 있다.
먼저 도 1a 내지 도 1c를 참조하면, 도 1a 내지 도 1c는 FinFET 구조를 형성하기 위한 다양한 제조 단계가 수행된 후의 구조를 도시하고 있다. 도 1a 내지 도 1c에 도시하는 바와 같이, 소스/드레인(S/D) 구조(120, 121)와 금속 게이트(130)가 일함수 조절층(133) 및 게이트 유전체층(131)과 함께 기판(101) 위에 형성된다. 일부 실시형태에 있어서, S/D 구조(120)는 p채널 FET용이고, S/D 구조(121)는 n채널 FET용이다(즉, 전도성 타입이 상이하다). 다른 실시형태에서는, S/D 구조(120, 121) 둘 다가 p채널 FET용이거나 n채널 FET용이다(즉, 전도성 타입이 동일하다). 이 구조는 다음의 제조 단계에 의해 형성될 수 있다.
도 1a 내지 도 1c에는, 하나 이상의 핀 구조를 가진 기판(101)이 도시되는데, 도면에는 2개의 핀 구조(102)가 예시되어 있다. 설명을 위해 2개의 핀 구조가 도시되지만, 다른 실시형태에서는 임의 개의 핀 구조를 포함할 수도 있음이 이해될 것이다. 일부 실시형태에 있어서, 하나 이상의 더미 핀 구조가 활성 FinFET용의 핀 구조에 인접해 형성된다. 핀 구조(102)는 X 방향으로 연장되고, 기판으로부터 Z 방향으로 돌출하는 반면, 게이트(130)는 Y 방향으로 연장된다.
기판(101)은 설계 요건(예, p타입 기판 또는 n타입 기판)에 따라 다양한 도핑 영역을 포함할 수 있다. 일부 실시형태에 있어서, 도핑 영역은 p타입 또는 n타입 도펀트가 도핑될 수 있다. 예를 들어, 도핑 영역은 붕소 또는 BF2 등의 p타입 도펀트, 인 또는 비소 등의 n타입 도펀트, 및/또는 이들의 조합으로 도핑될 수 있다. 도핑 영역은 n타입 FinFET에 맞게 구성될 수도 또는 다르게는 p타입 FinFET(PFET)에 맞게 구성될 수도 있다.
일부 실시형태에 있어서, 기판(101)은 실리콘, 다이아몬드 또는 게르마늄 등의 적절한 원소 반도체, IV족 화합물 반도체(실리콘 게르마늄(SiGe), 실리콘 탄화물(SiC), 실리콘 게르마늄 탄화물(SiGeC), GeSn, SiSn, SiGeSn), III-V족 화합물 반도체(예컨대, 갈륨 비화물, 인듐 갈륨 비화물(InGaAs), 인듐 비화물, 인듐 인화물, 인듐 안티몬화물, 갈륨 비소 인화물, 또는 갈륨 인듐 인화물) 등의 적절한 합금 또는 화합물 반도체 등등으로 제조될 수 있다. 또한, 기판(101)은 에피택셜층(에피층)을 포함할 수도 있고, 성능 향상을 위해 변형될 수도 있고/있거나 SOI(silicon-on-insulator) 구조를 포함할 수도 있다.
핀 구조(120)는 예컨대 인접한 핀 구조(102) 사이에 트렌치가 형성되도록 트렌치를 형성하는 패터닝 공정을 이용하여 형성될 수 있다. 아래에서 더 상세하게 설명하겠지만, 핀 구조(102)는 FinFET을 형성하는데 이용될 것이다.
STI(shallow trench isolation)(105) 등의 격리 절연층이 기판(101) 위의 트렌치 내에 배치된다. 일부 실시형태에서는, 격리 절연층(105)을 형성하기 전에, 하나 이상의 라이너층이 기판(101) 위에 그리고 핀 구조(102)의 바닥부(103)의 측벽 위에 형성된다. 일부 실시형태에 있어서, 라이너층은 기판(101) 및 핀 구조(102)의 바닥부(103)의 측벽 상에 형성된 제1 핀 라이너층(10)과, 제1 핀 라이너층(106) 상에 형성된 제2 핀 라이너층(108)을 포함한다. 각각의 라이너층은 일부 실시형태에 있어서 약 1 nm 내지 약 20 nm 사이의 두께를 갖는다.
일부 실시형태에 있어서, 제1 핀 라이너층(106)은 실리콘 산화물을 포함하고 약 0.5 nm와 약 5 nm 사이의 두께를 가지며, 제2 핀 라이너층(108)은 실리콘 질화물을 포함하고 약 0.5 nm와 약 5 nm 사이의 두께를 갖는다. 라이너층은 PVD(physical vapor deposition), CVD(chemical vapor deposition), 또는 ALD(atomic layer deposition) 등의 하나 이상의 공정을 통해 적층되지만, 임의의 조건에 맞는 공정이 사용될 수도 있다.
격리 절연층(105)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 불소 도핑된 규산염 유리(FSG), 탄소 도핑된 산화물 등의 로우k 유전체, 다공성 탄소 도핑된 실리콘 이산화물 등의 초 로우k(extremely low-k) 유전체, 폴리이미드 등의 중합체, 또는 이들의 조합 등의 적절한 유전체 재료로 제조될 수 있다. 일부 실시형태에 있어서, 격리 절연층(105)은 임의의 조건에 맞는 공정이 이용될 수도 있지만, CVD, FCVD(flowable CVD), 또는 스핀온글래스 공정 등의 공정을 통해 형성될 수 있다. 후속하여, 핀 구조(102)의 정상면 위로 연장되는 격리 절연층(105)의 부분과, 핀 구조(102)의 정상면 위의 라이너층의 부분은, 예컨대 에칭 공정, 화학적 기계 연마(CMP) 등을 이용해서 제거된다.
일부 실시형태에 있어서, 격리 절연층(105)과 라이너층은 도 1a 내지 도 1c에 도시하는 바와 같이, 핀 구조(102)의 상위부(104)를 노출시키도록 리세싱된다. 일부 실시형태에 있어서, 격리 절연층(105)과 라이너층은 단일 에칭 공정 또는 다중 에칭 공정을 이용하여 리세싱된다. 격리 절연층(105)이 실리콘 산화물로 제조되는 일부 실시형태에서는, 에칭 공정이 예컨대 건식 에칭, 화학 에칭, 또는 습식 세정 공정일 수도 있다. 예를 들어, 화학 에칭은 묽은 불화수소(DHF)산 등의 불소 함유 화학물질을 사용할 수 있다. 핀 형성 공정 후에, 핀 높이(Hfin)는 약 30 nm 이상이며, 일부 실시형태에서는 예컨대 약 50 nm 이상이다. 일 실시형태에서는, 핀 높이가 약 40 nm와 약 80 nm 사이이다. 핀 높이는 후속 처리에 의해 조절될 수 있는 것이 이해될 것이다. 다른 재료, 공정, 및 치수가 사용될 수도 있다.
핀 구조(102)가 형성된 후에, 더미 게이트 유전체층과 더미 게이트 전극을 포함하는 더미 게이트 구조가 노출된 핀 구조(102) 위에 형성된다. 더미 게이트 유전체층과 더미 게이트 전극은 후속하여 소스/드레인 영역을 규정하여 형성하는데 이용될 것이다. 일부 실시형태에 있어서, 더미 게이트 유전체층과 더미 게이트 전극은, 노출된 핀 구조(102) 위에 더미 유전체층을 그리고 더미 게이트 유전체층 위에 더미 전극층을 적층하여 패터닝함으로써 형성된다. 더미 유전체층은 열산화, CVD, 스퍼터링, 또는 더미 유전체층을 형성하기 위해 당업계에 공지되어 사용되는 기타 방법에 의해 형성될 수 있다. 일부 실시형태에 있어서, 더미 유전체층은, 실리콘 산화물, 실리콘 질화물(SiCN, SiON, 및 SiN), 탄소 도핑된 산화물 등의 로우k 유전체, 다공성 탄소 도핑된 실리콘 이산화물 등의 초 로우k 유전체, 폴리이미드 등의 중합체, 또는 이들의 조합 등의 하나 이상의 적절한 유전체 재료로 제조될 수 있다. 일 실시형태에서는, SiO2가 사용된다.
후속하여, 더미 전극층이 더미 유전체층 위에 형성된다. 일부 실시형태에 있어서, 더미 전극층은 전도성 재료이며, 비정질 실리콘, 폴리 실리콘, 비정질 게르마늄, 폴리 게르마늄, 비정질 실리콘-게르마늄, 폴리 실리콘-게르마늄, 금속 질화물, 금속 실리사이드, 금속 산화물, 및 금속을 포함하는 그룹에서 선택될 수 있다. 더미 전극층은 PVD, CVD, 스퍼터 적층, 또는 전도성 재료를 적층하기 위해 당업계에 공지되어 사용되는 기타 기술에 의해 적층될 수 있다. 다른 재료, 전도성 및 비전도성 재료가 사용될 수도 있다. 일 실시형태에서는, 폴리-Si가 사용된다.
패터닝을 돕기 위해 더미 전극층 위에 마스크 패턴이 형성될 수 있다. 마스크 패턴은 SiO2, SiCN, SiON, Al2O3, SiN, 또는 기타 적절한 재료로 된 하나 이상의 층으로 제조된다. 마스크 패턴을 에칭 마스크로서 사용하여, 더미 전극층이 더미 게이트 전극으로 패터닝된다. 일부 실시형태에서는, 더미 유전체층이 또한 더미 게이트 유전체층을 규정하도록 패터닝된다.
후속하여, 측벽 스페이서(134)가 더미 게이트 구조의 측벽을 따라 형성된다. 측벽 스페이서(134)는 더미 게이트 구조, 핀 구조(102), 및 격리 절연층(105) 위에 절연층을 적층하여 비등방성으로 에칭함으로써 형성될 수 있다. 일부 실시형태에 있어서, 측벽 스페이서(134)는 실리콘 질화물로 형성되고 단일층 구조를 가질 수 있다. 대안적 실시형태에 있어서, 측벽 스페이서(134)는 복수의 층을 포함하는 복합 구조를 구비할 수 있다. 예를 들어, 측벽 스페이서(134)는 실리콘 산화물층과, 그 실리콘 산화물층 위의 실리콘 질화물층을 포함할 수 있다. SiO2, SiCN, SiON, SiN, SiOCN, 기타 로우k 재료, 또는 이들의 조합 등의 다른 재료도 사용될 수 있다. 측벽 스페이서(134)의 두께는 일부 실시형태에 있어서 약 5 nm 내지 약 40 nm의 범위에 있다.
더미 게이트 구조와 측벽 스페이서가 형성된 후에, 더미 게이트 구조의 대향하는 측면을 따라 핀 구조(102)의 노출 부분(104) 상에 소스/드레인(S/D) 구조(120, 121)가 형성된다. S/D 구조(120, 121)는 노출된 핀 구조(104)의 측면 및 정상면 상에 에피택셜로 형성될 수 있다. 일부 실시형태에 있어서, 핀 구조(104)가 리세싱될 수 있고, 그 리세싱된 핀의 노출 부분 상에 S/D 구조가 에피택셜로 형성된다. 소스/드레인 영역에 에피택셜 성장 재료를 사용하면 소스/ 드레인 영역이 FinFET의 채널에 응력을 발휘할 수 있게 된다. S/D 구조(120, 121)가 상이한 전도성 타입 FET용인 경우, S/D 구조(121)용의 핀 구조가 예컨대 SiN으로 제조된 보호층으로 덮이면서 S/D 구조(120)가 형성되고, 그런 다음 형성된 S/D 구조(120)가 보호층으로 덮이면서 S/D 구조(121)가 형성된다.
S/D 구조(120, 121)에 사용되는 재료는, 채널 영역에 인장 응력을 발휘하도록 한 타입의 재료를 n타입 FinFET에 사용하고, 압축 응력을 발휘하도록 다른 타입의 재료를 p타입 FinFET에 사용하여, n타입 및 p타입 FinFET에 따라 다를 수 있다. 예를 들어, SiP 또는 SiC는 n타입 FinFET을 형성하는데 사용될 수 있고, SiGe 또는 Ge는 p타입 FinFET을 형성하는데 사용될 수 있다. 다른 재료가 사용될 수도 있다. 일부 실시형태에 있어서, S/D 구조(120 및/또는 121)는 상이한 조성 및/또는 상이한 도펀트 농도를 가진 2 이상의 에피택셜층을 포함한다.
S/D 구조(120 및/또는 121)는 적절한 도펀트를 주입하기 위한 주입 공정을 통해, 또는 재료가 성장할 때 인시츄 도핑(in-situ doping)에 의해 도핑될 수 있다. 예를 들어, 채널이 Si 또는 Si1 - xGex일 수 있는 p채널 FET의 경우, 도핑된 에피택셜막은 붕소 도핑된 Si1 - yGey일 수 있으며, 여기서 채널에 종 방향의 압축 변형을 유도하여 정공 이동성을 향상시키기 위해 y는 x와 같거나 더 크다. 채널이 Si일 수 있는 n채널 FET의 경우, 도핑된 에피택셜막은 예컨대 인 도핑된 실리콘(Si:P) 또는 실리콘-탄소(Si1-zCz:P)일 수 있다. 채널이 InmGa1 - mAs와 같은 화합물 반도체인 경우, 도핑된 에피택셜막은 예컨대 InmGa1 - mAs일 수 있으며, 여기서 n은 m보다 작거나 같다.
도 1a 및 도 1b에 도시하는 바와 같이, 일부 실시형태에서는, Y 방향의 S/D 구조(120 및/또는 121)의 단면이 실질적으로 육각형 형상을 가지며, 다른 실시형태에서는 S/D 구조의 단면(120 및/또는 121)은 다이아몬드 형상, 기둥 형상 또는 막대 형상을 갖는다. 일부 실시형태에 있어서, Y 방향의 S/D 구조의 폭(WSD)은 약 25 nm 내지 약 100 nm의 범위 내에 있다.
S/D 구조(120, 121)가 형성된 후, 라이너층 또는 컨택 에칭 정지층(CESL)으로서의 제1 절연층이 S/D 구조(120, 121)를 덮기 위해 더미 게이트 구조의 측벽 스페이서(134) 상에 적층된다. 제1 절연층(122)은 후속하여 형성된 유전체 재료의 패터닝 중에 에칭 정지부로서 작용한다. 일부 실시형태에 있어서, 제1 절연층(122)은 SiO2, SiCN, SiON, SiN, 또는 다른 적절한 유전체 재료를 포함한다. 일 실시형태에서는, SiN이 사용된다. 제1 절연층(122)은 전술한 재료들의 조합을 포함하는 복수의 층으로 이루어질 수 있다. 제1 절연층(122)은 PVD, CVD 또는 ALD 등의 하나 이상의 공정을 통해 적층될 수 있지만, 임의의 조건에 맞는 공정이 이용될 수도 있다. 다른 재료 및/또는 공정이 사용될 수도 있다. 일부 실시형태에 있어서, 제1 절연층(122)은 약 0.5 nm와 약 10 nm 사이의 두께를 갖는다. 다른 실시형태에서는 다른 두께가 이용될 수도 있다.
제1 절연층(122)이 형성된 후, 제1 절연층(122) 위에 제1 희생층(115)이 형성된다. 일부 실시형태에 있어서, 제1 희생층(115)은 SiO2, SiCN, SiON, SiOC, SiOH, SiN, 또는 기타 적절한 유전체 재료 등의 실리콘계 유전체 재료로 된 하나 이상의 층을 포함한다. 일부 실시형태에 있어서, 제1 희생층(115)은 임의의 조건에 맞는 공정이 이용될 수도 있지만, CVD, PVD, ALD, FCVD, 또는 스핀온글래스 공정 등의 성막 공정을 통해 형성될 수 있다. 후속하여, 제1 절연층(122)의 일부가 예컨대 에칭 공정, CMP 등을 이용하여 제거되어 더미 게이트 전극의 상면을 노출시킨다.
이어서, 더미 게이트 전극 및 더미 게이트 유전체층이 제거된다. 제거 공정은 하나 이상의 에칭 공정을 포함할 수 있다. 예를 들어, 일부 실시형태에 있어서, 제거 공정은 건식 에칭 또는 습식 에칭 중 하나를 사용하여 선택적으로 에칭하는 것을 포함한다. 건식 에칭이 사용될 경우, 이 공정은 CF4, CHF3, NF3, SF6, Br2, HBr, Cl2, 또는 이들의 조합을 포함할 수 있다. N2, O2, 또는 Ar 등의 희석 가스가 선택적으로 사용될 수도 있다. 습식 에칭이 사용될 경우, 에칭액(에칭제)은 NH4OH:H2O2:H2O (APM), NH2OH, KOH, HNO3:NH4F:H2O, 및/또는 등등을 포함할 수 있다. 더미 게이트 유전체층은 묽은 HF산 등의 습식 에칭 공정을 이용하여 제거될 수 있다. 다른 공정 및 재료가 사용될 수도 있다.
더미 게이트 구조가 제거된 후에, 게이트 유전체층(131)이 핀 구조(104)의 채널 영역 위에 형성된다. 일부 실시형태에서는, 게이트 유전체층(131)이 하나 이상의 하이-k 유전체(예컨대, 3.9보다 높은 유전 상수를 가짐)을 포함한다. 예를 들어, 하나 이상의 게이트 유전체층은 금속 산화물 또는 Hf, Al, Zr의 실리케이트, 이들의 조합 그리고 이들의 다층으로 된 하나 이상의 층을 포함할 수도 있다. 기타 적절한 재료는 금속 산화물, 금속 합금 산화물, 및 이들의 조합의 형태로, La, Mg, Ba, Ti, Pb, Zr를 포함한다. 예시적인 재료는 MgOx, BaTixOy, BaSrxTiyOz, PbTixOy, PbZrxTiyOz, SiCN, SiON, SiN, Al2O3, La2O3, Ta2O3, Y2O3, HfO2, ZrO2, HfSiON, YGexOy, YSixOy와 LaAlO3 , 및 등등을 포함한다. 게이트 유전체층(131)의 형성 방법은 MBD(molecular-beam deposition), ALD, PVD 등을 포함한다. 일부 실시형태에 있어서, 게이트 유전체층(131)은 약 0.5 nm 내지 약 5 nm의 두께를 갖는다. 일부 실시형태에서는, 게이트 유전체층(131)은 측벽 스페이서(134)의 측면 상에도 형성된다.
일부 실시형태에 있어서, 게이트 유전체층(131)을 형성하기 전에 채널 영역(104) 위에 계면층(도시 생략)이 형성될 수도 있고, 게이트 유전체층(131)은 그 계면층 위에 형성된다. 계면층은 후속 형성되는 하이-k 유전체층을 하부의 반도체 재료로부터 보호하는 것을 돕는다. 일부 실시형태에 있어서, 계면층은 화학적 반응으로 형성될 수도 있는 화학적 실리콘 산화물이다. 예를 들어, 화학적 실리콘 산화물은 탈이온수 + 오존 (DIO3), NH4OH+H2O2+H2O (APM), 또는 다른 방법을 이용해서 형성될 수도 있다. 다른 실시형태는 계면층에 대해 상이한 재료 또는 공정을 사용한다. 일부 실시형태에 있어서, 계면층은 약 0.2 nm 내지 약 1 nm의 두께를 갖는다.
게이트 유전체층(131)이 형성된 후, 게이트 유전체층(131) 위에 게이트 전극(130)이 형성된다. 게이트 전극(130)은 W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, 및 Zr으로 이루어진 그룹에서 선택된 금속일 수 있다. 일부 실시형태에 있어서, 게이트 전극(130)은 TiN, WN, TaN, 및 Ru로 이루어진 그룹에서 선택된 금속을 포함한다. Ti-Al, Ru-Ta, Ru-Zr, Pt-Ti, Co-Ni 및 Ni-Ta 등의 금속 합금이 사용될 수도 있고/있거나 WNx, TiNx, MoNx, TaNx, 및 TaSixNy 등의 금속 질화물이 사용될 수도 있다. 일부 실시형태에 있어서, 게이트 전극(130)은 약 5 nm 내지 약 100 nm의 두께를 갖는다. 게이트 전극(130)은 ALD, CVD, PVD, 도금, 또는 이들의 조합 등의 적절한 공정을 이용해서 형성될 수 있다. 잉여 재료를 제거하기 위해 CMP 등의 평탄화 공정이 수행될 수도 있다.
본 개시내용의 소정의 실시형태에 있어서, 게이트 전극(130)은 게이트 유전체층(131) 상에 배치되는 하나 이상의 일함수 조절층(133)을 포함한다. 일함수 조절층(133)은 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi 또는 TiAlC으로 된 단일층, 또는 이들 재료 중 2개 이상의 재료로 된 다층과 같은 전도성 재료로 이루어진다. n채널 FinFET의 경우, TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi 및 TaSi 중 하나 이상이 일함수 조절층으로서 이용되고, p채널 FinFET의 경우, TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co 중 하나 이상이 일함수 조절층으로서 이용된다. 기타 적절한 전도성 재료가 사용될 수도 있다.
그런 다음, 게이트 전극(130), 게이트 유전체층(131) 및 일함수 조절층이 리세싱되고, 리세싱된 게이트 전극(130) 상에 게이트 캡층(132)이 형성된다. 일부 실시형태에 있어서, 게이트 전극(130)이 주로 W로 구성될 경우, 게이트 전극은 예컨대 1 Torr 미만의 압력에서 24℃ 내지 150℃의 온도 범위로 Cl2/O2/BCl3를 사용한 건식 에칭 공정을 사용하여 리세싱될 수 있다.
게이트 전극(130)을 리세싱한 후에, 후속 공정 시에 게이트 전극(130)을 보호하기 위해 오목부 안에 게이트 캡층(132)이 형성된다. 일부 실시형태에 있어서, 게이트 캡층(132)은 SiO2, SiCN, SiON, SiN, Al2O3, La2O3, SiN, 이들의 조합 등을 포함하지만, 다른 적절한 유전체막이 사용될 수도 있다. 게이트 캡층(132)은 예컨대 CVD, PVD, 스핀온 등을 사용하여 형성될 수도 있다. 다른 적절한 공정 단계들이 사용될 수도 있다. 잉여 재료를 제거하기 위해 CMP 등의 평탄화 공정이 수행될 수도 있다.
도 2a 내지 도 2c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
도 2a 내지 도 2c에 도시하는 바와 같이, S/D 구조(120, 121)의 양 측면 영역으로부터 제1 희생층(115)이 적어도 일부 제거되어 개구부(116)를 형성한다. 일부 실시형태에서는, 제1 희생층(115)의 전부가 제거된다. 제1 희생층(115)은 건식 에칭 및/또는 습식 에칭 등의 적절한 에칭 단계에 의해 제거될 수 있다. 에칭 단계는 제1 절연층(122)에서 실질적으로 멈춘다. 일부 실시형태에 있어서, 제1 절연층(122)은 약 0.5 nm와 약 10 nm 사이의 두께를 갖는다.
도 3a 내지 도 3c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
개구부(116)가 형성된 후에, 제2 희생층(140)이 개구부(116) 안에 형성된다. 제2 희생층(140)은 제1 절연층(122) 및/또는 격리 절연층(105)의 재료에 대해 높은(예컨대 5 이상) 에칭 선택비를 갖는 재료로 구성된다. 일부 실시형태에 있어서, 제2 희생층(140)은 Si, SiGe, SiC, Ge, SiGeC 및 GeSn 등의 IV족 원소 또는 화합물 재료로 된 하나 이상의 층으로 이루어지며, 결정질, 다결정 또는 비정질일 수도, 또는 도핑되거나 도핑되지 않을 수도 있다. 다른 실시형태에 있어서, 제2 희생층(140)은 SiOC, SiC, SiON, SiCN, SiOCN, SiN 및/또는 SiO2, 또는 기타 적절한 재료의 하나 이상의 실리콘계 유전체층으로 이루어진다. 알루미늄 산화물, 알루미늄 산탄화물(oxy-carbide) 및 알루미늄 산질화물(oxy-nitride) 등의 알루미늄계 유전체 재료가 사용될 수도 있다. SOC(spin-on-carbon) 방법도 사용될 수 있다. 소정의 실시형태에 있어서, 제2 희생층(140)은 GaAs, GaN, InGaAs, InAs, InP, InSb, InAsSb, AlN 및/또는 AlGaN을 포함하지만 이에 한정되지 않는 III-V족 화합물 반도체의 하나 이상의 층으로 이루어진다. 제2 절연층(140)은 PVD, CVD 또는 ALD 등의 하나 이상의 공정을 통해 적층될 수 있지만, 임의의 조건에 맞는 공정이 이용될 수도 있다. 다른 재료 및/또는 공정이 사용될 수도 있다. 일 실시형태에서는, 비정질 또는 폴리 Si가 제2 희생층(140)으로서 사용된다. 다른 실시형태에서는, 비정질 또는 폴리 Si1 - xGex가 제2 희생층(140)으로서 사용되며, 여기서 x는 0.4 이하이다.
일부 실시형태에 있어서, 제2 희생층(140)의 적층 온도는 약 600℃ 미만으로 유지된다. 다른 실시형태에서는 그 온도가 약 500℃ 미만이고, 소정의 실시형태에서는 400℃ 미만이다. 이 온도는 이미 형성된 금속-게이트/하이k 유전체 스택의 임계 전압에 미치는 열충격(thermal impact)을 최소화하도록 유지된다.
제2 희생층(140)의 상위면을 평탄화하기 위해 에치백 공정 또는 CMP 등의 평탄화 단계가 수행될 수 있다. 평탄화 단계에 의해, 게이트 캡층(132)의 상위면이 노출된다. 평탄화 단계 후에, 제1 절연층(122)의 표면에서 측정되는 제2 희생층(140)의 높이(Hsacr)두께는 일부 실시형태에 있어서 약 100 nm 내지 약 350 nm의 범위 내에 있다.
도 4a 내지 도 4c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
제2 희생층(140)이 형성된 후에, 제2 희생층(140) 위에 마스크 패턴이 형성되고, 마스크 패턴을 에칭 마스크로서 이용하여, 제2 희생층(140)이 건식 에칭을 사용해 패터닝됨으로써, S/D 구조(120, 121) 사이에 개구부(144)가 형성된다. 일부 실시형태에서는, 에칭이 제1 절연층(122)에서 실질적으로 멈춘다. 도 4a 내지 도 4c는 마스크층이 제거된 후의 구조를 도시한다.
마스크 패턴은 포토 에칭 단계를 이용해서 적절한 마스크 재료층을 패터닝함으로써 형성될 수 있다. 에칭 단계는 상이한 플라즈마 가스들을 사용한 다중 에칭 공정을 포함할 수 있다. 일부 실시형태에 있어서, 마스크 패턴은 제2 희생층(140)과 게이트 캡층(132) 위에서 X 방향으로 연장된다. 마스크 패턴은 SiO2, SiN 및/또는 SiON, 및/또는 TiN, 또는 기타 적절한 재료로 된 하나 이상의 층으로 구성된다. 마스크 패턴용 재료는 PVD, CVD 또는 ALD 등의 하나 이상의 공정을 통해 적층될 수 있지만, 임의의 조건에 맞는 공정이 이용될 수도 있다. 다른 재료 및/또는 공정이 사용될 수도 있다.
제2 희생층(140)으로서 Si계 재료(예컨대, 폴리 Si 또는 비정질 Si)가 사용될 경우에, 에칭은 예컨대 HBr를 포함하는 가스 또는 Cl2 및 SF6를 포함하는 가스를 사용한 플라즈마 건식 에칭에 의해 수행될 수 있다. 제2 희생층(140)으로서 SOC(스핀-온-탄소)가 사용될 경우에, 에칭은 예컨대 N2 및 H2를 포함하는 가스 또는 SO2 및 O2를 포함하는 가스를 사용한 플라즈마 건식 에칭에 의해 수행될 수 있다. FCVD에 의해 형성된 Si 산화물계 재료가 제2 희생층으로서 사용될 경우, 에칭은 예컨대 플루오로카본 및/또는 불소를 포함하는 가스를 사용한 플라즈마 건식 에칭에 의해 수행될 수 있다.
제2 희생층(140)으로서 Ge계 재료(예컨대, Ge 또는 SiGe)가 사용될 경우에, 에칭은 플루오로카본을 포함하는 가스 또는 할로겐을 포함하는 가스를 사용한 플라즈마 건식 에칭에 의해 수행될 수 있다. 에칭 시에, 기판은 약 20℃ 내지 약 200℃ 사이의 온도로 가열될 수 있다.
일부 실시형태에 있어서, Y 방향의 개구부 폭(WSP)은 약 5 nm 내지 약 100 nm의 범위 내에 있다. 소정의 실시형태에 있어서, 개구부 폭(WSP)은 약 10 nm 내지 약 40 nm의 범위 내에 있다. 그 폭(WSP)은 설계 규칙 및/또는 반도체 디바이스의 타입에 따라 다른 값일 수도 있다.
도 4a와 도 4c에 도시하는 바와 같이, 일부 실시형태에서는 제1 절연층(122) 및/또는 게이트 캡층(132)이 제2 희생층(140)의 패터닝 시에 실질적으로 에칭되지 않음을 알아야 한다. 다시 말해, 제1 절연층(122) 및/또는 게이트 캡층(132)용의 재료는 제2 희생층(140)에 대해 높은 에칭 선택비(예컨대, 5 이상)을 갖는다(즉, 제2 희생층보다 에칭률이 낮다).
도 5a 내지 도 5c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
후속하여, 패터닝된 제2 희생층(140) 및 격리 절연층(105) 위에 라이너층인 제2 절연층(146)이 등각으로 형성된다. 제2 절연층(146)은 측벽 스페이서(134)와 게이트 캡층(132) 위에도 형성된다.
일부 실시형태에 있어서, 제2 절연층(146)은 SiO2, SiCN, SiON, SiCN, SiOCN 및 SiN를 포함하지만, 기타 적절한 유전체 재료도 사용될 수도 있다. 일 실시형태에 있어서, SiN 등의 실리콘 질화물계 유전체 재료가 사용된다. 제2 절연층(146)은 전술한 재료들의 조합을 포함하는 복수의 층으로 이루어질 수도 있다. 일 실시형태에서는, 적어도 하나가 실리콘 질화물계 재료인, 2개 층의 실리콘계 유전체 재료가 제2 절연층(146)으로서 사용된다. 다른 실시형태에서는, 알루미늄계 유전체층이 제2 절연층(146)의 1개 층으로서 사용된다. 소정의 실시형태에 있어서, 제2 절연층(146)은 실리콘 질화물계 유전체층 및 실리콘 질화물계 재료 이외의 다른 재료로 이루어진 유전체층을 포함한다.
제2 절연층(146)은 실리콘에 대한 SiO2의 에칭률과 비교하여 실리콘에 대한 선택적 에칭률이 높다. 예를 들어, H3PO4에서 실리콘 질화물, 실리콘 산화물 및 실리콘의 에칭률은 각각 약 50:5:1이다.
제2 절연층(146)은 PVD, CVD, MLD(molecular layer deposition) 또는 ALD 등의 하나 이상의 공정을 통해 적층될 수 있지만, 임의의 조건에 맞는 공정이 이용될 수도 있다. 다른 재료 및/또는 공정이 사용될 수도 있다. ALD의 경우, 예를 들어, SiH4(실란), SiH2Cl2(디클로로실란), 및/또는 SiCl4(사염화규소), 및/또는 질화물 함유 라이너층을 위한 기타 적합한 실리콘 함유 전구체가 ALD 공정을 위한 전구체로서 사용될 수도 있다.
일부 실시형태에 있어서, 제2 절연층(146)의 적층 온도는 약 500℃보다 낮게 유지된다. 다른 실시형태에서는, 그 온도가 약 400℃보다 낮다. 이 온도는 이미 형성된 금속-게이트/하이k 유전체 스택의 임계 전압에 미치는 열충격(thermal impact)을 최소화하도록 유지된다.
일부 실시형태에 있어서, 제2 절연층(146)은 약 1 nm와 약 15 nm 사이의 두께를 갖는다. 다른 실시형태에서는, 그 두께가 약 3 nm 내지 약 10 nm이다. 다른 실시형태에서는 다른 두께가 이용된다.
제2 절연층(146)이 형성된 후에, 개구부(144)를 충전하고 제2 희생층(140)을 덮도록 제1 층간 절연막(ILD)(145)이 형성된다.
ILD층(145)은 단층 또는 다층을 포함할 수 있다. 일부 실시형태에 있어서, ILD층(145)은 SiO2, SiCN, SiOC, SiON, SiOCN, SiN 또는 로우-k 재료를 포함하지만, 다른 적절한 유전체막이 사용될 수도 있다. ILD층(145)은 CVD, PECVD 또는 ALD, FCVD, 또는 스핀온글래스 공정에 의해 형성될 수 있다. 잉여 재료를 제거하기 위해 CMP 등의 평탄화 공정이 수행될 수도 있다. 평탄화 공정에 의해, 제2 희생층(140)(및 캡 절연층(132))의 상위면이 일부 실시형태에서 노출된다.
FCVD이 이용될 경우, 일부 실시형태에서는 유동성 격리 유전체 전구체에 대해 경화 공정이 수행된다. 경화 공정은 유동성 격리 유전체 전구체를 실리콘 산화물층과 같은 유전체층으로 전달하기 위해, UV 경화, 오존(O3) 플라즈마 경화 또는 저온 O3 플라즈마 + UV 경화 (LTB + UV 경화)를 포함할 수 있다. 일부 실시형태에 있어서, UV 경화 공정의 처리 온도 범위는 약 0℃와 약 10℃ 사이이다. 일부 실시형태에 있어서, O3 플라즈마 경화 공정의 처리 온도 범위는 약 100℃와 약 250℃ 사이이다. 일부 실시형태에서는 LTB + UV 경화 공정의 처리 온도 범위는 약 30℃와 약 50℃ 사이이다. 경화 공정은 일부 실시형태에 있어서 공정 시간을 단축하기 위해 적층 공정 후에 한 번만 수행될 수도 있지만, 이에 한정되는 것은 아니다. 적층 공정과 경화 공정은 교대로 수행될 수 있다. 다른 실시형태에 있어서, 유동성 격리 유전체 전구체는 또한 질소, 산소, 오존 또는 증기를 직접 도입함으로써 산화 공정을 통해 유전체층으로 직접 전달될 수 있다.
ILD층의 구조적 밀도를 더욱 증가시키기 위해, 경화 공정 후에, 열처리 공정이 절연 유전체층에 수행될 수 있다. 열처리 공정은 증기를 함유한 열처리 공정(습식 어닐링) 및 질소를 함유한 열처리 공정(건식 어닐링)을 포함한다. 일부 실시형태에서는, 증기를 함유한 열처리의 처리 온도 범위가 약 400℃와 약 1000℃ 사이이고, 질소를 함유한 열처리 공정의 처리 온도가 약 1000℃와 약 1200℃ 사이이다. 다른 실시형태에서는, 열처리 온도가 예컨대 자외선 열처리(UVTP, ultra violet thermal processing) 공정에서 막을 자외선에 노출시킴으로써 약 400℃로 낮아질 수 있다.
경화 또는 처리 후에, ILD층은 일부 실시형태에 있어서 6 미만의 비유전율(relative permittivity)을 가질 수 있다.
다른 실시형태에 있어서, ILD층(145)을 형성하기 위해 스핀온유전체(SOD) 공정이 수행된다. 이 실시형태에 있어서, 제2 절연층(146), 질화물 함유 라이너층은 SOD 공정에 의해 컨택 격리 영역 내의 적층된 격리 유전체층에 적절한 중간층(inter layer)을 제공하기 위해 이전의 공정에서 형성된다. 따라서, ILD층은 적절한 전구체를 이용한 SOD 공정에 의해 형성될 수 있다.
ILD층(145)을 위한 SOD 공정에서, 전구체는 실록산, 메틸실록산, 폴리실라잔 및 하이드로젠실세스퀴옥산, 퍼하이드로폴리실라잔(PHPS), 및 기타 적합한 재료 등의 유기규소 화합물일 수 있다. SOD 전구체는 스핀온 화학물질의 코팅 용액에 주로 사용되는 상용 유기 용매에 용해된다. 적절한 유기 용매는 예컨대 디부틸에테르(DBE), 톨루엔, 크실렌, 프로필렌글리콜모노메틸에테르아세테이트(PGMEA), 에틸 락테이트 및 이소프로필 알콜(IPA) 등을 포함하며, PHPS의 용매로서는 크실렌을 사용하는 것이 바람직하다. 용액 중의 SOD 전구체의 농도는 용액의 농도(즉, 점도) 및 코팅의 두께를 조절하기 위해 변할 수 있다. 일부 실시형태에서는, 약 4 중량% 내지 약 30 중량%의 SOD 전구체를 함유하는 용액이 사용될 수 있다. 다른 실시형태에서는, 약 8 중량% 내지 약 20 중량%의 SOD 전구체를 함유하는 용액이 사용된다. 계면 활성제 및 결합제 등의 부가적인 소량의 첨가제가 용액에 포함될 수 있다.
웨이퍼는 전구체 스핀온 공정 시에 SOD 전구체를 웨이퍼 중심으로부터 에지로 균일하게 퍼지게 회전된다. 기판 상에 SOD 전구체 코팅을 위한 캐스트 회전의 회전 속도는 일부 실시형태에 있어서, 12인치 웨이퍼에 대해 100 rpm 내지 3000 rpm이다. 일부 실시형태에서는 SOD 전구체의 다이나믹 디스펜스 속도가 약 1 ml/sec이고, 디스펜스 퍼들은 메인 속도 이전에 웨이퍼의 에지로 완전히 퍼지게 될 것이다. 따라서, SOD 전구체는 컨택 격리 홀의 바닥부를 완전히 덮고 개구부(144)를 충전할 수 있다.
후속하여, SOD 적층 후에 SOD층을 안정시키기 위해 프리베이킹 공정이 행해진다. 프리베이킹 공정은 일부 실시형태에서는 약 100℃ 내지 약 200℃ 범위의 저온에서 대기를 사용하여 수행된다. 프리베이킹 공정 후에 SOD층을 치밀화하기 위해 열처리 공정이 행해진다. 열처리 공정은 일부 실시형태에서는 약 400℃ 내지 약 1100℃ 범위의 고온에서 수행되는 어닐링 공정이다. 어닐링 공정은 가스 함유 증기, O2 및 H2 가스를 사용하는 습식 어닐링 공정, 또는 N2 및 O2 가스를 포함한 가스를 사용하는 건식 어닐링 공정일 수 있다. 다른 실시형태에 있어서, 열처리 공정은 약 150℃ 내지 약 400℃ 범위의 저온에서 플라즈마를 사용한다. 수증기(H2O) 대 수소(H2)의 분압비는 바람직하게는 약 1×10-11 내지 약 1.55의 범위 내의 값으로 제어된다.
도 6a 내지 도 6d는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다. 도 6d는 S/D 구조(121) 주위의 예시적인 투시도를 보여준다.
후속하여, 제2 희생층(140)이 제거되고, 그에 따라 제1 절연층(122)으로 덮이는 S/D 구조(120, 121)를 노출시키기 위한 컨택 개구부(148, 149)가 형성된다. 제2 희생층(140)을 제거하기 위한 에칭 단계는 등방성 또는 비등방성일 수 있다. 또한, 제1 절연층(122)이 제거되고, 그에 따라 S/D 구조(120, 121)가 노출된다.
제2 희생층(140)으로서 Si계 재료(예컨대, 폴리 Si 또는 비정질 Si)가 사용될 경우에, 에칭은 Cl2 및 NF3을 포함하는 가스 또는 F2를 포함하는 가스를 사용한 플라즈마 건식 에칭, 또는 NH4OH 및/또는 테트라메틸암모늄(TMAH)을 사용한 습식 에칭에 의해 수행될 수 있다. 제2 희생층(140)으로서 SOC(스핀-온-탄소)가 사용될 경우에, 에칭은 예컨대 N2 및 H2를 포함하는 가스 또는 SO2 및 O2를 포함하는 가스를 사용한 플라즈마 건식 에칭에 의해 수행될 수 있다. FCVD에 의해 형성된 Si 산화물계 재료가 제2 희생층으로서 사용될 경우, 에칭은 예컨대 HF 또는 BHF(buffered HF)를 사용한 습식 에칭에 의해 수행될 수 있다.
제2 희생층(140)으로서 Ge계 재료(예컨대, Ge 또는 SiGe)가 사용될 경우에, 에칭은 예컨대 오존을 사용한 플라즈마 건식 에칭 또는 NH4OH과 H2O2을 함유하는 용액이나 HCl과 H2O2을 함유하는 용액을 사용한 습식 에칭에 의해 수행될 수 있다.
잔여 제1 절연층(122)은 적절한 에칭 단계를 이용하여 제거될 수 있다. 일부 실시형태에 있어서, 제1 절연층(122)의 에칭 시에, 제2 절연층(146)이 제1 절연층(122)과 동일하거나 유사한 재료로 구성되는 경우에, 제2 절연층(146)도 에칭된다. 소정의 실시형태에 있어서, 제2 절연층(146)은 컨택 개구부(148, 149)의 벽으로부터 완전히 제거된다. 그러나, 이러한 경우에, 제2 절연층(146)은 일부 실시형태에서 ILD층(145)의 바닥부에 남게 된다.
제2 희생층(140)에 대한 에칭율이 다른 재료보다 높기 때문에, 다른 층, 예컨대 게이트 캡층(132), 측벽 스페이서(134), 제1 절연층(122), ILD층(145) 및/또는 제2 절연층(146)의 손상 없이 제2 희생층(140)을 제거하는 것이 가능하다.
일부 실시형태에 있어서, 개구부(148, 149)의 Y 방향을 따른 폭(WCH)은 약 10 nm 내지 약 100 nm의 범위 내에 있다. 다른 실시형태에서는, 폭(WCH)이 약 15 nm 내지 약 50 nm의 범위 내에 있다.
도 7a 내지 도 7c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
제2 희생층이 제거되고 S/D 구조(120, 121) 상에 형성된 제1 절연층(122)이 제거된 후에, 컨택 개구부(148, 149)에 전도성 재료가 충전됨으로써, S/D 컨택(150)을 형성한다.
일부 실시형태에서는, 노출된 S/D 구조(120, 121) 상에 실리사이드층(127)이 형성된다. 금속 실리사이드 형성 공정은 S/D 구조의 측면부 상에 금속 실리사이드를 형성할 수 있다. 금속 실리사이드 형성 공정은 S/D 구조 상의 금속막 적층, S/D 구조의 계면 또는 표면에 금속 실리사이드를 형성하기 위한 열처리, 및 미반응 잉여 금속을 제거하기 위한 에칭 공정을 포함할 수 있다. 금속 실리사이드는 TiSix, NiSix, CoSix, NiCoSix, 및 TaSix를 포함하지만, 다른 적절한 실리사이드 재료가 사용될 수도 있다. 일부 실시형태에 있어서, 실리사이드층은 약 0.5 nm와 약 10 nm 사이의 두께를 갖는다. 다른 실시형태에서는, 실리사이드층이 제조 작업의 이 스테이지에서는 형성되지 않고, 이전 제조 스테이지에서, 예컨대 제1 절연층(122)의 형성 전에, 형성될 수도 있다. 일부 실시형태에서는, 제2 절연층(146)과 격리 절연층(104) 상에 또한 금속막이 형성된다. 일부 실시형태에서는, S/D 에피택셜층 상에 형성되지 않은 금속막과, 실리사이드층을 형성하는데 쓰이지 않은 금속막이 적절한 에칭 단계에 의해 제거된다. 다른 실시형태에는, 금속막이 제거되지 않고 남겨진다.
S/D 컨택(150)은 단일층 또는 다층 구조를 포함할 수 있다. 예를 들어, 일부 실시형태에 있어서, 컨택(150)은 확산 장벽층, 접착층 등의 컨택 라이너층, 및 컨택 개구부(148, 149) 내의 컨택 라이너층 위에 형성된 컨택 본체부를 포함한다. 컨택 라이너층은 ALD, CVD 등에 의해 형성된 Ti, TiN, Ta, TaN 등을 포함할 수 있다. 컨택 본체부는 Ni, Ta, TaN, W, Co, Ti, TiN, Al, Cu, Au, 이들의 합금, 이들의 조합 등으로 된 하나 이상의 층과 같은 전도성 재료를 적층하여 형성될 수도 있지만, 다른 적절한 금속이 사용될 수도 있다. ILD층(145)의 표면으로부터 잉여 재료를 제거하기 위해 CMP 등의 평탄화 공정이 수행될 수도 있다.
S/D 컨택(150)이 형성된 후에, 일부 실시형태에 있어서, 핀 구조(104)의 정상부에서 측정되는, 게이트 캡층(132)을 포함한 게이트 구조의 높이(Hg)(도 20a 참조)는 약 20 nm 내지 약 100 nm의 범위 내에 있고, 핀 구조(104)의 정상부에서 측정되는 금속 게이트(130)의 높이(Hmg)(도 20a 참조)는 약 10 nm 내지 60 nm의 범위 내에 있다.
컨택(150)을 형성한 후에, 추가 CMOS 공정이 추가 층간 유전체층, 컨택/비아, 상호접속 금속층, 및 패시베이션층 등의 다양한 피처를 형성하는데 이용된다.
도 8a 내지 도 16c는 본 개시내용의 다른 실시형태에 따른 반도체 디바이스 제조 공정에서의 다양한 공정을 도시한다.
다양한 도면 및 예시적인 실시형태 전체에 있어서, 같은 참조 번호는 같은 요소를 지정하는데 이용된다. 도 8a 내지 도 16c에서, "a"가 붙은 도면(예, 도 8a, 도 9a 등)은 투시도를 나타내며, "b"가 붙은 도면(예, 도 8b, 도 9b 등)은 도 8a와 도 9a에 표시한 선 Y1-Y1에 대응하는 Y 방향을 따른 단면도를 나타내고, "c"가 붙은 도면(예, 도 8c, 도 9c 등)은 도 8a와 도 9a에 표시한 선 X1-X1에 대응하는 X 방향을 따른 단면도를 나타낸다. 도 8a 내지 도 16c에 나타내는 공정의 이전, 동안, 이후에 추가 단계들이 제공될 수 있으며, 후술하는 단계들의 일부는 방법의 추가 실시형태를 위해 대체 또는 삭제될 수 있는 것은 물론이다. 단계/공정의 순서는 교체될 수도 있다. 도 1a 내지 도 7c에 대해 설명한 이상의 실시형태와 동일하거나 유사한 재료, 구성, 치수 및/또는 공정이 이하의 실시형태에서 채택될 수 있으며, 이에 대한 상세한 설명은 생략될 수 있다.
도 8a 내지 도 8c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
도 8a 내지 도 8c에 도시하는 구조는, 게이트 구조가 형성되지 않았고 더미 게이트 전극(230), 더미 게이트 유전체층(231) 및 게이트 마스크층(232)이 게이트 전극(130), 게이트 유전체층(131) 및 게이트 캡층(132) 대신에 기판 상에 배치되는 점을 제외하면, 도 2a 내지 도 2c에 도시한 구조와 실질적으로 유사하다. 더미 게이트 구조를 제조하는 단계들은 앞에서 설명하였다.
도 9a 내지 도 9c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
도 3a 내지 도 3c와 마찬가지로, 제2 희생층(140)이 개구부(116) 안에 형성된다.
도 10a 내지 도 10c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
후속하여, 제2 희생층(140)이 Z 방향으로 더미 게이트 전극(122)의 중간부의 높이만큼 부분적으로 리세싱되어 개구부(164)를 형성한다. 제2 희생층(140)은 에치백 공정 및/또는 습식 에칭에 의해 리세싱될 수 있다. 일부 실시형태에 있어서, 리세싱된 제2 희생층(140)의 잔여 두께(HSC)는 약 40 nm 내지 약 200 nm의 범위 내에 있다.
도 11a 내지 도 11c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
개구부(164)가 절연 재료로 충전됨에 따라 마스크층(220)을 형성한다. 일부 실시형태에서는, 마스크층(220)이 SiOC, SiC, SiON, SiCN, SiOCN, SiN 및/또는 SiO2로 된 하나 이상의 층으로 구성된다. 일 실시형태에서는, SiN이 사용된다. 마스크층(220)은 PVD, CVD 또는 ALD 등의 하나 이상의 공정을 통해 적층될 수 있지만, 임의의 조건에 맞는 공정이 이용될 수도 있다. 다른 재료 및/또는 공정이 사용될 수도 있다. 게이트 마스크층(232) 및 마스크층의 상위면을 평탄화하기 위해 에치백 공정 또는 CMP 등의 평탄화 단계가 수행될 수 있다. 평탄화 단계에 의해, 더미 게이트 전극층(230)의 상위면이 노출된다.
도 12a 내지 도 12c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
이어서, 더미 게이트 전극(230) 및 더미 게이트 유전체층(231)이 제거됨에 따라, 개구부(235)를 형성한다. 제거 단계는 도 1a 내지 도 1c와 관련하여 앞에서 설명하였다.
도 13a 내지 도 13c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
더미 게이트 구조가 제거된 후에, 게이트 유전체층(131)이 핀 구조(104)의 채널 영역 위에 형성되고, 게이트 전극(130)을 위한 전도성층이 게이트 유전체층(131) 상에 형성된다. 게이트 형성 단계는 도 1a 내지 도 1c와 관련하여 앞에서 설명하였다.
게이트 전극(130)은 ALD, CVD, PVD, 도금, 또는 이들의 조합 등의 적절한 공정을 이용해서 형성될 수 있다. 잉여 재료를 제거하기 위해 CMP 등의 평탄화 공정이 수행될 수도 있다. 평탄화 단계 후에, 마스크층(220)은 제거된다.
도 14a 내지 도 14c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
이어서, 게이트 전극층이 제거되고, 그에 따라 게이트 전극(130)과 게이트 캡 개구부(237)를 형성한다.
도 15a 내지 도 15c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
이어서, 게이트 캡 개구부(237) 내에 그리고 마스크층(220) 위에 절연층(132)이 형성된다. 일부 실시형태에 있어서, 게이트 캡층(132)을 위한 절연층은 SiO2, SiCN, SiON, SiN, Al2O3, La2O3, 이들의 조합 등을 포함하지만, 다른 적절한 유전체막이 사용될 수도 있다. 게이트 캡층(132)을 위한 절연층은 예컨대 CVD, PVD, 스핀온 등을 사용하여 형성될 수도 있다. 다른 적절한 공정 단계들이 사용될 수도 있다.
도 16a 내지 도 16c는 본 개시내용의 일부 실시형태에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 도면을 보여준다.
이어서, 잉여 절연 재료와 마스크층(220)을 제거하기 위해 CMP 등의 평탄화 공정이 수행됨에 따라, 게이트 캡층(132)을 형성한다.
도 16a 내지 도 16c의 구조는 도 3a 내지 도 3c의 구조와 실질적으로 동일하다. 이어서, 도 4a 내지 도 7c에서 설명한 바와 동일한 단계가 수행된다.
도 17a와 도 17b는 도 7a의 선 X2-X2에 대응하고 도 7b의 구역 A1에 대응하는 예시적인 단면도를 보여준다.
도 17a에 도시하는 바와 같이, 본 개시내용의 일부 실시형태에 있어서, 제2 절연층(146)은 전도성 재료로 이루어진 컨택(150)과 ILD(145) 사이에 개재된다. 또한, 제1 절연층(122)은 일부 실시형태에서 제2 절연층(146)과 격리 절연층(105) 사이에 개재된다. 전도성 재료(150)는 제2 절연층(146)의 바닥부와 격리 절연층(105)의 표면 사이의 공간을 부분 관통한다. 일부 실시형태에 있어서, 제1 절연층, 제2 절연층, 및 격리 절연층은 서로 상이한 유전체 재료로 구성된다.
또한, 컨택(150)의 전도성 재료는 일부 실시형태에서, 다른 유전체층의 개재 없이, 격리 절연층(105) 상에 직접 배치된다.
도 17b에 도시하는 바와 같이, 본 개시내용의 일부 실시형태에 있어서, 제2 희생층(140)(예컨대, 비정질 Si 또는 폴리 Si)의 잔여 부분(141)이 제2 절연층(146)의 바닥부에 그리고/또는 제2 절연층(146)과 제1 절연층(122) 사이에 남는다.
도 18은 본 개시내용의 일부 실시형태에 따른 반도체 디바이스의 예시적인 단면도를 도시한다.
제2 희생층 에칭의 에칭 특성으로 인해, 일부 실시형태에 있어서, 개구부(144)는 하부 폭보다 상부 폭이 큰 테이퍼 형상을 갖는다. 따라서, 개구부(148, 149)는 하부 폭보다 상부 폭이 작은 역 테이퍼 형상을 갖기 때문에, S/D 컨택(150)도 도 18에 도시한 바와 같이, 역 테이퍼 형상을 갖는다. 정상부 근처에서 컨택(150)의 측면과 ILD층(145)의 상부면에 의해 형성되는 각도 θ는 90도보다 작다. 일부 실시형태에서는 60도 < θ < 85도이다.
도 19a와 도 19b는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스의 예시적인 단면도를 도시한다. 도 19a는 도 7a의 선 X2-X2(핀 구조 사이)에 대응하고 도 19b는 도 19a의 구역 A3의 확대도이다.
도 19a와 도 19b에 도시하는 바와 같이, 제1 절연층(122)과 제2 절연층(146)은 게이트 구조의 측벽 스페이서(134)와 ILD층(145) 사이에 개재된다.
도 20a와 도 20b는 본 개시내용의 일부 실시형태에 따른 반도체 디바이스의 예시적인 단면도를 도시한다. 도 20a와 도 20b는 도 7c의 구역 A2에 대응한다.
도 20a와 도 20b는 X 방향을 따르는 컨택(150)의 다양한 단면 형상을 보여준다. 일부 실시형태에 있어서, 컨택(150)은 실질적으로 사각 형상을 갖는데, 측벽 스페이서(134)가 에칭되지 않아 그 측면이 손상되지 않고 유지되기 때문이다. 일부 실시형태에 있어서, S/D 에피택셀층(120)의 상위부는 도 20a에 도시하는 바와 같이 리세싱된 부분을 갖는다. 다른 실시형태에서는, S/D 에피택셀층(120)의 상위부가 오목한 형상, 돌출된 형상 또는 편평한 형상을 갖는다.
일부 실시형태에 있어서, 폭(W1)은 (S/D 에피택셜층(120)의 리세싱된 부분을 제외하면) 실질적으로 일정하고, 약 10 nm 내지 약 40 nm의 범위 내에 있으며, 높이(T1)는 약 20 nm 내지 약 100 nm의 범위 내에 있다. 일부 실시형태에서는, 컨택(150)의 단면 형상이 테이퍼형이며, 즉 사다리꼴이다.
소정의 실시형태에 있어서, 측벽 스페이서(134)의 상위부는 개구부(144) 및/또는 개구부(148, 149)의 형성 중에 손상(에칭)된다. 따라서, 컨택(150)은 하부 영역과 상부 영역의 2개의 영역을 갖는다. 컨택(150)의 상부 영역은 X 방향을 따라 본체 영역보다 넓은 상부를 갖는 깔때기 형상(funnel shape)을 갖는다. 하부 영역의 폭(W2)은 그 높이(T2)에 걸쳐 (S/D 에피택셜층(120)의 리세싱된 부분을 제외하면) 실질적으로 일정하다. 일부 실시형태에서는, 폭(W2)이 약 10 nm 내지 약 40 nm의 범위 내에 있고, 높이(T2)가 약 20 nm 내지 약 100 nm의 범위 내에 있다. 일부 실시형태에서는, T2 > T3이다. 소정의 실시형태에서는, T2 > 2 × T3이다.
상부 영역의 폭은 높이(T3)가 상승함에 따라 변한다(즉, 상승한다). 일부 실시형태에 있어서, 컨택(150)의 정상부에서의 폭(W3)은 폭(W2)보다 크며, 약 10 nm 내지 약 46 nm의 범위 내에 있다. 일부 실시형태에서는, T3이 약 7 nm 미만이다. 소정의 실시형태에서는, W3 > W2이고, W3 - W2가 약 6 nm 미만이다.
본 명세서에서는 전체 효과를 반드시 논의한 것은 아니고, 특정 효과가 모든 실시형태 또는 실시예에 필요하지 않으며, 다른 실시형태 또는 실시예는 상이한 효과를 제공할 수 있는 것은 물론이다.
예를 들어, 본 개시내용에서는, 절연층(예컨대, 실리콘 산화물계 재료, 실리콘 질화물계 재료)에 대해 높은 에칭 선택비를 가진 재료(예, Si)를 제2 희생층으로서 사용하기 때문에, S/D 구조 및 S/D 컨택 구조의 사이즈를 더욱 정밀하게 제어하는 것이 가능하다. 이러한 제조 방법으로, 무공막(void-free film)을 형성하기 위해 재료가 측벽 스페이서 사이의 공간을 용이하게 충전할 수 있다. 또한, 측벽 스페이서 사이의 전체 공간이 S/D 컨택에 완전히 사용될 수 있고, 컨택 영역이 덜 손상된다. S/D 컨택의 면적이 더 넓어지기 때문에, 실리콘 산화물 및/또는 질화물에 대해 높은 선택적 에칭에 의해 컨택 면적을 얻도록 랩어라운드(wrap-around) 컨택을 형성하는 것이 가능하다. 전술한 구조 및 방법에 의해, S/D 에피택셜층이 손상되는 것을 피하고 랩어라운드 컨택 구조를 형성하는 것이 가능하다. 또한, 제2 절연층(라이너층)이 ILD와 컨택 금속 사이에 그리고 금속 게이트와 ILD 사이에 배치되어, ILD로부터 컨택 영역 및/또는 S/D 에피택셜층으로의 산소 및/또는 수분 침투를 막을 수 있다.
본 개시내용의 양태에 따르면, FinFET(fin field effect transistor)를 포함한 반도체 디바이스를 형성하는 방법에 있어서, 제1 희생층이 FinFET 구조의 소스/드레인 구조 및 격리 절연층 위에 형성된다. 제1 희생층이 패터닝됨에 따라, 개구부를 형성한다. 제1 라이너층이 개구부의 바닥부에서 격리 절연층 상에 그리고 패터닝된 제1 희생층의 적어도 측면 상에 형성된다. 제1 라이너층이 형성된 후에, 개구부 안에 유전체층이 형성된다. 유전체층이 형성된 후에, 패터닝된 제1 희생층이 제거되고, 그럼으로써 소스/드레인 구조 위에 컨택 개구부를 형성한다. 전도성층이 컨택 개구부 안에 형성된다.
본 개시내용의 다른 양태에 따르면, FinFET(fin field effect transistor)를 포함한 반도체 디바이스를 형성하는 방법에 있어서, 제1 희생층이 제1 FinFET 구조의 제1 소스/드레인 구조, 제2 FinFET 구조의 제2 소스/드레인 구조, 및 격리 절연층 위에 형성된다. 제1 소스/드레인 구조는 제2 소스/드레인 구조에 인접해 배치된다. 제1 및 제2 FinFET 구조는 게이트 구조와, 게이트 구조의 대향하는 측면 상에 형성된 측벽 스페이서를 포함한다. 제1 희생층이 패터닝됨에 따라, 제1 소스/드레인 구조와 제2 소스/드레인 구조 사이에 개구부를 형성한다. 유전체층이 개구부 안에 형성된다. 유전체층이 형성된 후에, 패터닝된 제1 희생층이 제거되고, 그럼으로써 제1 소스/드레인 구조 위에 제1 컨택 개구부를 그리고 제2 소스/드레인 구조 위에 제2 컨택 개구부를 형성한다. 제1 전도성층이 제1 컨택 개구부 안에 형성되고, 제2 전도성층이 제2 컨택 개구부 안에 형성된다. 제1 희생층은 격리 절연층, 유전체층, 및 측벽 스페이서와는 상이한 재료로 제조된다.
본 개시내용의 다른 양태에 따르면, FinFET(fin field effect transistor)를 포함한 반도체 디바이스는 제1 및 제2 FinFET과 유전체층을 포함한다. 제1 FinFET은 제1 방향으로 연장되는 제1 핀 구조, 제1 소스/드레인 구조, 및 제1 소스/드레인 구조와 접촉하는 제1 소스/드레인 컨택을 포함한다. 제2 FinFET은 제1 FinFET에 인접해 배치되고, 제1 방향으로 연장되는 제2 핀 구조, 제2 소스/드레인 구조, 및 제2 소스/드레인 구조와 접촉하는 제2 소스/드레인 컨택을 포함한다. 유전체층은 제1 소스/드레인 구조와 제2 소스/드레인 구조를 분리시킨다. 유전체층과는 상이한 유전체 재료로 제조된 라이너층이 유전체층과 제1 소스/드레인 컨택 사이에 배치된다.
이상은 당업자가 본 개시내용의 양태를 더 잘 이해할 수 있도록 여러 실시형태 또는 실시예의 특징을 개관한 것이다. 당업자라면 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들 또는 실시예들의 동일한 효과를 달성하기 위한 기본으로서 본 개시내용을 용이하게 이용할 수 있다고 생각할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시내용의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시내용의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.
<부기>
1. FinFET(fin field effect transistor)을 포함한 반도체 디바이스를 제조하는 방법에 있어서,
FinFET 구조의 소스/드레인 구조 및 격리 절연층 위에 제1 희생층을 형성하는 단계와,
상기 제1 희생층을 패터닝함으로써, 개구부를 형성하는 단계와,
상기 개구부의 바닥부 내의 상기 격리 절연층 상에 그리고 패터닝된 상기 제1 희생층의 적어도 측면 상에 제1 라이너층을 형성하는 단계와,
상기 제1 라이너층이 형성된 후에, 상기 개구부에 유전체층을 형성하는 단계와,
상기 유전체층이 형성된 후에, 상기 패터닝된 제1 희생층을 제거함으로써, 상기 소스/드레인 구조 위에 컨택 개구부를 형성하는 단계와,
상기 컨택 개구부에 전도성층을 형성하는 단계를 포함하는 FinFET을 포함한 반도체 디바이스의 제조 방법.
2. 제1항에 있어서, 상기 제1 라이너층은 실리콘 질화물계 유전체 재료의 하나 이상의 층으로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
3. 제1항에 있어서, 상기 제1 희생층은 IV족 원소 또는 화합물 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
4. 제1항에 있어서, 상기 제1 희생층은 Si1 - xGex이고, 여기서 0 ≤x≤ 0.4인 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
5. 제1항에 있어서,
상기 제1 희생층이 형성되기 전에, 상기 소스/드레인 구조 및 상기 격리 절연층 위에 제2 라이너층이 형성되고,
상기 제1 희생층이 제거된 후에, 상기 소스/드레인 구조의 상위부를 덮는 상기 제2 라이너층이 제거되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
6. 제1항에 있어서, 상기 제1 희생층을 형성하기 전에,
상기 소스/드레인 구조 및 상기 격리 절연층 위에 제2 희생층을 형성하는 단계와,
상기 제2 희생층을 적어도 부분적으로 제거함으로써, 상기 소스/드레인 구조 위에 개구부를 형성하는 단계를 더 포함하는 FinFET을 포함한 반도체 디바이스의 제조 방법.
7. 제6항에 있어서, 상기 제2 희생층은 상기 제1 희생층과는 상이한 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
8. 제6항에 있어서, 상기 제2 희생층은 유전제 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
9. 제1항에 있어서, 상기 제1 희생층이 형성되기 전에, 상기 FinFET의 금속 게이트 구조를 형성하는 단계를 더 포함하는 FinFET을 포함한 반도체 디바이스의 제조 방법.
10. 제1항에 있어서, 상기 제1 희생층이 형성된 후에, 상기 FinFET의 금속 게이트 구조를 형성하는 단계를 더 포함하는 FinFET을 포함한 반도체 디바이스의 제조 방법.
11. FinFET(fin field effect transistor)을 포함한 반도체 디바이스를 제조하는 방법에 있어서,
제1 FinFET 구조의 제1 소스/드레인 구조, 제2 FinFET 구조의 제2 소스/드레인 구조, 및 격리 절연층 위에 제1 희생층을 형성하는 단계로서, 상기 제1 소스/드레인 구조는 상기 제2 소스/드레인 구조에 인접해 배치되고, 상기 제1 및 제2 FinFET 구조는 게이트 구조와, 상기 게이트 구조의 대향하는 측면 상에 형성된 측벽 스페이서를 포함하는 것인, 상기 제1 희생층을 형성하는 단계와,
상기 제1 희생층을 패터닝함으로써, 상기 제1 소스/드레인 구조와 상기 제2 소스/드레인 구조 사이에 개구부를 형성하는 단계와,
상기 개구부에 유전체층을 형성하는 단계와,
상기 유전체층이 형성된 후에, 패터닝된 상기 제1 희생층을 제거함으로써, 상기 제1 소스/드레인 구조 위에 제1 컨택 개구부를 그리고 상기 제2 소스/드레인 구조 위에 제2 컨택 개구부를 형성하는 단계와,
상기 제1 컨택 개구부에 제1 전도성층을 그리고 상기 제2 컨택 개구부에 제2 전도성층을 형성하는 단계를 포함하고,
상기 제1 희생층은 상기 격리 절연층, 상기 유전체층, 및 상기 측벽 스페이서와는 상이한 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
12. 제11항에 있어서, 상기 제1 희생층은 IV족 원소 또는 화합물 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
13. 제11항에 있어서, 상기 제1 희생층은 비정질 Si 또는 폴리 Si로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
14. 제11항에 있어서, 상기 유전체층을 형성하기 전에, 상기 개구부의 바닥부 내의 상기 격리 절연층 상에 그리고 상기 패터닝된 제1 희생층의 적어도 측면 상에 제1 라이너층을 형성하는 단계를 더 포함하는 FinFET을 포함한 반도체 디바이스의 제조 방법.
15. 제14항에 있어서, 상기 제1 라이너층은 상기 격리 절연층, 상기 유전체층, 및 상기 측벽 스페이서와는 상이한 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
16. 제15항에 있어서, 상기 제1 라이너층은 실리콘 질화물계 유전체 재료의 하나 이상의 층으로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
17. 제11항에 있어서, 상기 제1 전도성층과 상기 제2 전도성층은 상기 격리 절연층 상에 직접 형성되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
18. 제11항에 있어서,
상기 제1 희생층이 형성되기 전에, 상기 제1 및 제2 소스/드레인 구조와 상기 격리 절연층 위에 제2 라이너층이 형성되고,
상기 제1 희생층이 제거된 후에, 상기 소스/드레인 구조의 상위부를 덮는 상기 제2 라이너층은 제거되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
19. 제18항에 있어서, 상기 유전체층을 형성하기 전에, 상기 제2 라이너층은 상기 개구부의 바닥부에 남아 있는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
20. FinFET(fin field effect transistor)을 포함한 반도체 디바이스에 있어서,
제1 방향으로 연장되는 제1 핀 구조, 제1 소스/드레인 구조, 및 상기 제1 소스/드레인 구조와 접촉하는 제1 소스/드레인 컨택을 포함하는 제1 FinFET과,
상기 제1 FinFET에 인접해 배치되고, 상기 제1 방향으로 연장되는 제2 핀 구조, 제2 소스/드레인 구조, 및 상기 제2 소스/드레인 구조와 접촉하는 제2 소스/드레인 컨택을 포함하는 제2 FinFET과,
상기 제1 소스/드레인 구조와 상기 제2 소스/드레인 구조를 분리시키는 유전체층과,
상기 유전체층과는 상이한 유전체 재료로 제조되며, 상기 유전체층과 상기 제1 소스/드레인 컨택 사이에 배치되는 라이너층을 포함하는 FinFET을 포함한 반도체 디바이스.

Claims (10)

  1. FinFET(fin field effect transistor)을 포함한 반도체 디바이스를 제조하는 방법에 있어서,
    FinFET 구조의 소스/드레인 구조 및 격리 절연층 위에 제1 희생층을 형성하는 단계와,
    상기 제1 희생층을 패터닝함으로써, 개구부를 형성하는 단계와,
    상기 개구부의 바닥부 내의 상기 격리 절연층 상에 그리고 패터닝된 상기 제1 희생층의 적어도 측면 상에 제1 라이너층을 형성하는 단계와,
    상기 제1 라이너층이 형성된 후에, 상기 개구부에 유전체층을 형성하는 단계와,
    상기 유전체층이 형성된 후에, 상기 패터닝된 제1 희생층을 제거함으로써, 상기 소스/드레인 구조 위에 컨택 개구부를 형성하는 단계와,
    상기 컨택 개구부에 전도성층을 형성하는 단계
    를 포함하는 FinFET을 포함한 반도체 디바이스의 제조 방법.
  2. 제1항에 있어서, 상기 제1 라이너층은 실리콘 질화물계 유전체 재료의 하나 이상의 층으로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
  3. 제1항에 있어서, 상기 제1 희생층은 IV족 원소 또는 화합물 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
  4. 제1항에 있어서, 상기 제1 희생층은 Si1 - xGex이고, 여기서 0 ≤x≤ 0.4인 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
  5. 제1항에 있어서,
    상기 제1 희생층이 형성되기 전에, 상기 소스/드레인 구조 및 상기 격리 절연층 위에 제2 라이너층이 형성되고,
    상기 제1 희생층이 제거된 후에, 상기 소스/드레인 구조의 상위부를 덮는 상기 제2 라이너층이 제거되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
  6. 제1항에 있어서, 상기 제1 희생층을 형성하기 전에,
    상기 소스/드레인 구조 및 상기 격리 절연층 위에 제2 희생층을 형성하는 단계와,
    상기 제2 희생층을 적어도 부분적으로 제거함으로써, 상기 소스/드레인 구조 위에 개구부를 형성하는 단계
    를 더 포함하는 FinFET을 포함한 반도체 디바이스의 제조 방법.
  7. 제6항에 있어서, 상기 제2 희생층은 상기 제1 희생층과는 상이한 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
  8. 제6항에 있어서, 상기 제2 희생층은 유전제 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
  9. FinFET(fin field effect transistor)을 포함한 반도체 디바이스를 제조하는 방법에 있어서,
    제1 FinFET 구조의 제1 소스/드레인 구조, 제2 FinFET 구조의 제2 소스/드레인 구조, 및 격리 절연층 위에 제1 희생층을 형성하는 단계로서, 상기 제1 소스/드레인 구조는 상기 제2 소스/드레인 구조에 인접해 배치되고, 상기 제1 및 제2 FinFET 구조는 게이트 구조와, 상기 게이트 구조의 대향하는 측면 상에 형성된 측벽 스페이서를 포함하는 것인, 상기 제1 희생층을 형성하는 단계와,
    상기 제1 희생층을 패터닝함으로써, 상기 제1 소스/드레인 구조와 상기 제2 소스/드레인 구조 사이에 개구부를 형성하는 단계와,
    상기 개구부에 유전체층을 형성하는 단계와,
    상기 유전체층이 형성된 후에, 패터닝된 상기 제1 희생층을 제거함으로써, 상기 제1 소스/드레인 구조 위에 제1 컨택 개구부를 그리고 상기 제2 소스/드레인 구조 위에 제2 컨택 개구부를 형성하는 단계와,
    상기 제1 컨택 개구부에 제1 전도성층을 그리고 상기 제2 컨택 개구부에 제2 전도성층을 형성하는 단계
    를 포함하고,
    상기 제1 희생층은 상기 격리 절연층, 상기 유전체층, 및 상기 측벽 스페이서와는 상이한 재료로 제조되는 것인 FinFET을 포함한 반도체 디바이스의 제조 방법.
  10. FinFET(fin field effect transistor)을 포함한 반도체 디바이스에 있어서,
    제1 방향으로 연장되는 제1 핀 구조, 제1 소스/드레인 구조, 및 상기 제1 소스/드레인 구조와 접촉하는 제1 소스/드레인 컨택을 포함하는 제1 FinFET과,
    상기 제1 FinFET에 인접해 배치되고, 상기 제1 방향으로 연장되는 제2 핀 구조, 제2 소스/드레인 구조, 및 상기 제2 소스/드레인 구조와 접촉하는 제2 소스/드레인 컨택을 포함하는 제2 FinFET과,
    상기 제1 소스/드레인 구조와 상기 제2 소스/드레인 구조를 분리시키는 유전체층과,
    상기 유전체층과는 상이한 유전체 재료로 제조되며, 상기 유전체층과 상기 제1 소스/드레인 컨택 사이에 배치되는 라이너층
    을 포함하는 FinFET을 포함한 반도체 디바이스.
KR1020170105983A 2016-12-14 2017-08-22 반도체 디바이스 및 그 제조 방법 KR101978046B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434135P 2016-12-14 2016-12-14
US62/434,135 2016-12-14
US15/620,063 2017-06-12
US15/620,063 US10037912B2 (en) 2016-12-14 2017-06-12 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
KR20180068844A true KR20180068844A (ko) 2018-06-22
KR101978046B1 KR101978046B1 (ko) 2019-05-13

Family

ID=62490318

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170105983A KR101978046B1 (ko) 2016-12-14 2017-08-22 반도체 디바이스 및 그 제조 방법

Country Status (5)

Country Link
US (5) US10037912B2 (ko)
KR (1) KR101978046B1 (ko)
CN (1) CN108231888B (ko)
DE (1) DE102017114973B4 (ko)
TW (1) TWI655679B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111211089A (zh) * 2018-11-21 2020-05-29 台湾积体电路制造股份有限公司 集成电路结构及其制造方法
KR20200060679A (ko) * 2018-11-21 2020-06-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 탄소 및 질소 도핑된 막으로의 갭 충전
KR20200124625A (ko) * 2019-04-23 2020-11-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 그 제조 방법
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US11233140B2 (en) 2019-04-23 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11393713B2 (en) 2019-04-23 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method therefore
US11557650B2 (en) 2019-04-23 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3238264A4 (en) * 2014-12-23 2018-08-22 Intel Corporation Apparatus and methods of forming fin structures with sidewall liner
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US10943834B2 (en) * 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276767B2 (en) * 2017-03-15 2022-03-15 International Business Machines Corporation Additive core subtractive liner for metal cut etch processes
CN109300845A (zh) * 2017-07-25 2019-02-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
EP3718142A4 (en) * 2017-11-30 2021-09-22 Intel Corporation STRUCTURING RIBS FOR THE PRODUCTION OF AN INTEGRATED CIRCUIT
US10510874B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10797151B2 (en) * 2018-09-27 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures for field effect transistors
KR102595606B1 (ko) 2018-11-02 2023-10-31 삼성전자주식회사 반도체 장치
US10943819B2 (en) * 2018-12-20 2021-03-09 Nanya Technology Corporation Semiconductor structure having a plurality of capped protrusions
US11101365B2 (en) 2019-01-31 2021-08-24 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device and semiconductor device fabricated by the same
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11289330B2 (en) * 2019-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator (SOI) substrate and method for forming
US11355399B2 (en) 2020-05-19 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gap patterning for metal-to-source/drain plugs in a semiconductor device
US11557510B2 (en) * 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
EP3989273A1 (en) * 2020-10-20 2022-04-27 Imec VZW A method for forming a semiconductor device and a semiconductor device
US20220238697A1 (en) * 2021-01-28 2022-07-28 Taiwan Semiconductor Manfacturing Co., Ltd. Reducing K Values of Dielectric Films Through Anneal
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures
US20230317788A1 (en) * 2022-03-31 2023-10-05 Intel Corporation Integrated circuit structures with full-wrap contact structure
WO2023225155A1 (en) * 2022-05-20 2023-11-23 Tokyo Electron Limited Sequential complimentary fet incorporating backside power distribution network through wafer bonding prior to formation of active devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140264632A1 (en) * 2013-03-18 2014-09-18 Globalfoundries Inc. Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
US20150021710A1 (en) * 2013-07-19 2015-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Forming STI Regions in Integrated Circuits

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004214413A (ja) * 2002-12-27 2004-07-29 Toshiba Corp 半導体装置
US7544980B2 (en) * 2006-01-27 2009-06-09 Freescale Semiconductor, Inc. Split gate memory cell in a FinFET
JP2009054683A (ja) 2007-08-24 2009-03-12 Panasonic Corp 半導体装置およびその製造方法
KR100935771B1 (ko) 2007-11-28 2010-01-06 주식회사 동부하이텍 이미지 센서 및 그 제조방법
US7969776B2 (en) * 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
DE102010029527B4 (de) * 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8735993B2 (en) * 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8629511B2 (en) * 2012-05-15 2014-01-14 International Business Machines Corporation Mask free protection of work function material portions in wide replacement gate electrodes
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP2015015388A (ja) * 2013-07-05 2015-01-22 ソニー株式会社 半導体装置
US9583351B2 (en) * 2013-11-19 2017-02-28 Globalfoundries Inc. Inverted contact
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9391171B2 (en) * 2014-01-24 2016-07-12 International Business Machines Corporation Fin field effect transistor including a strained epitaxial semiconductor shell
US9177805B2 (en) * 2014-01-28 2015-11-03 GlobalFoundries, Inc. Integrated circuits with metal-insulator-semiconductor (MIS) contact structures and methods for fabricating same
US9293462B2 (en) * 2014-01-29 2016-03-22 GlobalFoundries, Inc. Integrated circuits with dual silicide contacts and methods for fabricating same
US9627245B2 (en) * 2014-03-05 2017-04-18 Globalfoundries Inc. Methods of forming alternative channel materials on a non-planar semiconductor device and the resulting device
KR102158962B1 (ko) 2014-05-08 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9257558B2 (en) 2014-07-10 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with gate oxide layer
US9362285B2 (en) * 2014-10-02 2016-06-07 International Business Machines Corporation Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US9324820B1 (en) * 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
US9508858B2 (en) * 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
KR102235578B1 (ko) 2014-11-19 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102224386B1 (ko) 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
US9685555B2 (en) * 2014-12-29 2017-06-20 Stmicroelectronics, Inc. High-reliability, low-resistance contacts for nanoscale transistors
US9929242B2 (en) * 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102365305B1 (ko) * 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
EP3171409B1 (en) * 2015-11-18 2020-12-30 IMEC vzw Method for forming a field effect transistor device having an electrical contact
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9553093B1 (en) * 2015-12-11 2017-01-24 International Business Machines Corporation Spacer for dual epi CMOS devices
US9899378B2 (en) * 2015-12-14 2018-02-20 International Business Machines Corporation Simultaneously fabricating a high voltage transistor and a finFET
US10164032B2 (en) * 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10008497B2 (en) 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11276767B2 (en) * 2017-03-15 2022-03-15 International Business Machines Corporation Additive core subtractive liner for metal cut etch processes
US10374040B1 (en) * 2018-06-12 2019-08-06 Globalfoundries Inc. Method to form low resistance contact
US10818543B2 (en) 2018-07-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact spacers and methods of forming same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140264632A1 (en) * 2013-03-18 2014-09-18 Globalfoundries Inc. Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
US20150021710A1 (en) * 2013-07-19 2015-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Forming STI Regions in Integrated Circuits

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111211089A (zh) * 2018-11-21 2020-05-29 台湾积体电路制造股份有限公司 集成电路结构及其制造方法
KR20200060678A (ko) * 2018-11-21 2020-06-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 내산화성을 위한 실리콘 산화물 층 및 그 형성 방법
KR20200060679A (ko) * 2018-11-21 2020-06-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 탄소 및 질소 도핑된 막으로의 갭 충전
KR20210113127A (ko) * 2018-11-21 2021-09-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 내산화성을 위한 실리콘 산화물 층 및 그 형성 방법
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US11393711B2 (en) 2018-11-21 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxide layer for oxidation resistance and method forming same
US11742201B2 (en) 2018-11-21 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd Method of filling gaps with carbon and nitrogen doped film
KR20200124625A (ko) * 2019-04-23 2020-11-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 그 제조 방법
US11233140B2 (en) 2019-04-23 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11393713B2 (en) 2019-04-23 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method therefore
US11557650B2 (en) 2019-04-23 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20200357684A1 (en) 2020-11-12
TW201830496A (zh) 2018-08-16
US20180166327A1 (en) 2018-06-14
CN108231888B (zh) 2020-12-22
US20200035548A1 (en) 2020-01-30
US10867841B2 (en) 2020-12-15
US20200035547A1 (en) 2020-01-30
US20180337088A1 (en) 2018-11-22
DE102017114973A1 (de) 2018-08-16
TWI655679B (zh) 2019-04-01
US10037912B2 (en) 2018-07-31
US10483157B2 (en) 2019-11-19
DE102017114973B4 (de) 2022-11-10
KR101978046B1 (ko) 2019-05-13
US11189522B2 (en) 2021-11-30
US10727110B2 (en) 2020-07-28
CN108231888A (zh) 2018-06-29

Similar Documents

Publication Publication Date Title
KR101978046B1 (ko) 반도체 디바이스 및 그 제조 방법
KR102073395B1 (ko) 분리 병합된 소스/드레인 구조체를 가지는 반도체 디바이스를 제조하는 방법
US11695006B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11728414B2 (en) Semiconductor device including a Fin-FET and method of manufacturing the same
KR102303953B1 (ko) 반도체 디바이스 및 그 제조 방법
US11211492B2 (en) Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant