KR20170096157A - 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층 - Google Patents

진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층 Download PDF

Info

Publication number
KR20170096157A
KR20170096157A KR1020177019755A KR20177019755A KR20170096157A KR 20170096157 A KR20170096157 A KR 20170096157A KR 1020177019755 A KR1020177019755 A KR 1020177019755A KR 20177019755 A KR20177019755 A KR 20177019755A KR 20170096157 A KR20170096157 A KR 20170096157A
Authority
KR
South Korea
Prior art keywords
gas
silicon
reactant gas
rti
aluminum
Prior art date
Application number
KR1020177019755A
Other languages
English (en)
Other versions
KR102514466B1 (ko
Inventor
데네쉬 파디
이홍 첸
켈빈 찬
아브히지트 바수 말릭
알렉산드로스 티. 데모스
무칸드 스리니바산
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170096157A publication Critical patent/KR20170096157A/ko
Application granted granted Critical
Publication of KR102514466B1 publication Critical patent/KR102514466B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본원에서 설명되는 구현들은 일반적으로, 실리콘 및 알루미늄 함유 층의 형성에 관한 것이다. 본원에서 설명되는 방법들은, 프로세스 챔버의 프로세스 구역에 기판을 위치시키는 단계; 프로세스 구역에 프로세스 가스를 전달하는 단계 ― 프로세스 가스는 알루미늄-함유 가스 및 실리콘-함유 가스를 포함함 ―; 질소-함유 가스, 수소 함유 가스, 또는 이들의 조합들을 포함하는 반응물 가스를 활성화하는 단계; 기판 상에 실리콘 및 알루미늄 함유 층을 증착하는 증착 가스를 생성하기 위해, 프로세스 가스에 반응물 가스를 전달하는 단계; 및 프로세스 구역을 퍼징하는 단계를 포함할 수 있다. 위의 엘리먼트들은 에칭 정지 스택을 증착하기 위해 하나 또는 그 초과의 횟수로 수행될 수 있다.

Description

진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층{ULTRA-THIN DIELECTRIC DIFFUSION BARRIER AND ETCH STOP LAYER FOR ADVANCED INTERCONNECT APPLICATIONS}
[0001] 본원에서 설명되는 구현들은 일반적으로, 반도체 제조에 관한 것이다. 더 구체적으로, 본원에서 개시되는 구현들은 실리콘 및 알루미늄 함유 층들에 관한 것이다.
[0002] 반도체 디바이스 기하형상들은, 그러한 디바이스들이 수십년 전에 처음 도입된 이래로, 사이즈가 극적으로 감소되어 왔다. 그 이후에, 집적 회로들은 일반적으로, 2년/절반-사이즈 룰(종종 무어의 법칙(Moore's Law)이라고 호칭됨)을 따라 왔고, 이는 칩 상에 피팅(fit)될 디바이스들의 수가 2년마다 두배가 되는 것을 의미한다. 현재의 제작 플랜트들은 일상적으로, 0.35 μm 및 심지어 0.25 μm 피처(feature) 사이즈들을 갖는 디바이스들을 생성하고 있고, 향후의 플랜트들은 조만간, 한층 더 작은 기하형상들을 갖는 디바이스들을 생성하고 있게 될 것이다.
[0003] 계속되는 디바이스 스케일링으로 인해, 배선 RC 지연이 계속 증대(escalating)되고 있다. 이러한 난제를 처리하기 위해 이루어지고 있는 수개의 노력들 중에서, 그 중 하나는 유전체 확산 배리어 층에 의해 기여되는 캐패시턴스를 낮추는 것이다. 이는 유전 상수를 낮추거나 또는 배리어를 물리적으로 박형화함으로써 달성될 수 있다. 각각의 접근법에 대해 트레이드-오프들이 존재한다.
[0004] 낮은 유전 상수(저 k) 배리어들은 일반적으로, 산화, 수분 침투, 및 Cu 확산에 대해 로버스트(robust) 배리어로서 기능하기 위한 이들의 능력을 제한하는 밀도가 더 낮은 재료들이다. 다른 한편으로, 배리어를 물리적으로 박형화하는 것은, 특히, 건식 에칭 프로세스들의 불-균일성 및 마이크로-로딩을 고려하면, 효과적인 에칭 정지 층으로서 역할을 하기 위한 얇은 층의 능력에 의해 제약된다. 이러한 정황에서, 종래의 SiCN 또는 SiOC 계 배리어/에칭 정지 층들은 이들의 스케일링 한계에 도달하였다.
[0005] 적절한 에칭 정지 보호를 제공하면서 유효 캐패시턴스를 계속 스케일링하기 위해, SiCN/SiOC보다 훨씬 더 높은 건식/에칭 에칭 선택성을 갖는 대안적인 재료들과 SiCN 또는 SiOC의 이중층(bilayer)이 고려되어 왔다. 이러한 관점으로부터, 알루미늄 질화물(AlN)은 매력적인 대안 재료이다. AlN은 초 저 k(ULK) 에칭에 대한 훌륭한 선택성, 우수한 절연 특성들, 및 8 내지 9의 합당한 유전 상수를 갖는다. 그러나, AlN이 우수한 에칭 정지 층이지만, 이는 기밀성(hermetic)이 아니다. 반대로, SiCN 또는 SiN은 기밀성이지만 이들의 에칭 정지 능력에서 제한된다.
[0006] 따라서, BEOL 프로세스들을 위한 새로운 층들에 대한 필요성이 존재한다.
[0007] 본원에서 설명되는 구현들은 일반적으로, 에칭 정지 층 또는 에칭 정지 스택(stack)을 형성하는 방법을 제공한다. 동일한 층 내에 실리콘 및 알루미늄 양자 모두를 혼입함으로써, 에칭 정지 층 또는 스택이, 개선된 밀도, 개선된 기밀성(hermeticity), 및 표준 배리어 층들보다 더 낮은 응력으로 형성될 수 있다. 원하는 두께는 다수의 층들을 증착함으로써 달성될 수 있다.
[0008] 일 구현에서, 층을 증착하는 방법은, 프로세스 챔버의 프로세스 구역에 기판을 위치시키는 단계; 프로세스 구역에 프로세스 가스를 전달하는 단계 ― 프로세스 가스는 알루미늄-함유 가스 및 실리콘-함유 가스를 포함함 ―; 반응물 가스를 활성화하는 단계 ― 상기 반응물 가스는 질소-함유 가스, 수소 함유 가스, 또는 이들의 조합들을 포함함 ―; 증착 가스를 생성하기 위해, 프로세스 가스에 반응물 가스를 전달하는 단계 ― 증착 가스는 기판 상에 실리콘 및 알루미늄 함유 층을 증착함 ―; 및 프로세스 구역을 퍼징하는 단계를 포함할 수 있다.
[0009] 다른 구현에서, 층을 증착하는 방법은, 프로세스 챔버의 프로세스 구역에 기판을 위치시키는 단계; 기판 상에 실리콘-함유 층을 증착하는 단계; 기판 상에 알루미늄-함유 층을 증착하는 단계; 및 실리콘-함유 층과 알루미늄-함유 층을 결합하는 증착 후 처리를 제공하는 단계를 포함할 수 있으며, 그러한 기판 상에 실리콘-함유 층을 증착하는 단계는, 프로세스 구역에 제 2 프로세스 가스를 전달하는 단계 ― 제 2 프로세스 가스는 실리콘-함유 가스를 포함함 ―; 활성화된 제 2 반응물 가스를 생성하기 위해, 제 2 반응물 가스를 활성화하는 단계 ― 반응물 가스는 질소-함유 가스, 수소 함유 가스, 또는 이들의 조합들을 포함함 ―; 제 2 증착 가스를 생성하기 위해, 제 2 프로세스 가스에 활성화된 제 2 반응물 가스를 전달하는 단계; 및 프로세스 구역을 퍼징하는 단계를 포함하고, 그러한 기판 상에 알루미늄-함유 층을 증착하는 단계는, 프로세스 구역에 제 1 프로세스 가스를 전달하는 단계 ― 제 1 프로세스 가스는 알루미늄 함유 가스를 포함함 ―; 활성화된 제 1 반응물 가스를 생성하기 위해, 제 1 반응물 가스를 활성화하는 단계 ― 반응물 가스는 질소-함유 가스, 수소 함유 가스, 또는 이들의 조합들을 포함함 ―; 증착 가스를 생성하기 위해, 프로세스 가스에 활성화된 반응물 가스를 전달하는 단계; 및 프로세스 구역을 퍼징하는 단계를 포함한다.
[0010] 다른 구현에서, 디바이스는, 노출된 표면을 갖는 기판 ― 노출된 표면은 그러한 노출된 표면에 형성된 하나 또는 그 초과의 피처들을 가짐 ―; 및 노출된 표면 상에 형성되고, 알루미늄, 실리콘, 및 질소 화합물을 포함하는 에칭 정지 층을 포함할 수 있다.
[0011] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 방법들 및 디바이스들의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 전형적인 구현들을 도시하는 것이므로, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 디바이스들 및 방법들이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0012] 도 1은 본원에서 설명되는 방법들을 수행하기 위해 사용될 수 있는 화학 기상 증착(CVD) 챔버의 단면 개략도이다.
[0013] 도 2는 본원에서 설명되는 구현에 따른 방법을 예시하는 흐름도를 도시한다.
[0014] 도 3은 본원에서 설명되는 구현에 따른, 실리콘 및 알루미늄 함유 층을 갖는 기판의 개략적인 단면도를 도시한다.
[0015] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우에 공통 단어들이 사용되었다. 일 구현에서 개시되는 엘리먼트들이 구체적인 설명 없이 다른 구현들에 대해 유익하게 활용될 수 있다는 것이 고려된다.
[0016] 본원에서 설명되는 구현들은 일반적으로, 실리콘 및 알루미늄 합성 재료들을 포함하는 에칭 정지 층들 또는 스택들을 형성하는 방법을 제공한다. SiAlCN, SiAlN, 또는 SiAlON을 포함하는 실리콘 및 알루미늄 합성 재료들은 우수한 확산 배리어 및 에칭 정지 층 양자 모두로서 작용할 수 있다. 추가로, 실리콘 및 알루미늄 합성 재료들은 50 Å 미만의 두께에서 위의 기능들을 수행할 수 있다.
[0017] PECVD, ALD/PEALD, UV 보조 CVD, 원격의 플라즈마 보조 CVD, 및 다른 구현들을 포함하는 수개의 접근법들이 위에서 설명된 재료들의 증착을 위해 사용될 수 있다. 기본적으로, Si-함유(즉, TMS, TSA 등) 및 Al-함유(즉, TMA) 전구체들이 직접적인/원격 NH3, N2+H2 플라즈마 소스들 또는 UV NH3 소스들로부터 생성되는 라디칼들과 반응되어, SiAlN 또는 SiAlCN 증착들을 발생시킨다. 화학량, 막 조성, 및 막 품질은 전구체 유동 비율들, 프로세스 온도, 압력, 라디칼 밀도 등의 조절에 의해 제어될 수 있다. 대안적으로, SiCN/SiN 및 AlN의 단분자층들이 교번하는 방식으로 증착될 수 있고, 이어서, 적합한 열/UV/플라즈마 처리가 후속되어, 원하는 합금 세라믹들을 생성하도록 2개의 재료들이 혼입되게 할 수 있다.
[0018] 도 1은 본원에서 설명되는 구현들을 실시하기 위해 사용될 수 있는 CVD 챔버(100)의 단면 개략도이다. 그러한 챔버의 예는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 PRODUCER® 시스템 상의 단일 또는 듀얼 챔버이다. 듀얼 챔버는 각각의 구역에서 겪게 되는 유량들이 전체 챔버 내로의 유량들의 대략 이분의 일이 되도록 (프로세싱 구역 당 하나의 기판씩, 2개의 기판들을 프로세싱하기 위한) 2개의 격리된 프로세싱 구역들을 갖는다. 아래의 예들에서 그리고 본 명세서 전체에 걸쳐 설명되는 유량들은 300 mm 기판당 유량들이다.
[0019] CVD 챔버(100)는 별개의 프로세싱 구역들(118, 120)을 정의하는 챔버 바디(102)를 갖는다. 각각의 프로세싱 구역(118, 120)은 CVD 챔버(100) 내에서 기판(미도시)을 지지하기 위한 페데스탈(pedestal)(128)을 갖는다. 각각의 페데스탈(128)은 전형적으로, 가열 엘리먼트(미도시)를 포함한다. 일 구현에서, 각각의 페데스탈(128)은, 스템(stem)(126)이 구동 시스템(103)에 연결되는, 챔버 바디(102)의 바닥을 통해 연장되는 스템(126)에 의해, 프로세싱 구역들(118, 120) 중 하나에 이동가능하게 배치된다.
[0020] 프로세싱 구역들(118, 120) 각각은 프로세싱 구역들(118, 120) 내로 가스들을 전달하기 위해 챔버 덮개를 통해 배치된 가스 분배 어셈블리(108)를 포함할 수 있다. 각각의 프로세싱 구역의 가스 분배 어셈블리(108)는 일반적으로, 샤워헤드 어셈블리라고 또한 알려져 있는 가스 분배 매니폴드(142) 내로 가스 유동 제어기(119)로부터 가스를 전달하는 가스 유입구 통로(140)를 포함한다. 가스 유동 제어기(119)는 전형적으로, 챔버 내로의 상이한 프로세스 가스들의 유량들을 제어 및 조절하기 위해 사용된다. 다른 유동 제어 컴포넌트들은, 액체 전구체들이 사용되는 경우에, 액체 유동 주입 밸브 및 액체 유동 제어기(미도시)를 포함할 수 있다. 가스 분배 매니폴드(142)는 환상 베이스 플레이트(148)를 포함한다. 가스 분배 매니폴드(142)는 복수의 노즐들(미도시)을 포함하고, 그러한 복수의 노즐들을 통해, 프로세싱 동안에, 가스성 혼합물들이 주입된다. 무선 주파수(RF) 전력 공급부(125)가 가스 분배 매니폴드(142)와 페데스탈(128) 사이의 플라즈마 구역의 생성을 용이하게 하기 위해 가스 분배 매니폴드(142)에 바이어스 전위를 제공한다. 플라즈마-강화 화학 기상 증착(PECVD) 프로세스 동안에, 페데스탈(128)은 챔버 바디(102) 내에서 RF 바이어스를 생성하기 위한 캐소드로서 역할을 할 수 있다. 캐소드는 챔버(100)에서 용량성 전기장을 생성하기 위해 전극 전력 공급부에 전기적으로 커플링된다. 전형적으로, RF 전압이 캐소드에 인가되는 한편, 챔버 바디(102)가 전기적으로 접지된다. 페데스탈(128)에 인가되는 전력은 기판의 상측 표면 상에 음의 전압의 형태로 기판 바이어스를 생성한다. 이러한 음의 전압은 챔버(100)에 형성된 플라즈마로부터 기판의 상측 표면으로 이온들을 유인하기 위해 사용된다.
[0021] 프로세싱 동안에, 프로세스 가스들은 기판 표면에 걸쳐 방사상으로 균일하게 분배된다. 플라즈마는, RF 전력 공급부(125)로부터, 전력공급되는 전극으로서 작용하는 가스 분배 매니폴드(142)에 RF 에너지를 인가함으로써, 하나 또는 그 초과의 프로세스 가스들 또는 가스 혼합물로부터 형성된다. 막 증착은 기판이 플라즈마 및 그러한 기판에 제공되는 반응성 가스들에 노출되는 경우에 발생된다. 챔버 벽들(112)은 전형적으로, 접지된다. RF 전력 공급부(125)는 프로세싱 구역들(118, 120) 내로 도입되는 임의의 가스들의 분해를 향상시키기 위해, 가스 분배 매니폴드(142)에 단일 또는 혼합-주파수 RF 신호를 공급할 수 있다.
[0022] 시스템 제어기(134)는 RF 전력 공급부(125), 구동 시스템(103), 리프트 메커니즘, 가스 유동 제어기(119), 및 다른 연관된 챔버 및/또는 프로세싱 기능들과 같은 다양한 컴포넌트들의 기능들을 제어한다. 시스템 제어기(134)는, 바람직한 구현에서 하드 디스크 드라이브인 메모리(138)에 저장된 시스템 제어 소프트웨어를 실행하고, 아날로그 및 디지털 입력/출력 보드들, 인터페이스 보드들, 및 스테퍼 모터 제어기 보드들을 포함할 수 있다. 광학 및/또는 자기 센서들이 일반적으로, 이동가능한 기계적인 어셈블리들의 위치를 이동시키고 결정하기 위해 사용된다.
[0023] 위의 CVD 시스템 설명은 주로 예시적인 목적들을 위한 것이고, 다른 프로세스 챔버들이 또한, 본원에서 설명되는 구현들을 실시하기 위해 채용될 수 있다. 본원에서 설명되는 구현들과 함께 채용될 수 있는 프로세스 챔버는 PECVD, ALD/PEALD, UV 보조 CVD, 원격 플라즈마 보조 CVD, 및 구성 가스들로부터의 층들의 증착을 위한 다른 챔버들을 포함한다. 추가로, 위에서 설명된 챔버가 RF 소스를 사용한 플라즈마의 형성을 설명하였지만, 이는 마이크로파 플라즈마를 포함하는 플라즈마의 다른 소스들을 배제하는 것으로 의도된 것이 아니다.
[0024] 도 2는 구현에 따른 방법(200)의 흐름도이다. 도 3은 도 2의 방법(200)에 의해 설명되는 바와 같은, 실리콘 및 알루미늄 함유 층의 증착 후의 기판의 개략적인 단면도를 예시한다. 방법(200)은 프로세스 챔버에 기판(300)을 위치시키는 것에 의해 202에서 시작되고, 기판(300)은 도 3에서 도시되어 있다. 기판(300)은, 특히, 금속의 얇은 시트, 플라스틱, 유기 재료, 실리콘, 유리, 석영, 또는 폴리머 재료들일 수 있다. 일 구현에서, 기판(300)은 실리콘-함유 유전체가 위에 증착된 실리콘 기판이다. 기판(300)은 원형, 정사각형, 또는 본 기술분야에서 알려져 있는 바와 같은 다른 형상들일 수 있다.
[0025] 기판(300)은 기판 표면(301) 위에 배치된 유전체 층(302)을 가질 수 있다. 전도성 콘택들(304)이 유전체 층(302) 내에 배치되고, 배리어 층들(306)에 의해 유전체 층(302)으로부터 분리된다. 유전체 층(302)은 저-k 유전체 재료와 같은 유전체 재료를 함유한다. 일 예에서, 유전체 층(302)은 저-k 유전체 재료, 예컨대, 실리콘 탄화물 산화물 재료, 또는 탄소 도핑된 실리콘 산화물 재료, 예를 들어, 캘리포니아, 산타클라라에 위치된 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 BLACK DIAMOND® II 저-k 유전체 재료를 함유한다.
[0026] 피처 배리어 층(306)은 유전체 층(302) 내의 피처 내에 등각적으로 증착될 수 있다. 피처 배리어 층(306)은 물리 기상 증착(PVD) 프로세스, 원자 층 증착(ALD) 프로세스, 또는 CVD 프로세스에 의해 형성 또는 증착될 수 있고, 약 5 Å 내지 약 50 Å, 예컨대 약 10 Å 내지 약 30 Å의 범위 내의 두께를 가질 수 있다. 피처 배리어 층(306)은 티타늄, 티타늄 질화물, 탄탈럼, 탄탈럼 질화물, 텅스텐, 텅스텐 질화물, 이들의 실리사이드들, 이들의 유도체들, 또는 이들의 조합들을 함유할 수 있다. 몇몇 구현들에서, 피처 배리어 층(306)은 탄탈럼/탄탈럼 질화물 이중층 또는 티타늄/티타늄 질화물 이중층을 함유할 수 있다. 일 예에서, 피처 배리어 층(306)은 PVD 프로세스들에 의해 증착된 탄탈럼 질화물 및 금속성 탄탈럼 층들을 함유할 수 있다. 전도성 콘택들(304)은 구리와 같은 금속일 수 있다.
[0027] 기판이 프로세스 챔버에 위치된 상태로, 204에서, 프로세스 가스가 프로세스 구역에 전달된다. 프로세스 가스는 알루미늄 함유 가스 및 실리콘-함유 가스를 포함한다. 실리콘 함유 가스는 실란, 모노클로로실란, 디클로로실란, 트리클로로실란, 실리콘 사염화물, 디실란, 및 트리실란을 포함할 수 있지만 반드시 이에 제한되는 것은 아니다. 실리콘 함유 가스는 본원에서 설명되는 하나 또는 그 초과의 유기실리콘 화합물들을 포함하는 탄소 및 실리콘 함유 가스, 예컨대, 메틸실란(CH3SiH3), 트리메틸실란(TMS), 비스(디에틸아미노)실란(BDEAS), 헥사메틸시클로트리실라잔(HMCTZ), 트리스(디메틸아미노)실란, 테트라키스-(디메틸아미노)실란, 테트라키스(에틸메틸아미노)실란, 테트라에틸오르토실리케이트, 이들의 유도체들, 또는 이들의 조합들일 수 있다.
[0028] 프로세스 가스는 알루미늄-함유 가스를 더 포함한다. 알루미늄-함유 가스는 트리메틸알루미늄, 트리에틸알루미늄, 트리메틸아민 알란, 알루미늄 염화물, 디메틸알루미늄 수소화물, 디에틸알루미늄 에톡시드, 이들의 유도체들, 또는 이들의 조합들을 포함할 수 있지만 반드시 이에 제한되는 것은 아니다. 부가적으로, 헬륨 및 아르곤을 포함하는 노블(noble) 가스와 같은 비활성 가스가 증착 프로세스 동안에 사용될 수 있고, 증착 프로세스를 위한 캐리어 가스로서 사용될 수 있다.
[0029] 그 후에, 206에서, 반응물 가스가 활성화될 수 있다. 반응물 가스는 질소-함유 가스 또는 수소 함유 가스일 수 있다. 반응물 가스는 이원자 질소, 암모니아, 이원자 수소, 히드라진, 터트-부틸아민, 1,1-디메틸히드라진, 및 터트-부틸히드라진을 포함할 수 있지만 반드시 이에 제한되는 것은 아니다.
[0030] 반응물 가스는 활성화된 반응물 가스를 생성하기 위해 플라즈마 구역에서 활성화될 수 있다. 이는 프로세스 챔버의 플라즈마 구역에서 플라즈마를 형성하는 것 또는 원격으로 플라즈마를 형성하는 것을 포함할 수 있다. 추가로, 반응물 가스가 플라즈마로 형성될 수 있거나, 또는 프로세스 가스에 전달되기 전에 플라즈마로 형성되는 제 2 가스에 의해 활성화될 수 있다. 제 2 가스는 비활성 가스일 수 있다. 프로세스 챔버는 인-시튜(in-situ) 플라즈마를 생성할 수 있거나, 또는 프로세스 챔버에 원격 플라즈마 소스(RPS)가 장비될 수 있다.
[0031] 그 후에, 208에서, 반응물 가스는 증착 가스를 생성하기 위해 프로세스 가스에 전달될 수 있다. 활성화된 반응물 가스는, 도 3에서 도시된 바와 같이, 유전체 층(302)의 제 1 표면(308) 위에 실리콘 및 알루미늄 함유 층(예컨대, 에칭 정지 층(310))을 형성하기 위해, 프로세스 가스와 반응할 것이다. 에칭 정지 층(310)은 두께가 약 10 Å 내지 약 100 Å, 예컨대 두께가 약 20 Å 내지 약 50 Å일 수 있다. 일 예에서, 에칭 정지 층(310)은 두께가 20 Å이다. 에칭 정지 층(310)은 실리콘, 알루미늄, 및 질소를 포함할 수 있다.
[0032] 실리콘 및 알루미늄 함유 층의 증착을 위한 파라미터들은 약 0.01 토르 내지 약 760 토르, 예컨대 약 0.1 내지 약 10 토르의 압력으로 프로세스 구역을 유지하는 것을 포함할 수 있다. 프로세스 구역 및 기판의 온도는 섭시 약 200 도 내지 섭씨 약 1100 도, 예컨대 섭씨 약 400 내지 약 1000 도로 유지될 수 있다. 실리콘-함유 전구체는 약 100 sccm(standard cubic centimeters per minute) 내지 약 20000 sccm의 유량에서의 캐리어 가스에서 약 2 sccm 내지 약 1000 sccm의 유량으로 전달될 수 있다. 일 예에서, 실리콘-함유 전구체는 약 500 sccm 내지 약 10000 sccm의 캐리어 가스 유동에서 약 10 sccm 내지 약 500 sccm의 유량으로 전달된다. 질소-함유 전구체는 약 100 sccm 내지 약 20000 sccm의 캐리어 가스 유동에서 약 2 sccm 내지 약 5000 sccm의 유량으로 전달될 수 있다. 일 예에서, 질소-함유 전구체는 약 250 sccm 내지 약 10000 sccm의 캐리어 가스 유동에서 약 10 sccm 내지 약 2500 sccm의 유량으로 전달될 수 있다. 알루미늄-함유 전구체는 약 10 sccm 내지 약 5000 sccm의 캐리어 가스 유동에서 약 1 sccm 내지 약 500 sccm의 유량으로 전달될 수 있다. 일 예에서, 알루미늄-함유 전구체는 약 50 sccm 내지 약 1000 sccm의 캐리어 가스 유동에서 약 5 sccm 내지 약 100 sccm의 유량으로 전달될 수 있다.
[0033] 실리콘 및 알루미늄 함유 층은 약 1 분당 옹스트롬 내지 약 1000 분당 옹스트롬의 증착 레이트, 예컨대 약 5 분당 옹스트롬 내지 약 500 분당 옹스트롬의 증착 레이트를 가질 수 있다.
[0034] 본원에서 설명되는 일반적인 구현들 내에서, 실리콘-함유 전구체, 질소-함유 전구체, 및 알루미늄-함유 전구체 중 임의의 하나 또는 그 초과가 균일한 유량으로 반응기 챔버 내에 도입될 수 있거나, 또는 대안으로, 전술한 전구체들 중 임의의 하나 또는 그 초과가 불-균일한 유량으로 반응기 챔버 내에 도입될 수 있다. 유사하게, 본원에서 설명되는 구현들을 사용하여, 실리콘 전구체, 질소 전구체, 및 알루미늄 전구체 중 임의의 2개 또는 전부가 프로세스 구역 내에 동시에 도입될 수 있다(즉, 실리콘-함유 전구체와 질소-함유 전구체; 실리콘-함유 전구체와 알루미늄-함유 전구체; 질소-함유 전구체와 알루미늄-함유 전구체; 또는 실리콘-함유 전구체, 질소-함유 전구체와 알루미늄-함유 전구체).
[0035] 그 후에, 210에서, 활성화된 반응물 가스 및 프로세스 가스가 프로세스 챔버로부터 퍼징될 수 있다. 퍼지는 프로세스 챔버에 비활성 가스를 전달하는 것을 포함할 수 있다. 비활성 가스는, 증착된 층 및 활성화된 프로세스 가스에 관하여 비-반응적인 또는 최소한으로 반응적인 가스, 예컨대, 아르곤, 헬륨, 이원자 질소, 또는 다른 것들일 수 있다. 비활성 가스는 100 sccm 내지 10000 sccm의 유량, 예컨대 5000 sccm의 유량으로 전달될 수 있다. 퍼지는 프로세스 가스, 반응물 가스, 활성화된 반응물 가스, 및 에칭 정치 층(310)의 증착의 부산물들이 챔버에 없게 될 때까지 유지될 수 있다.
[0036] 위의 방법(200)은 층들의 등각적인 증착을 유지하면서 원하는 두께 및 기밀성을 생성하기 위해 복수회 반복될 수 있다.
[0037] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 다른 및 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 층을 증착하는 방법으로서,
    프로세스 챔버의 프로세스 구역에 기판을 위치시키는 단계;
    상기 프로세스 구역에 프로세스 가스를 전달하는 단계 ― 상기 프로세스 가스는 알루미늄-함유 가스 및 실리콘-함유 가스를 포함함 ―;
    반응물 가스를 활성화하는 단계 ― 상기 반응물 가스는 질소-함유 가스, 수소 함유 가스, 또는 이들의 조합들을 포함함 ―;
    증착 가스를 생성하기 위해, 상기 프로세스 가스에 상기 반응물 가스를 전달하는 단계 ― 상기 증착 가스는 상기 기판 상에 실리콘 및 알루미늄 함유 층을 증착함 ―; 및
    상기 프로세스 구역을 퍼징(purging)하는 단계
    를 포함하는,
    층을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 및 알루미늄 함유 층은 SiAlN, SiAlCN, 또는 SiAlON을 포함하는,
    층을 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 반응물 가스를 활성화하는 단계는, 상기 프로세스 가스에 상기 반응물 가스를 전달하기 전에, 상기 반응물 가스를 플라즈마로 변환시키는 단계를 포함하는,
    층을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 반응물 가스는 상기 프로세스 가스의 존재 시에 활성화되는,
    층을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 반응물 가스는 NH3, N2, H2, 또는 이들의 조합들을 포함하는,
    층을 증착하는 방법.
  6. 층을 증착하는 방법으로서,
    프로세스 챔버의 프로세스 구역에 기판을 위치시키는 단계;
    상기 기판 상에 실리콘-함유 층을 증착하는 단계;
    상기 실리콘-함유 층 상에 알루미늄-함유 층을 증착하는 단계; 및
    상기 실리콘-함유 층과 상기 알루미늄-함유 층을 결합하는 증착 후(post deposition) 처리를 제공하는 단계
    를 포함하며,
    상기 기판 상에 실리콘-함유 층을 증착하는 단계는,
    상기 프로세스 구역에 제 1 프로세스 가스를 전달하는 단계 ― 상기 제 1 프로세스 가스는 실리콘-함유 가스를 포함함 ―;
    활성화된 제 1 반응물 가스를 생성하기 위해, 제 1 반응물 가스를 활성화하는 단계 ― 상기 제 1 반응물 가스는 질소-함유 가스, 수소 함유 가스, 또는 이들의 조합들을 포함함 ―;
    제 1 증착 가스를 생성하기 위해, 상기 제 1 프로세스 가스에 상기 활성화된 제 1 반응물 가스를 전달하는 단계; 및
    상기 프로세스 구역을 퍼징하는 단계
    를 포함하고,
    상기 실리콘-함유 층 상에 알루미늄-함유 층을 증착하는 단계는,
    상기 프로세스 구역에 제 2 프로세스 가스를 전달하는 단계 ― 상기 제 2 프로세스 가스는 알루미늄 함유 가스를 포함함 ―;
    활성화된 제 2 반응물 가스를 생성하기 위해, 제 2 반응물 가스를 활성화하는 단계 ― 상기 제 2 반응물 가스는 질소-함유 가스, 수소 함유 가스, 또는 이들의 조합들을 포함함 ―;
    제 2 증착 가스를 생성하기 위해, 상기 제 2 프로세스 가스에 활성화된 제 2 반응물 가스를 전달하는 단계; 및
    상기 프로세스 구역을 퍼징하는 단계
    를 포함하는,
    층을 증착하는 방법.
  7. 제 6 항에 있어서,
    상기 증착 후 처리는 상기 실리콘-함유 층의 증착 및 상기 알루미늄-함유 층에 전달되는 비활성 플라즈마 처리를 포함하는,
    층을 증착하는 방법.
  8. 제 6 항에 있어서,
    상기 알루미늄 층은 AlN을 포함하고, 상기 실리콘-함유 층은 SiN, SiCN, 또는 SiON을 포함하는,
    층을 증착하는 방법.
  9. 제 6 항에 있어서,
    상기 제 1 반응물 가스 또는 상기 제 2 반응물 가스를 활성화하는 것은, 상기 프로세스 가스에 상기 제 1 반응물 가스 또는 상기 제 2 반응물 가스를 전달하기 전에, 상기 제 1 반응물 가스 또는 상기 제 2 반응물 가스를 플라즈마로 변환시키는 것을 포함하는,
    층을 증착하는 방법.
  10. 제 6 항에 있어서,
    상기 제 1 반응물 가스 또는 상기 제 2 반응물 가스는, 각각, 상기 제 1 프로세스 가스 또는 상기 제 2 프로세스 가스의 존재 시에, 활성화되는,
    층을 증착하는 방법.
  11. 제 6 항에 있어서,
    상기 제 1 반응물 가스 또는 상기 제 2 반응물 가스는 NH3, N2, H2, 또는 이들의 조합들을 포함하는,
    층을 증착하는 방법.
  12. 디바이스로서,
    노출된 표면을 갖는 기판 ― 상기 노출된 표면은 상기 노출된 표면에 형성된 하나 또는 그 초과의 피처(feature)들을 가짐 ―; 및
    상기 노출된 표면 상에 형성되고, Al, Si, 및 N을 포함하는 에칭 정지 층
    을 포함하는,
    디바이스.
  13. 제 12 항에 있어서,
    상기 에칭 정지 층은 SiAlN, SiAlCN, 또는 SiAlON 층인,
    디바이스.
  14. 제 12 항에 있어서,
    상기 에칭 정지 층은 복수의 교번하는 SiAlN, SiAlCN, 또는 SiAlON 층들을 포함하고, 각각의 층은 50 Å 미만인,
    디바이스.
  15. 제 12 항에 있어서,
    상기 하나 또는 그 초과의 피처들에 증착된 금속을 더 포함하는,
    디바이스.
KR1020177019755A 2014-12-15 2015-11-17 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층 KR102514466B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462092107P 2014-12-15 2014-12-15
US62/092,107 2014-12-15
PCT/US2015/061159 WO2016099755A1 (en) 2014-12-15 2015-11-17 Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications

Publications (2)

Publication Number Publication Date
KR20170096157A true KR20170096157A (ko) 2017-08-23
KR102514466B1 KR102514466B1 (ko) 2023-03-24

Family

ID=56111880

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177019755A KR102514466B1 (ko) 2014-12-15 2015-11-17 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층

Country Status (5)

Country Link
US (1) US9613908B2 (ko)
KR (1) KR102514466B1 (ko)
CN (1) CN107112278B (ko)
TW (1) TW201621080A (ko)
WO (1) WO2016099755A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
CN108122821B (zh) * 2016-11-29 2021-05-04 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN108630751B (zh) * 2017-03-21 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
KR20210047119A (ko) 2019-10-21 2021-04-29 삼성전자주식회사 금속 질화막 제조방법 및 금속 질화막을 포함하는 전자 소자
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11817313B2 (en) * 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US20220352018A1 (en) * 2021-04-30 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Carbon-based liner to reduce contact resistance

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364664A (en) * 1990-12-21 1994-11-15 Canon Kabushiki Kaisha Process for non-selectively forming deposition film on a non-electron-donative surface
KR20140108147A (ko) * 2013-02-28 2014-09-05 파워 인티그레이션즈, 인크. AlSiN 패시베이션층을 갖는 헤테로-구조 전력 트랜지스터

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5594280A (en) * 1987-10-08 1997-01-14 Anelva Corporation Method of forming a thin film and apparatus of forming a metal thin film utilizing temperature controlling means
EP0909986A1 (en) * 1990-09-26 1999-04-21 Canon Kabushiki Kaisha Photolithographic processing method and apparatus
US5714306A (en) * 1990-09-26 1998-02-03 Canon Kabushiki Kaisha Processing method and apparatus
US5534069A (en) * 1992-07-23 1996-07-09 Canon Kabushiki Kaisha Method of treating active material
JPH11150084A (ja) * 1997-09-12 1999-06-02 Canon Inc 半導体装置および基板上への非晶質窒化硅素チタンの形成方法
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
JP2002134472A (ja) * 2000-10-20 2002-05-10 Mitsubishi Electric Corp エッチング方法、エッチング装置および半導体装置の製造方法
JP2002246310A (ja) * 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US8791034B2 (en) * 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US9169552B2 (en) * 2009-09-04 2015-10-27 Wieland-Werke Ag Process for depositing ceramic or organoceramic material on a substrate
KR101603737B1 (ko) * 2010-05-11 2016-03-16 삼성전자주식회사 기상 세정을 이용한 금속 잔류물 제거 방법, 도전막 패턴의 형성 방법, 반도체 소자의 제조 방법 및 관련 설비
TWI532537B (zh) * 2011-08-10 2016-05-11 太陽誘電化學技術股份有限公司 包含底質薄膜之印刷用構造體、印刷用孔版及該印刷用構造體之製造方法
US8946771B2 (en) * 2011-11-09 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gallium nitride semiconductor devices and method making thereof
US20130186464A1 (en) * 2012-01-03 2013-07-25 Shuran Sheng Buffer layer for improving the performance and stability of surface passivation of silicon solar cells
CN104094418A (zh) * 2012-02-17 2014-10-08 应用材料公司 硅基太阳能电池的钝化薄膜堆叠
CN102709321A (zh) * 2012-04-20 2012-10-03 程凯 增强型开关器件及其制造方法
CN103839878B (zh) * 2012-11-27 2017-07-14 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9443839B2 (en) * 2012-11-30 2016-09-13 Enpirion, Inc. Semiconductor device including gate drivers around a periphery thereof
CN103337516B (zh) * 2013-06-07 2016-08-17 苏州晶湛半导体有限公司 增强型开关器件及其制造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364664A (en) * 1990-12-21 1994-11-15 Canon Kabushiki Kaisha Process for non-selectively forming deposition film on a non-electron-donative surface
KR20140108147A (ko) * 2013-02-28 2014-09-05 파워 인티그레이션즈, 인크. AlSiN 패시베이션층을 갖는 헤테로-구조 전력 트랜지스터

Also Published As

Publication number Publication date
CN107112278B (zh) 2021-05-04
US20160172239A1 (en) 2016-06-16
KR102514466B1 (ko) 2023-03-24
CN107112278A (zh) 2017-08-29
WO2016099755A1 (en) 2016-06-23
TW201621080A (zh) 2016-06-16
US9613908B2 (en) 2017-04-04

Similar Documents

Publication Publication Date Title
KR102514466B1 (ko) 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
US11708634B2 (en) Films of desired composition and film properties
US11894227B2 (en) Conformal deposition of silicon carbide films
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US10741458B2 (en) Methods for depositing films on sensitive substrates
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
KR102246244B1 (ko) 전기적 성질 및 uv 적합성이 향상된 배리어 막
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
TW201435139A (zh) 增強低介電常數阻障膜之uv相容性

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant