KR20170057146A - 에칭 방법 - Google Patents

에칭 방법 Download PDF

Info

Publication number
KR20170057146A
KR20170057146A KR1020160151007A KR20160151007A KR20170057146A KR 20170057146 A KR20170057146 A KR 20170057146A KR 1020160151007 A KR1020160151007 A KR 1020160151007A KR 20160151007 A KR20160151007 A KR 20160151007A KR 20170057146 A KR20170057146 A KR 20170057146A
Authority
KR
South Korea
Prior art keywords
etching
gas
silicon
resist film
film
Prior art date
Application number
KR1020160151007A
Other languages
English (en)
Inventor
히카루 와타나베
아키히로 츠지
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170057146A publication Critical patent/KR20170057146A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

선택비를 향상시키면서, 피에칭 대상막의 에칭 형상을 수직으로 한다. 피처리체에 대한 플라즈마 처리에 의해, 실리콘 함유 반사 방지막을 레지스트막의 패턴으로 에칭하는 에칭 방법에 있어서, 피처리체는, 에칭 대상층과, 상기 에칭 대상층의 위에 적층된 상기 실리콘 함유 반사 방지막과, 상기 실리콘 함유 반사 방지막의 위에 적층된 상기 레지스트막을 갖고, 피처리체를 수용한 처리 용기 내에 있어서 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 1 공정과, 피처리체를 수용한 상기 처리 용기 내에서 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 2 공정을 갖고, 상기 제 1 공정 및 상기 제 2 공정을 반복하여 실행하는 에칭 방법이 제공된다.

Description

에칭 방법{ETCHING METHOD}
본 발명은 에칭 방법에 관한 것이다.
피처리체에 대한 플라즈마 처리에 의해, 반사 방지막을 레지스트막의 패턴으로 에칭하고, 에칭후의 레지스트막 및 반사 방지막을 마스크로 하여 반사 방지막의 하층의 피에칭 대상막을 에칭하는 방법이 제안되어 있다(예를 들면, 특허문헌 1을 참조).
일본 특허 공개 제 2009-164626 호 공보 일본 특허 공개 제 2011-134896 호 공보
그렇지만, 반사 방지막이 실리콘 함유 반사 방지막이며, 레지스트막이 ArF 레지스트막 등의 소정의 레지스트막인 경우, 레지스트막에 대한 실리콘 함유 반사 방지막의 선택비가 예를 들면 1~2로 낮다는 과제를 갖는다. 선택비가 낮고, 반사 방지막이 레지스트막에 대하여 선택적으로 에칭되지 않으면, 반사 방지막의 에칭 중에 레지스트막이 절삭되어, 소실되어 버린다. 그 결과, 반사 방지막의 하층의 피에칭 대상막의 에칭이 곤란하게 되거나, 피에칭 대상막을 수직으로 에칭할 수 없는 등의 지장이 발생하는 경우가 있다.
특히, 파장 13~14㎚의 극단 자외선 노광(EUV: Extreme Ultra Violet)을 이용하여 형성되는 EUV 레지스트막과 반사 방지막의 선택비는 ArF 레지스트막과 반사 방지막의 선택비보다 더욱 낮다. 이 때문에, EUV 레지스트막을 마스크에 이용하는 경우, 더욱 피에칭 대상막의 에칭에 지장이 발생할 가능성이 있다.
상기 과제에 대하여, 일 측면에서는, 본 발명은 선택비를 향상시키면서, 피에칭 대상막의 에칭 형상을 수직으로 하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 하나의 태양에 의하면, 피처리체에 대한 플라즈마 처리에 의해, 실리콘 함유 반사 방지막을 레지스트막의 패턴으로 에칭하는 에칭 방법으로서, 피처리체는 에칭 대상층과, 상기 에칭 대상층의 위에 적층된 상기 실리콘 함유 반사 방지막과, 상기 실리콘 함유 반사 방지막의 위에 적층된 상기 레지스트막을 갖고, 피처리체를 수용한 처리 용기 내에 있어서 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 1 공정과, 피처리체를 수용한 상기 처리 용기 내에 있어서 불활성 가스를 포함한 처리 가스의 플라즈마를 생성하는 제 2 공정을 갖고, 상기 제 1 공정 및 상기 제 2 공정을 반복하여 실행하는 에칭 방법이 제공된다.
하나의 측면에 의하면, 선택비를 향상시키면서, 피에칭 대상막의 에칭 형상을 수직으로 할 수 있다.
도 1은 일 실시형태에 따른 플라즈마 처리 장치의 종단면의 일 예를 도시하는 도면,
도 2는 반사 방지막의 에칭 시의 가스 유량과 선택비 및 소밀(疎密) 패턴의 관계의 일 예를 도시하는 도면,
도 3은 제 1 실시형태에 따른 에칭 처리의 일 예를 도시하는 흐름도,
도 4는 제 1 실시형태에 따른 에칭을 설명하기 위한 도면,
도 5는 제 1 실시형태에 따른 에칭의 결과의 일 예를 도시하는 도면,
도 6은 제 2 실시형태에 따른 에칭 처리의 일 예를 도시하는 흐름도,
도 7은 제 2 실시형태에 따른 에칭을 설명하기 위한 도면,
도 8은 제 2 실시형태에 따른 에칭의 결과의 일 예를 도시하는 도면.
이하, 본 발명을 실시하기 위한 형태에 대해 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는, 동일한 도면부호를 부여하는 것에 의해 중복된 설명을 생략한다.
[플라즈마 처리 장치의 전체 구성]
우선, 본 발명의 일 실시형태에 따른 에칭 방법에 의해 반도체 웨이퍼(이하, 단순히 「웨이퍼」라 함)에 플라즈마 에칭을 실행하는 플라즈마 처리 장치(1)에 대해, 도 1을 참조하여 설명한다. 도 1은 본 실시형태에 따른 플라즈마 처리 장치(1)의 종단면의 일 예를 도시한다. 본 실시형태에 따른 플라즈마 처리 장치(1)는, 처리 용기(10) 내에 탑재대(20)와 가스 샤워 헤드(25)를 대향 배치한 평행 평판형의 플라즈마 처리 장치(용량 결합형 플라즈마 처리 장치)이다. 탑재대(20)는 하부 전극으로서도 기능하며, 가스 샤워 헤드(25)는 상부 전극으로서도 기능한다.
플라즈마 처리 장치(1)는, 예를 들면 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 원통형의 처리 용기(10)를 갖고 있다. 처리 용기(10)는 전기적으로 접지되어 있다. 탑재대(20)는 처리 용기(10)의 바닥부에 설치되며, 웨이퍼(W)를 탑재한다. 웨이퍼(W)는 피처리체의 일 예이다. 탑재대(20)는, 예를 들어 알루미늄(Al)이나 티탄(Ti), 탄화 규소(SiC) 등으로 형성되어 있다. 탑재대(20)의 상면에는, 웨이퍼(W)를 정전 흡착하기 위한 정전 척(106)이 마련되어 있다. 정전 척(106)은 절연체(106b)와의 사이에 척 전극(106a)을 개재한 구조로 되어 있다. 척 전극(106a)에는 직류 전압원(112)이 접속되며, 직류 전압원(112)으로부터 척 전극(106a)에 직류 전압(HV)이 인가되는 것에 의해, 쿨롱력에 의해 웨이퍼(W)가 정전 척(106)에 흡착된다.
탑재대(20)는 지지체(104)에 의해 지지되어 있다. 지지체(104)의 내부에는, 냉매 유로(104a)가 형성되어 있다. 냉매 유로(104a)에는, 냉매 입구 배관(104b) 및 냉매 출구 배관(104c)이 접속되어 있다. 칠러(107)로부터 출력된 예를 들면 냉각수나 브라인 등의 냉각 매체(이하, 「냉매」라고도 말함)는 냉매 입구 배관(104b), 냉매 유로(104a) 및 냉매 출구 배관(104c)을 순환한다. 냉매에 의해, 탑재대(20) 및 정전 척(106)은 발열되고, 냉각된다.
전열 가스 공급원(85)은 헬륨 가스(He)나 아르곤 가스(Ar) 등의 전열 가스를 가스 공급 라인(130)을 통하여 정전 척(106) 상의 웨이퍼(W)의 이면에 공급한다. 이러한 구성에 의해, 정전 척(106)은, 냉매 유로(104a)에 순환시키는 냉매와, 웨이퍼(W)의 이면에 공급하는 전열 가스에 의해 온도 제어된다. 그 결과, 웨이퍼를 소정의 온도로 제어할 수 있다.
탑재대(20)에는, 2주파 중첩 전력을 공급하는 전력 공급 장치(30)가 접속되어 있다. 전력 공급 장치(30)는, 제 1 주파수의 제 1 고주파 전력(플라즈마 생성용의 고주파 전력)을 공급하는 제 1 고주파 전원(32)과, 제 1 주파수보다 낮은 제 2 주파수의 제 2 고주파 전력(바이어스 전압 발생용의 고주파 전력)을 공급하는 제 2 고주파 전원(34)을 갖는다. 제 1 고주파 전원(32)은 제 1 정합기(33)를 거쳐서 탑재대(20)에 전기적으로 접속된다. 제 2 고주파 전원(34)은 제 2 정합기(35)를 거쳐서 탑재대(20)에 전기적으로 접속된다. 제 1 고주파 전원(32)은, 예를 들면 60 ㎒의 제 1 고주파 전력을 탑재대(20)에 인가한다. 제 2 고주파 전원(34)은, 예를 들면 13.56 ㎒의 제 2 고주파 전력을 탑재대(20)에 인가한다. 또한, 본 실시형태에서는, 제 1 고주파 전력은 탑재대(20)에 인가되지만, 가스 샤워 헤드(25)에 인가되어도 좋다.
제 1 정합기(33)는 제 1 고주파 전원(32)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다. 제 2 정합기(35)는 제 2 고주파 전원(34)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다. 제 1 정합기(33)는, 처리 용기(10) 내에 플라즈마가 생성되어 있을 때에 제 1 고주파 전원(32)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다. 제 2 정합기(35)는, 처리 용기(10) 내에 플라즈마가 생성되어 있을 때에 제 2 고주파 전원(34)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다.
가스 샤워 헤드(25)는, 그 주연부를 피복하는 실드 링(40)을 거쳐서 처리 용기(10)의 천장부의 개구를 폐색하도록 장착되어 있다. 가스 샤워 헤드(25)에는, 가변 직류 전원(70)이 접속되며, 가변 직류 전원(70)으로부터 소정의 직류(DC) 전압이 인가된다. 가스 샤워 헤드(25)는 실리콘으로 형성되어도 좋다.
가스 샤워 헤드(25)에는, 가스를 도입하는 가스 도입구(45)가 형성되어 있다. 가스 샤워 헤드(25)의 내부에는 가스 도입구(45)로부터 분기된 센터부의 확산실(50a) 및 에지부의 확산실(50b)이 마련되어 있다. 가스 공급원(15)으로부터 출력된 가스는 가스 도입구(45)를 거쳐서 확산실(50a, 50b)에 공급되며, 확산실(50a, 50b)에서 확산되고 다수의 가스 공급 구멍(55)으로부터 탑재대(20)를 향하여 도입된다.
처리 용기(10)의 저면에는 배기구(60)가 형성되어 있으며, 배기구(60)에 접속된 배기 장치(65)에 의해 처리 용기(10) 내가 배기된다. 이에 의해, 처리 용기(10) 내를 소정의 진공도로 유지할 수 있다. 처리 용기(10)의 측벽에는 게이트 밸브(G)가 마련되어 있다. 게이트 밸브(G)는 처리 용기(10)로부터 웨이퍼(W)의 반입 및 반출을 실행할 때에 반입·반출구를 개폐한다.
플라즈마 처리 장치(1)에는, 장치 전체의 동작을 제어하는 제어부(100)가 마련되어 있다. 제어부(100)는 CPU(Central Processing Unit)(105), ROM(Read Only Memory)(110) 및 RAM(Random Access Memory)(115)을 갖고 있다. CPU(105)는, 이들 기억 영역에 격납된 각종 레시피에 따라서, 후술되는 에칭 등의 소망의 처리를 실행한다. 레시피에는 에칭 조건 등의 처리 조건에 대한 장치의 제어 정보인 프로세스 시간, 압력(가스의 배기), 고주파 전력이나 전압, 각종 가스 유량, 처리 용기 내 온도(상부 전극 온도, 처리 용기의 측벽 온도, 웨이퍼(W) 온도, 정전 척 온도 등), 칠러(107)로부터 출력되는 냉매의 온도 등이 기재되어 있다. 또한, 이들 프로그램이나 처리 조건을 나타내는 레시피는 하드 디스크나 반도체 메모리에 기억되어도 좋다. 또한, 레시피는, CD-ROM, DVD 등의 가반성의 컴퓨터에 의해 판독 가능한 기억 매체에 수용된 상태에서 소정 위치에 세트되어, 판독되도록 하여도 좋다.
에칭 시에는, 게이트 밸브(G)의 개폐가 제어되고, 웨이퍼(W)가 처리 용기(10)에 반입되며, 탑재대(20)에 탑재된다. 직류 전압원(112)으로부터 척 전극(106a)에 직류 전압(HV)이 인가되는 것에 의해, 쿨롱력에 의해 웨이퍼(W)가 정전 척(106)에 흡착되고, 보지된다.
이어서, 에칭용의 처리 가스, 고주파 전력이 처리 용기(10) 내에 공급되고, 플라즈마가 생성된다. 생성된 플라즈마에 의해 웨이퍼(W)에 플라즈마 에칭이 실시된다. 에칭후, 직류 전압원(112)으로부터 척 전극(106a)에 웨이퍼(W)의 흡착 시에는 플러스 마이너스가 반대의 직류 전압(HV)을 인가하여 웨이퍼(W)의 전하를 제전하고, 웨이퍼(W)를 정전 척(106)으로부터 벗긴다. 게이트 밸브(G)의 개폐가 제어되고, 웨이퍼(W)가 처리 용기(10)로부터 반출된다.
[에칭]
도 2를 참조하여, ArF 레지스트막을 마스크로 하고 실리콘 반사 방지막(Si-ARC)을 CHF3 가스 및 CF4 가스를 이용하여 에칭했을 때의, 선택비 및 소밀 패턴의 관계에 대하여 설명한다. ArF 레지스트막(2)에는, 라인 앤드 스페이스의 패턴이 형성되어 있다. 또한, 이하, 레지스트막에 대한 반사 방지막의 선택비를 「마스크 선택비」라고도 말한다.
도 2의 (a)는 웨이퍼(W) 상의 적층막의 에칭 전의 초기 상태의 일 예를 도시한다. 적층막은 에칭 대상층인 유기층(4)(ODL)과, 유기층(4) 상에 적층된 실리콘 반사 방지막(3)(Si-ARC)과, 실리콘 반사 방지막(3) 상에 적층된 ArF 레지스트막(2)을 갖는다.
도 2의 (b)는 에칭 결과의 일 예를 도시한다. 도 2의 (b)의 좌측은, 사플루오로화 탄소(CF4) 가스 및 플루오로포름(CHF3) 가스의 혼합 가스로 생성한 플라즈마에 의해 실리콘 반사 방지막(3)을 에칭한 결과의 일 예를 도시한다. 도 2의 (b)의 우측은, 실리콘 반사 방지막(3)을 에칭한 후, 질소(N2) 가스 및 수소(H2) 가스의 혼합 가스로 생성한 플라즈마에 의해 유기층(4)을 에칭한 결과의 일 예를 나타낸다.
도 2의 (b)의 좌측에 도시하는 실리콘 반사 방지막(3)의 에칭 결과의 예에서는, CF4 가스에 대한 CHF3 가스의 유량비를 변화시키고 있다. 구체적으로는 상단의 에칭 결과, 중앙의 에칭 결과, 하단의 에칭 결과에서는, 상단의 에칭 결과가 가장 CF4 가스에 대한 CHF3 가스의 유량비가 낮고, 하단의 에칭 결과가 가장 CF4 가스에 대한 CHF3 가스의 유량비가 높다. 그 결과, 하단의 에칭 결과가 가장 마스크 선택비가 높고, 상단에 도시하는 에칭 결과가 가장 마스크 선택비가 낮아진다. 이에 의해, CF4 가스에 대한 CHF3 가스의 유량비를 높일수록, 마스크 선택비를 향상시킬 수 있는 것을 알 수 있다.
그런데, 이 방법에서는, 에칭 시에 ArF 레지스트막(2)에 부착되는 부착물의 양이, ArF 레지스트막(2)이 조밀한 패턴의 경우와 드문드문한 패턴의 경우가 상이하다. 구체적으로는, 드문드문한 패턴의 경우에는 조밀한 패턴의 경우보다, ArF 레지스트막(2)에 부착되는 부착물의 양이 많은 것을 알 수 있다. 보텀 CD(Btm. CD)는 소정막의 에칭이 완료되었을 때의 에칭의 바닥부의 패턴 간의 길이(폭)를 나타낸다. CD Bias의 차분(이하, 「CD BiasΔ」라고도 말함)은, 드문드문한 패턴의 에칭후의 보텀 CD의 초기 상태에서의 차분(1)으로부터, 조밀한 패턴의 에칭후의 보텀 CD의 초기 상태에서의 차분(2)을 뺀 값(=차분(1)-차분(2))으로 나타낸다. CD BiasΔ가 「0」에 가까워질수록, 에칭 형상이 수직이며, 에칭 중의 로딩의 발생이 억제되어 있는 것을 나타낸다.
도 2의 (b)의 결과로부터, CD BiasΔ는, CF4 가스에 대한 CHF3 가스의 유량비를 높일수록 큰 값이 되고, 에칭 형상의 수직성을 담보하지 못하여 에칭 형상을 악화시키는 것을 알 수 있다.
실리콘 반사 방지막(3)의 에칭 결과는, 실리콘 반사 방지막(3)의 하층의 유기층(4)의 에칭에 영향을 미친다. 즉, 도 2의 (b)의 우측에 도시하는 유기층(4)의 에칭에서는, 실리콘 반사 방지막(3)의 에칭에 있어서 CD BiasΔ의 값이 클수록, 유기층(4)의 에칭 결과에서도 에칭 형상의 수직성을 담보할 수 없는 것을 알 수 있다. 즉, 하단의 에칭 결과에서 가장 에칭 형상이 수직으로 형성되어 있지 않다. 이것은, CF4 가스에 대한 CHF3 가스의 유량비가 높을수록, 마스크 선택비를 향상시킬 수 있지만, 에칭 형상을 수직으로 하는 것이 곤란하게 되는 것을 나타낸다.
그래서, 이하에서는, ArF 레지스트막(2)을 마스크로 한 실리콘 반사 방지막(3)의 에칭에 있어서, 마스크 선택비와 에칭 형상의 수직성을 양립시키는 것이 가능한, 제 1 및 제 2 실시형태에 따른 에칭 방법에 대해 설명한다.
<제 1 실시형태>
제 1 실시형태에 따른 에칭 방법은, 예를 들면, 도 1에 도시하는 플라즈마 처리 장치(1)의 처리 용기(10) 내에 있어서, 탑재대(20)에 탑재된 웨이퍼(W)에 대하여 실행되어도 좋다. 제 1 실시형태에 따른 에칭 방법의 일 예에 대해, 도 3을 참조하면서 설명한다. 도 3은 제 1 실시형태에 따른 에칭 처리의 일 예를 도시하는 흐름도이다.
제 1 실시형태에 따른 에칭 방법은, 도 3의 단계 S12 내지 S18로 도시하는 제 1 공정과, 단계 S20 내지 S26으로 도시하는 제 2 공정을 미리 정해진 횟수를 반복하여 실행하는 사이클 에칭이 실행된다. 사이클 수는, 예를 들면 24회, 또는 그 이외의 횟수라도 좋다.
도 3의 사이클 에칭이 개시되면, 제어부(100)는, 사이클 수 Cycle에 「0」을 대입하여, 초기화한다(단계 S10). 다음에, 제어부(100)는, CF4 가스 및 Ar 가스의 혼합 가스를 처리 용기(10) 내에 공급한다(단계 S12). 단, 제 1 공정에서 공급하는 가스는, CF4 가스 및 Ar 가스에 한정되지 않으며, 플루오로카본 가스를 포함하는 처리 가스이면 좋다. 또한, Ar 가스는 제 1 공정에서 공급하는 가스에 포함되지 않아도 좋다.
다음에, 제어부(100)는, 제 1 고주파 전원(32)으로부터 출력되는 제 1 주파수의 제 1 고주파 전력(HF)(플라즈마 발생용 고주파 전력)을 인가한다(단계 S14). 또한, 제어부(100)는, 제 2 고주파 전원(34)으로부터 출력되는 제 2 주파수의 제 2 고주파 전력(LF)(바이어스 전압 발생용의 고주파 전력)의 출력값을 0 W로 한다(단계 S14). 다음에, 제어부(100)는, 가변 직류 전원(70)으로부터 출력되는 직류 전압(DC)을 인가한다(단계 S16). 이상의 단계 S12 내지 S16에 도시하는 에칭 조건 하, ArF 레지스트막(2)을 마스크로 하여 실리콘 반사 방지막(3)이 에칭된다(단계 S18).
이상의 제 1 공정을 실행한 결과의 막 상태를 도 4의 (b-1)에 도시한다. 또한, 도 4의 (b-1)에 도시하는 제 1 공정의 에칭 전의, 웨이퍼(W) 상의 적층막의 구조의 일 예를 도 4의 (a)에 도시한다. 위로부터 순차적으로, ArF 레지스트막(2), 실리콘 반사 방지막(3), 유기층(4), 실리콘 산화막(5), 실리콘 기판(6)의 적층 구조를 나타낸다. ArF 레지스트막(2)은 레지스트막의 일 예이다. 실리콘 반사 방지막(3)은 실리콘 함유 반사 방지막의 일 예이다. 유기층(4)은 피에칭 대상막의 일 예이다.
제 1 공정에서는, CF4 가스를 포함하는 처리 가스가 공급되며, 제 2 고주파 전력(LF)의 출력값은 0 W로 제어된다. 이에 의해, 플라즈마 중의 이온의 웨이퍼(W)측으로의 적극적인 인입은 생기지 않는다. 이 때문에, 제 1 공정에서 생성되는 플라즈마 중의 주로 CF 래디컬이, ArF 레지스트막(2) 및 ArF 레지스트막(2)의 패턴에 의해 개구된 실리콘 반사 방지막(3) 위에 공급되고, 그들 막의 표면에 흡착된다. ArF 레지스트막(2) 상에 CF 래디컬이 흡착되면, ArF 레지스트막(2)의 표면에는, 탄소(C) 간의 공유 결합에 의해 보호막(7)이 생성된다. 한편, ArF 레지스트막(2)의 패턴에 의해 노출된 실리콘 반사 방지막(3)의 표층에서는, Si와 CF 래디컬이 반응하여 SiCF가 형성된다. 실리콘 반사 방지막(3)의 표층이 SiCF로 개질되는 것에 의해, 실리콘 반사 방지막(3)은 물러져, 에칭이 촉진되기 쉬운 상태가 된다.
이상, 제 1 공정에 대하여 설명했지만, 단계 S14에서 제 2 주파수의 제 2 고주파 전력(LF)의 출력값은 반드시 0 W로 할 필요는 없으며, 0에 가까운 수치이면 좋다. 또한, 단계 S16에서 가변 직류 전원(70)으로부터 출력되는 직류 전압(DC)의 인가는 인가되지 않아도 좋다.
도 3으로 되돌아와, 다음에, 상기 상태의 막에 대하여 제 2 공정(단계 S20 내지 S26)이 실행된다. 구체적으로는, 제어부(100)는, Ar 가스의 공급을 계속하고, CF4 가스의 공급을 정지한다(단계 S20). 다음에, 제어부(100)는, 제 1 고주파 전력(HF)을 계속하여 인가하는 동시에, 제 2 고주파 전력(LF)을 인가한다(단계 S22). 다음에, 제어부(100)는 직류 전압(DC)의 인가를 정지한다(단계 S24). 이상의 단계 S20 내지 S26에 도시하는 에칭 조건 하, ArF 레지스트막(2)을 마스크로 하여 실리콘 반사 방지막(3)이 추가로 에칭된다(단계 S26).
이상의 제 2 공정을 실행한 결과의 막 상태를 도 4의 (b-2)에 도시한다. 제 2 공정에서는, CF4 가스의 공급이 정지되고, Ar 가스만이 공급된다. 또한, 제 1 고주파 전력(HF) 뿐만이 아닌 제 2 고주파 전력(LF)이 인가된다. 이에 의해, 제 1 공정에서는 주로 CF 래디컬에 의해 화학적인 에칭이 촉진된 것에 반하여, 제 2 공정에서는 플라즈마 중의 주로 Ar 이온이, 웨이퍼(W)측으로 인입되는 것에 의해, 물리적인 에칭이 촉진된다. 부가하여, 제 1 공정에서, 실리콘 반사 방지막(3)의 표층은 SiCF로 개질되어, 무른 상태로 되어 있다. 이 때문에, 제 2 공정에서의 Ar 이온의 주입에 의해 더욱 에칭이 촉진되어, 실리콘 반사 방지막(3)을 수직으로 에칭할 수 있다.
또한, 제 1 공정에서, ArF 레지스트막(2)에는 탄소 간의 공유 결합에 의해 보호막(7)이 형성되어 있다. 따라서, 제 2 공정에 있어서의 Ar 이온의 주입에 대하여, ArF 레지스트막(2)은 보호막(7)에 의해 보호된다. 이에 의해, ArF 레지스트막(2)에 대한 실리콘 반사 방지막(3)의 마스크 선택비를 높일 수 있다.
도 3으로 되돌아와, 다음에, 제어부(100)는, 사이클수 Cycle에 「1」을 가산하고(단계 S28), 사이클수 Cycle가 소정 횟수를 상회하는지를 판정한다(단계 S30). 예를 들면, 소정 횟수를 24회로 하면, 이 시점에서 사이클수 Cycle은 「1」이기 때문에, 제어부(100)는, 단계 S30에서 「No」라고 판정하고, 단계 S12로 되돌아와, 2 사이클째의 제 1 공정 및 제 2 공정의 에칭을 실행한다. 제 1 공정 및 제 2 공정의 에칭을 소정 횟수 반복하여 실행한 후, 제어부(100)는 본 처리를 종료한다. 또한, 도 4의 (c)에 도시하는 바와 같이, 본 처리의 종료 후, N2 가스 및 H2 가스가 처리 용기(10) 내에 공급되고, 실리콘 반사 방지막(3)의 하층의 유기층(4)이 에칭된다.
이상에 설명한 바와 같이, 제 1 실시형태에 따른 에칭 방법에서는, 제 1 공정 및 제 2 공정을 반복하여 실행하는 사이클 에칭을 실행하는 것에 의해, 마스크 선택비를 높이고, 또한 실리콘 반사 방지막(3)을 수직으로 에칭할 수 있다. 이에 의해, 실리콘 반사 방지막(3)의 하층의 유기층(4)을 수직으로 에칭할 수 있다.
또한, 본 실시형태에서는, 제 1 공정에 있어서 가변 직류 전원(70)으로부터 직류 전압(DC)을 인가하는 것에 의해, 상부 전극인 가스 샤워 헤드(25)에 CF 이온이 인입된다. 그 결과, 가스 샤워 헤드(25)를 구성하는 실리콘이 두드려져 ArF 레지스트막(2)에 형성되는 보호막(7) 내에 혼입된다. 이에 의해, ArF 레지스트막(2)의 보호막(7)에 의한 플라즈마 내성을 높여, 마스크 선택비의 향상과 에칭의 수직성을 더욱 높이는 효과를 기대할 수 있다.
또한, 도 5에, 제 1 실시형태에 따른 에칭 처리의 결과의 일 예를 도시한다. 도 5의 좌측은 비교예에 따른 에칭 결과의 일 예를 도시하며, 도 5의 우측은 제 1 실시형태에 따른 에칭 결과의 일 예를 도시한다. 비교예에 따른 에칭의 조건에 대하여, 제 1 실시형태에 따른 에칭의 조건과 비교하여 이하에 나타낸다.
(제 1 실시형태의 에칭 조건)
· 사이클 에칭(사이클 수 24회)
· 제 1 공정
HF 100 W
LF 0 W
DC 인가한다
가스 CF4, Ar
· 제 2 공정
HF 100 W
LF 30 W
DC 인가하지 않는다
가스 Ar
(비교예의 에칭 조건)
· 사이클 에칭이 아니다
HF 400 W
LF 100 W
DC 인가하지 않는다
가스 CF4
도 5에는, ArF 레지스트막(2)의 패턴이 라인 앤드 스페이스(조밀한 패턴 1:1, 드문드문한 패턴 1:5)의 경우의 에칭 결과에 대하여, 실리콘 반사 방지막(3)을 에칭한 후(상단)와, 유기층(4)을 에칭한 후(하단)의 결과를 도시한다.
우선, 실리콘 반사 방지막(3)을 에칭한 후의 결과에 대하여 고찰한다. 도 5의 상단에 도시하는 결과로부터, 제 1 실시형태에 따른 에칭 결과(우측)는, 비교예에 따른 에칭 결과(좌측)와 비교하여, 조밀한 패턴 및 드문드문한 패턴의 어느 것에 있어서도, ArF 레지스트막(2)의 잔막이 3~4배로 되어 있다. 즉, 제 1 실시형태에 따른 에칭 방법에 의해, 마스크 선택비가 향상되어 있는 것을 알 수 있다.
다음에, 유기층(4)을 에칭한 후의 결과에 대하여 고찰한다. 도 5의 하단에 도시하는 결과로부터, 제 1 실시형태에 따른 에칭 결과(우측)는, 비교예에 따른 에칭 결과(좌측)와 비교하여, CD BiasΔ가 「0」에 가까워져, 에칭 형상의 수직성이 향상되어 있는 것을 알 수 있다.
이 결과로부터, 제 1 실시형태에 따른 에칭 방법에서는, 상기 에칭 조건 하의 제 1 공정 및 제 2 공정을 순환적으로 실행하는 것에 의해, 마스크 선택비를 향상시키면서, 피에칭 대상막의 에칭 형상을 수직으로 할 수 있는 것이 실증되었다.
<제 2 실시형태>
다음에, 제 2 실시형태에 따른 에칭 방법에 대하여 설명한다. 제 2 실시형태에 따른 에칭 방법은, 제 2 실시형태에 따른 에칭 방법과 마찬가지로, 예를 들면, 도 1에 도시하는 플라즈마 처리 장치(1)의 처리 용기(10) 내에서, 탑재대(20)에 탑재된 웨이퍼(W)에 대하여 실행되어도 좋다.
제 2 실시형태에 따른 에칭 방법의 일 예에 대하여, 도 6을 참조하면서 설명한다. 도 6은 제 2 실시형태에 따른 에칭 처리의 일 예를 도시하는 흐름도이다. 제 2 실시형태에 따른 에칭 방법의 제 1 공정(단계 S12 내지 S18)은 제 1 실시형태에 따른 에칭 방법(도 3)의 제 1 공정의 단계 S12 내지 S18과 동일한 단계이기 때문에, 여기에서는 설명을 생략한다. 또한, 제 2 실시형태에 따른 에칭 방법의 제 3 공정(단계 S20 내지 S26)은 제 1 실시형태에 따른 에칭 방법의 제 2 공정 단계 S20 내지 S26과 동일한 단계이기 때문에, 여기에서는 설명을 생략한다. 또한, 단계 S10, S28, S30에 도시하는 사이클 횟수의 판정 처리도 제 1 실시형태와 마찬가지이며, 본 실시형태에 따른 에칭 처리는 복수 공정의 에칭이 반복하여 실행되는 사이클 에칭이다.
제 2 실시형태에 따른 에칭 처리가 제 1 실시형태에 따른 에칭 처리와 상이한 점은, 제 2 실시형태에 따른 에칭 처리에서는, 제 1 공정과 제 3 공정의 사이에 제 2 공정이 마련되어 있는 점이다. 제어부(100)는, 제 2 공정에 있어서, CF4 가스의 공급을 정지하고, 질소(N2) 가스 및 Ar 가스를 공급한다(단계 S40). 이 상태에서, 실리콘 반사 방지막(3)이 에칭된다(단계 S42). 이에 의해, 플라즈마 중의 주로 N2 래디컬에 의해 ArF 레지스트막(2)의 표면을 질소(N)로 트리트먼트하는 것에 의해, 또한 마스크 선택비를 향상시키면서, 피에칭 대상막의 에칭 형상을 수직으로 할 수 있다.
예를 들면, 도 7에 도시하는 바와 같이, 제 2 실시형태에 따른 에칭 방법에서는, 도 7의 (b-1:제 1 공정)과 도 7의 (b-3:제 3 공정)이, 제 1 실시형태에 따른 에칭 방법을 설명하기 위한 도 5의 (b-1)과 도 5의 (b-2)에 해당한다.
또한, 제 2 실시형태에 따른 에칭 방법에서는, 도 7의 (b-1:제 1 공정)과 도 7의 (b-3:제 3 공정)의 사이에, 도 7의 (b-2)에서 도시하는 제 2 공정이 실행된다. 제 2 공정에서는, 플라즈마 중의 주로 N2 래디컬에 의해 ArF 레지스트막(2)의 표면이 질소(N)로 트리트먼트된다. 이에 의해, 도 7의 (b-3)의 제 3 공정에 있어서, Ar 이온의 인입에 의해 에칭을 촉진시킬 때에, ArF 레지스트막(2)의 에칭을 억제할 수 있어서, 에칭 형상의 수직성과 마스크 선택비의 향상을 더욱 높일 수 있다.
도 8에, 제 2 실시형태에 따른 에칭 처리의 결과의 일 예를 도시한다. 도 8의 좌측은 제 1 실시형태에 따른 에칭 결과의 일 예를 도시하고, 도 8의 우측은 제 2 실시형태에 따른 에칭 결과의 일 예를 도시한다. 제 2 실시형태에 따른 에칭의 조건에 대하여, 제 1 실시형태에 따른 에칭의 조건과 비교하고 이하에 나타낸다.
(제 2 실시형태의 에칭 조건)
· 사이클 에칭(사이클 수 24회)
· 제 1 공정
HF 100 W
LF 0 W
DC 인가한다
가스 CF4, Ar
· 제 2 공정
HF 100 W
LF 0 W
DC 인가한다
가스 N2, Ar
· 제 3 공정
HF 100 W
LF3 0 W
DC 인가하지 않는다
가스 Ar
(제 1 실시형태의 에칭 조건)
· 사이클 에칭(사이클수 24회)
· 제 1 공정
HF 100 W
LF 0 W
DC 인가한다
가스 CF4, Ar
· 제 2 공정
HF 100 W
LF 30 W
DC 인가하지 않는다
가스 Ar
도 8에는, ArF 레지스트막(2)의 패턴이 라인 앤드 스페이스(조밀한 패턴 1:1 및 드문드문한 패턴 1:5)의 경우의 에칭 결과에 대하여, 유기층(4)을 에칭한 후의 결과를 도시한다.
이에 의하면, 제 2 실시형태에 따른 에칭 결과(우측)는, 제 1 실시형태에 따른 에칭 결과(좌측)와 비교하여, 더욱 CD BiasΔ가 「0」에 가까워지고, 에칭 형상의 수직성의 향상이 더욱 도모되어 있는 것을 알 수 있다.
이 결과로부터, 제 2 실시형태에 따른 에칭 방법에서는, 제 1 실시형태에 따른 에칭 방법의 제 1 공정과 제 2 공정(본 실시형태에서는 제 1 공정과 제 3 공정)에 N 플라즈마에 의해 트리트먼트하는 제 2 공정을 삽입하는 것에 의해, 피에칭 대상막의 에칭 형상의 수직성을 더욱 향상시킬 수 있다.
이상에 설명한 바와 같이, 상기 각 실시형태의 에칭 방법에 의하면, 마스크 선택비의 향상과, 피에칭 대상막의 에칭 형상의 수직성을 양립 가능한 에칭을 제공할 수 있다.
부가하여, 제 2 실시형태에 따른 에칭 방법에 의하면, 제 2 공정에서 N 플라즈마에 의한 트리트먼트의 효과에 의해, ArF 레지스트막(2)이 소밀의 패턴의 어느 것에 있어서도, ArF 레지스트막(2)에 부착되는 부착물을 동등한 양으로 제어할 수 있다. 이에 의해, CD BiasΔ를 「0」에 가깝게 하여, 에칭 형상의 수직성을 향상시킬 수 있다. 그 결과, 더욱 마스크 선택비와 피에칭 대상막의 에칭 형상의 수직성을 높일 수 있다.
또한, 제 1 실시형태 및 제 2 실시형태에서는, 에칭 가스의 일 예로서 CF4 가스를 이용했지만, CF4 가스와 동등의 산화막 에칭 특성을 갖는 플루오로카본 가스라도 좋다.
또한, 제 1 실시형태 및 제 2 실시형태에서는, 불활성 가스의 일 예로서 Ar 가스가 공급되었다. 그렇지만, 불활성 가스는 Ar 가스에 한정하지 않으며, 헬륨(He) 가스라도 좋다.
또한, 제 2 실시형태의 제 2 공정에서는, 질소(N2) 가스가 공급되었지만, N2 가스에 한정하지 않고, 질소 함유 가스를 포함하는 처리 가스를 공급하면 좋다. 질소 함유 가스를 포함하는 처리 가스의 다른 예로서는, 암모늄(NH3) 가스를 예로 들 수 있다.
또한, 실리콘 함유 반사 방지막은 실리콘 반사 방지막(3)에 한정하지 않으며, 실리콘을 함유하는 반사 방지막이면 좋다.
또한, 레지스트막은 ArF 레지스트막(2)에 한정하지 않으며, EUV 레지스트막이어도 좋다.
이상, 에칭 방법을 상기 실시형태에 의해 설명했지만, 본 발명에 따른 에칭 방법은 상기 실시형태에 한정되는 것이 아니며, 본 발명의 범위 내에서 여러 가지의 변형 및 개량이 가능하다. 상기 복수의 실시형태에 기재된 사항은 모순되지 않는 범위에서 조합할 수 있다.
예를 들면, 본 발명에 따른 에칭 방법은, 도 1에 도시하는 용량 결합형 플라즈마(CCP:Capacitively Coupled Plasma) 장치 뿐만이 아니라, 그 이외의 플라즈마 처리 장치에 적용 가능하다. 그 이외의 플라즈마 처리 장치로서는, 유도 결합형 플라즈마(ICP:Inductively Coupled Plasma), 래디얼 라인 슬롯 안테나를 이용한 플라즈마 처리 장치, 헬리콘파 여기형 플라즈마(HWP:Helicon Wave Plasma) 장치, 전자 사이클로트론 공명 플라즈마(ECR:Electron Cyclotron Resonance Plasma) 장치 등이어도 좋다.
본 명세서에서는, 피처리체의 일 예로서 반도체 웨이퍼(W)에 대해 설명했지만, LCD(Liquid Crystal Display), FPD(Flat Panel Display) 등에 이용되는 각종 기판이나, 포토 마스크, CD 기판, 프린트 기판 등이어도 좋다.
1 : 플라즈마 처리 장치
2 : ArF 레지스트막
3 : 실리콘 반사 방지막
4 : 유기층
7 : 보호막
10 : 처리 용기
20 : 탑재대(하부 전극)
25 : 가스 샤워 헤드(상부 전극)
30 : 전력 공급 장치
32 : 제 1 고주파 전원
34 : 제 2 고주파 전원
70 : 가변 직류 전원
100 : 제어부
106 : 정전 척

Claims (10)

  1. 피처리체에 대한 플라즈마 처리에 의해, 실리콘 함유 반사 방지막을 레지스트막의 패턴으로 에칭하는 에칭 방법에 있어서,
    피처리체는, 에칭 대상층과, 상기 에칭 대상층의 위에 적층된 상기 실리콘 함유 반사 방지막과, 상기 실리콘 함유 반사 방지막의 위에 적층된 상기 레지스트막을 갖고,
    피처리체를 수용한 처리 용기 내에 있어서 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 1 공정과,
    피처리체를 수용한 상기 처리 용기 내에 있어서 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 2 공정을 갖고,
    상기 제 1 공정 및 상기 제 2 공정을 반복하여 실행하는
    에칭 방법.
  2. 피처리체에 대한 플라즈마 처리에 의해, 실리콘 함유 반사 방지막을 레지스트막의 패턴으로 에칭하는 에칭 방법에 있어서,
    피처리체는, 에칭 대상층과, 상기 에칭 대상층의 위에 적층된 상기 실리콘 함유 반사 방지막과, 상기 실리콘 함유 반사 방지막의 위에 적층된 상기 레지스트막을 갖고,
    피처리체를 수용한 처리 용기 내에 있어서 플루오로카본 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 1 공정과,
    피처리체를 수용한 상기 처리 용기 내에서 질소 함유 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 2 공정과,
    피처리체를 수용한 상기 처리 용기 내에서 불활성 가스를 포함하는 처리 가스의 플라즈마를 생성하는 제 3 공정을 갖고,
    상기 제 1 공정, 상기 제 2 공정 및 상기 제 3 공정을 반복하여 실행하는
    에칭 방법.
  3. 제 1 항에 있어서,
    상기 제 1 공정은 바이어스 전압 발생용의 고주파 전력을 인가하지 않고 실행되는
    에칭 방법.
  4. 제 1 항 또는 제 3 항에 있어서,
    상기 제 1 공정은 직류 전압을 인가하여 실행되는
    에칭 방법.
  5. 제 2 항에 있어서,
    상기 제 1 공정 및 상기 제 2 공정은 바이어스 전압 발생용의 고주파 전력을 인가하지 않고 실행되는
    에칭 방법.
  6. 제 2 항 또는 제 5 항에 있어서,
    상기 제 1 공정 및 상기 제 2 공정은 직류 전압을 인가하여 실행되는
    에칭 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 레지스트막은 EUV 레지스트막 또는 ArF 레지스트막인
    에칭 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 불활성 가스를 포함하는 처리 가스는 He 가스 또는 Ar 가스를 포함하는
    에칭 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 플루오로카본 가스를 포함하는 처리 가스는 CF4 가스를 포함하는
    에칭 방법.
  10. 제 2 항, 제 5 항 및 제 6 항 중 어느 한 항에 있어서,
    상기 질소 함유 가스를 포함하는 처리 가스는 N2 가스 또는 NH3 가스를 포함하는
    에칭 방법.
KR1020160151007A 2015-11-16 2016-11-14 에칭 방법 KR20170057146A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2015-223867 2015-11-16
JP2015223867A JP2017092376A (ja) 2015-11-16 2015-11-16 エッチング方法

Publications (1)

Publication Number Publication Date
KR20170057146A true KR20170057146A (ko) 2017-05-24

Family

ID=58691353

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160151007A KR20170057146A (ko) 2015-11-16 2016-11-14 에칭 방법

Country Status (4)

Country Link
US (1) US10224211B2 (ko)
JP (1) JP2017092376A (ko)
KR (1) KR20170057146A (ko)
TW (1) TWI703414B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210104637A (ko) * 2020-02-10 2021-08-25 주식회사 히타치하이테크 플라스마 처리 방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6557588B2 (ja) 2015-12-04 2019-08-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6925202B2 (ja) * 2017-08-30 2021-08-25 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102487054B1 (ko) * 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
JP7123287B1 (ja) * 2020-09-18 2022-08-22 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、基板処理システム、及びプログラム

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003244166A1 (en) 2002-06-27 2004-01-19 Tokyo Electron Limited Plasma processing method
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
JP5606060B2 (ja) 2009-12-24 2014-10-15 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
US8263496B1 (en) * 2011-04-12 2012-09-11 Tokyo Electron Limited Etching method for preparing a stepped structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210104637A (ko) * 2020-02-10 2021-08-25 주식회사 히타치하이테크 플라스마 처리 방법
US11887814B2 (en) 2020-02-10 2024-01-30 Hitachi High-Tech Corporation Plasma processing method

Also Published As

Publication number Publication date
TW201729015A (zh) 2017-08-16
TWI703414B (zh) 2020-09-01
US10224211B2 (en) 2019-03-05
US20170140923A1 (en) 2017-05-18
JP2017092376A (ja) 2017-05-25

Similar Documents

Publication Publication Date Title
TWI743072B (zh) 蝕刻方法及蝕刻裝置
CN110246760B (zh) 蚀刻方法
JP6604911B2 (ja) エッチング処理方法
JP6587580B2 (ja) エッチング処理方法
KR20170057146A (ko) 에칭 방법
TWI686863B (zh) 蝕刻有機膜之方法
US10867777B2 (en) Plasma processing method and plasma processing apparatus
US20200168468A1 (en) Etching method and substrate processing apparatus
US10651077B2 (en) Etching method
JP2023053351A (ja) プラズマ処理装置
KR102130229B1 (ko) 에칭 방법
KR102662180B1 (ko) 에칭 방법
US11380545B2 (en) Processing method and substrate processing apparatus
TW202018806A (zh) 蝕刻方法及基板處理裝置
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal