KR20160140423A - 반도체 소자의 제조 방법 - Google Patents

반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR20160140423A
KR20160140423A KR1020160063384A KR20160063384A KR20160140423A KR 20160140423 A KR20160140423 A KR 20160140423A KR 1020160063384 A KR1020160063384 A KR 1020160063384A KR 20160063384 A KR20160063384 A KR 20160063384A KR 20160140423 A KR20160140423 A KR 20160140423A
Authority
KR
South Korea
Prior art keywords
plasma
doping
substrate
semiconductor device
manufacturing
Prior art date
Application number
KR1020160063384A
Other languages
English (en)
Inventor
히로카즈 우에다
히데노리 미요시
마사히로 오카
겐지 나카무리
유키 고바야시
야스히로 스기모토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160140423A publication Critical patent/KR20160140423A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32293Microwave generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3245Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Thin Film Transistor (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Abstract

본 발명은 게르마늄 또는 III-V족을 포함하는 피처리 기판을 이용하여 고품질의 PN 접합을 수반하는 반도체 소자를 제조하는 것을 목적으로 한다.
피처리 기판에 도펀트를 주입하여 반도체 소자를 제조하는 방법이다. 마이크로파를 이용하여 처리 용기 내에 고주파 플라즈마를 발생시킨다. 발생시킨 고주파 플라즈마를 이용하여, 처리 용기 내의 유지대에 유지된 게르마늄을 포함하는 피처리 기판에 대해 플라즈마 도핑 처리를 행한다.

Description

반도체 소자의 제조 방법{SEMICONDUCTOR ELEMENT MANUFACTURING METHOD}
본 발명은 반도체 소자의 제조 방법에 관한 것이다.
LSI(Large Scale Integrated circuit)나 MOS(Metal Oxide Semiconductor) 트랜지스터 등의 반도체 소자는, 피처리 기판이 되는 반도체 기판(웨이퍼)에 대해, 도핑, 에칭, CVD(Chemical Vapor Deposition), 스퍼터링 등의 처리를 실시하여 제조된다.
여기서, 도핑을 행하는 수법으로서, 이온 주입 장치를 이용한 도핑인 이온 도핑이 있으며, 직접 플라즈마를 이용하여 도펀트의 라디칼이나 이온을 피처리 대상물의 표면에 주입하는 것을 특징으로 한 플라즈마 도핑 수법이 있다. 또한, 최근 3차원 구조를 갖는 Fin형 FET(Fin Field Effect Transister)형 반도체 소자와 같은 도핑 피대상물에 대해, 입체적인 구조물의 요철 부위에 관계없이 균일하게 도펀트 불순물을 주입하는 방법(컨포멀 도핑)의 요구가 매우 강해졌기 때문에, 플라즈마를 이용한 도핑 수법이 다수 시도되어, 보고되어 있다.
또한 한편, 최근 실리콘 기판을 이용한 미세화나 고속화가 거의 한계가 되고 있다. 이 때문에, 피처리 기판으로서 실리콘을 대신하여 실리콘보다 전기적 특성이 우수한 재료를 이용하는 시도가 활발해지고 있다. 예컨대, 실리콘보다 캐리어 이동도가 높은 게르마늄(Ge)이나, 인듐 등의 III-V족이 반도체 소자의 기판 재료로서 검토되고 있다.
[비특허문헌 1] K. Han*, S. Tang, T. Rockwell, L. Godet, H. Persing, C. Campbell, S. Salimian, Junction Technology(IWJT), 2012 12th International Workshop on, Date 14-15 May 2012, IEEE [비특허문헌 2] Y. Sasaki, L. Godet1, T. Chiarella, D. P. Brunco2, T. Rockwell1, J. W. Lee, B. Colombeau1, M. Togo, S. A. Chew, G. Zschaetszch, K. B. Noh3, A. De Keersgieter, G. Boccardi, M. S. Kim, G. Hellings, P. Martin1, W. Vandervorst, A. Thean, and N. Horiguchi, "Improved Sidewall Doping of Extensions by AsH3 Ion Assisted Deposition and Doping(IADD) with Small Implant Angle for Scaled NMOS Si Bulk FinFETs", proceeding IEDM 2013, IEEE [비특허문헌 3] Janssens et al., IVST B24, 510(2006) [비특허문헌 4] Impellizzeri et al., J. Appl. Phys. 106, 013518(2009) [비특허문헌 5] H. Ueda, P. L. G. Ventzek, M. Oka, M. Horigome, Y. Kobayashi, Y. Sugimoto, T. Nozawa, and S. Kawakami, "Conformal doping of topographic silicon structures using a radial line slot antenna plasma source" J. Appl. Phys. 115, 214904(2014) [비특허문헌 6] H. Miyoshi et al., JJAP 55(2016) 04EB05. [비특허문헌 7] S. Brotzmann et al., JAP 103(2009), 033508. [비특허문헌 8] C. O. Chui et al., APL 83(2003) p.3275.
그러나, 비특허문헌 3에 나타내는 바와 같이, 예컨대 게르마늄을 피처리 기판으로서 이용한 경우, 종래 실리콘 기판에 실시하고 있던 처리 조건과 동일한 처리 조건으로 이온 주입이나 어닐링을 실시하면, 심한 표면 거칠음이 발생한다. 이 때문에, 종래의 실리콘 기판에 이용하고 있던 처리와 동일한 처리를 게르마늄이나 III-V족에 이용하면, 표면이 매끄러운 제품을 제조할 수 없다.
그래서, 비특허문헌 4가 나타내는 바와 같이, 표면 거칠음을 억제하기 위해서, 게르마늄 기판 상에 이산화실리콘을 퇴적하는 기술이나, 이온 주입시에 웨이퍼를 액체 질소로 냉각하여 표면 거칠음을 억제하는 기술이 제안되어 있다.
그러나, 이들 방법은 프로세스( 공정)를 구성하는 데 있어서 큰 제약을 강요하는 수법이며, 적응시키는 경우에는 새로운 과제를 발생시킬 우려가 있다. 예컨대, 비특허문헌 4가 나타내는 바와 같은 게르마늄 기판 상에 이산화실리콘을 퇴적하는 방법은, 게르마늄 기판 중에 원소를 얕게 주입하고 싶은 경우에는 사용할 수 없다. 또한, 이온 주입시에 웨이퍼를 액체 질소로 냉각하는 방법은, 웨이퍼 표면의 결로의 대책 등이 필요하기 때문에, LSI 제조 프로세스용으로 웨이퍼 기판 재료를 냉각하면서 이온 주입을 실시할 수 있는 설비의 구축은 용이하지 않다.
또한, 게르마늄을 피처리 기판으로 한 경우, 기판 내의 n형 불순물의 활성화 농도가 낮아지는 것이 알려져 있다. 또한, 불순물의 불활성화는 특히 n형 불순물에 있어서 현저하지만, p형 불순물에 대해서도, 기판 표면 부근에서 불순물 상실이 발생한다.
또한, 실리콘 기판의 경우와 마찬가지로, 게르마늄을 피처리 기판에 이용한 경우도, 3차원 구조를 갖는 FinFET형 반도체 소자와 같은 형상에 대해서는, 컨포멀 도핑을 달성하는 것이 어렵다. 이에 대해서는, 비특허문헌 5에 상세한 설명이 있다.
개시하는 반도체 소자의 제조 방법은, 하나의 실시양태에 있어서, 피처리 기판에 도펀트를 주입하여 반도체 소자를 제조하는 방법으로서, 마이크로파를 이용하여 처리 용기 내에 고주파 플라즈마를 발생시킴으로써, 처리 용기 내의 유지대에 유지된 게르마늄을 포함하는 피처리 기판에 대해 플라즈마 도핑 처리를 행하는 플라즈마 도핑 처리 공정을 포함한다.
개시하는 반도체 소자의 제조 방법의 하나의 실시양태에 의하면, 피처리 기판에 게르마늄이나 III-V족이 포함되는 경우라도 고품질의 PN 접합을 수반하는 반도체 소자를 제조할 수 있다고 하는 효과를 나타낸다.
도 1은 제1 실시형태에 따른 도핑 장치의 주요부를 도시한 개략 단면도이다.
도 2는 도 1에 도시된 도핑 장치에 포함되는 슬롯 안테나판을, 도 1 중의 화살표 III 방향에서 본 개략도이다.
도 3은 제1 실시형태에 따른 반도체 소자의 제조 방법의 처리의 흐름의 일례를 도시한 플로우차트이다.
도 4는 제1 실시형태에 따른 반도체 소자의 제조 방법을 이용하여 게르마늄 기판에 비소를 도핑했을 때에 얻어지는 SIMS 프로파일의 일례를 도시한 도면이다.
도 5는 제1 실시형태에 따른 반도체 소자의 제조 방법을 이용한 경우의 도펀트의 어닐링 온도에 대한 확산 계수를 플롯한 도면이다.
도 6은 제1 실시형태에 따른 반도체 소자의 제조 방법을 이용하여 어닐링 처리를 실시한 경우의, 어닐링 온도에 대한 시트 저항값을 4탐침법 및 홀 효과 측정법으로 검증한 결과를 도시한 도면이다.
이하에, 개시하는 반도체 소자의 제조 방법의 실시형태에 대해, 도면에 기초하여 상세히 설명한다. 한편, 본 실시형태에 의해 개시하는 발명이 한정되는 것은 아니다. 실시형태는 처리 내용을 모순시키지 않는 범위에서 적절히 조합하는 것이 가능하다.
이하에 설명하는 실시형태에 따른 마이크로파를 이용한 플라즈마 도핑은, 도펀트의 주입 원리가 상이한 수법 즉 도펀트를 이온화하여 주입하는 수법에 대해, 전혀 새로운 피대상 샘플에의 도펀트의 주입 원리를 제안하는 것이다.
본 실시형태에 따른 플라즈마 도핑에서는, 적극적으로 저에너지 이온(1 eV∼2 eV) 또는 도펀트의 라디칼 성분을 고압 조건하(100 mTorr 이상)에서 이용한다. 마이크로파 플라즈마는 ICP(Inductively Coupled Plasma, 유도 결합 플라즈마) 등을 이용하는 수법과 비교하여, 동일 압력 조건하에서 생성할 수 있는 플라즈마 중의 전자 온도를 약 절반으로 할 수 있다. 또한, 마이크로파 플라즈마는 플라즈마 중의 전자 밀도를 짙게 생성할 수 있다. 이 때문에, 상기와 같은 고압 조건하에서의 플라즈마 도핑 처리가 가능하다. 이에 비해, 다른 플라즈마원(예컨대 ICP) 등에서는, 피처리 기판에 대한 손상을 억제하기 위해서는 저압(100 mTorr 이하)을 선택할 수밖에 없으며, 이 조건에서는, 특히 비소와 같은 질량이 큰 원소를 요철을 갖는 기판에 저손상으로 집어넣을 수 없다고 생각되고 있었다.
이하에 설명하는 실시형태에 있어서는, 마이크로파를 이용한 플라즈마 도핑을 이용함으로써, 비소 등의 질량이 큰 원소라도 요철을 갖는 기판에 저손상으로 집어넣는 것을 가능하게 한다. 또한, 2단계 어닐링을 실시함으로써 요철을 갖는 기판에 대해서도 컨포멀 도핑을 달성한다.
(제1 실시형태)
제1 실시형태에 따른 반도체 소자의 제조 방법은, 피처리 기판에 도펀트를 주입하여 반도체 소자를 제조하는 방법으로서, 마이크로파를 이용하여 처리 용기 내에 고주파 플라즈마를 발생시킴으로써, 처리 용기 내의 유지대에 유지된 게르마늄을 포함하는 피처리 기판에 대해 플라즈마 도핑 처리를 행하는 플라즈마 도핑 처리 공정을 포함한다.
또한, 제1 실시형태에 따른 반도체 소자의 제조 방법에 있어서, 플라즈마 도핑 처리 공정은 고주파 플라즈마로서 표면파 플라즈마를 발생시킨다.
또한, 제1 실시형태에 따른 반도체 소자의 제조 방법은 플라즈마 도핑 처리가 행해진 피처리 기판에 대해 어닐링 처리를 행하는 어닐링 처리 공정을 더 포함한다.
또한, 제1 실시형태에 따른 반도체 소자의 제조 방법은 어닐링 처리 공정에 있어서, 중간적 어닐링 처리를 실행한 후, 피처리 기판 내의 불순물을 활성화하기 위한 활성화 어닐링 처리를 실행한다.
또한, 제1 실시형태에 따른 반도체 소자의 제조 방법에 있어서, 중간적 어닐링 처리는 300℃ 이상 650℃ 이하의 온도에서 실시한다.
또한, 제1 실시형태에 따른 반도체 소자의 제조 방법에 있어서, 활성화 어닐링 처리는 약 650℃ 이상 800℃ 이하의 온도에서 실시한다.
제1 실시형태에 따른 반도체 소자의 제조 방법에 있어서는, 피처리 기판에 대해 플라즈마 도핑을 실시한다. 예컨대, 제1 실시형태에 따른 반도체 소자의 제조 방법에 있어서, 도핑 장치는 마이크로파를 이용하여 고주파 플라즈마를 생성한다. 또한 예컨대, 제1 실시형태에 따른 반도체 소자의 제조 방법에 있어서, 도핑 장치는 마이크로파를 이용하여 표면파 플라즈마를 생성한다. 또한 예컨대, 제1 실시형태에 따른 반도체 소자의 제조 방법에 있어서, 도핑 장치는 플라즈마 도핑에 의해, 게르마늄을 포함하는 피처리 기판에 소정의 도펀트를 집어넣는다. 피처리 기판에 대한 손상이 적은 플라즈마 도핑을 사용함으로써, 표면 거칠음을 발생시키기 쉬운 게르마늄을 포함하는 피처리 기판의 표면 거칠음을 억제한다.
제1 실시형태에서는, 피처리 기판으로서 게르마늄을 포함하는 기판을 이용한다. 예컨대 실리콘게르마늄(SiGe) 기판을 이용한다. 또한, 게르마늄과 마찬가지로 종래의 이온 주입 등의 수법에서는 표면 거칠음을 발생시키기 쉬운 다른 재료도 마찬가지로 이용할 수 있다. 예컨대, III-V족 반도체 등의 재료를 이용해도 좋다. III족 원소로서는, 알루미늄(Al), 갈륨(Ga), 인듐(In) 등을 들 수 있다. 또한, V족 원소로서는, 질소(N), 인(P), 비소(As), 안티몬(Sb) 등을 들 수 있다. III-V족 반도체는 예컨대, 비화갈륨(GaAs), 인화인듐(InP), 질화갈륨(GaN) 등을 들 수 있다.
또한, 제1 실시형태에 따른 반도체 소자의 제조 방법에서는, 플라즈마 도핑을 실시한 후, 피처리 기판에 대해 2단계 어닐링 처리를 실시함으로써, 컨포멀 도핑을 실현한다. 2단계 어닐링 처리에서는, 컨포멀 도핑을 달성하기 위한 중간적 어닐링 처리를 실행한 후에, 도핑된 불순물의 활성화를 목적으로 하는 활성화 어닐링 처리를 별도로 행한다. 2단계 어닐링 처리의 상세한 것은 후술한다.
(제1 실시형태에 따른 도핑 장치)
도 1은 제1 실시형태에 따른 도핑 장치의 주요부를 도시한 개략 단면도이다. 또한, 도 2는 도 1에 도시된 도핑 장치에 포함되는 슬롯 안테나판을 하방측, 즉, 도 1 중의 화살표 III 방향에서 본 도면이다. 한편, 도 1에 있어서, 이해의 용이의 관점에서, 부재의 일부의 해칭을 생략하고 있다. 또한, 이 실시형태에서는, 도 1에 있어서의 지면(紙面) 상하 방향을, 도핑 장치에 있어서의 상하 방향으로 하고 있다.
도 1 및 도 2를 참조하여, 도핑 장치(31)는 그 내부에서 피처리 기판(W)에 도핑을 행하는 처리 용기(32)와, 처리 용기(32) 내에 플라즈마 여기용의 가스나, 도핑 가스를 공급하는 가스 공급부(33)와, 그 위에서 피처리 기판(W)을 유지하는 원판형의 유지대(34)와, 마이크로파를 이용하여 처리 용기(32) 내에 플라즈마를 발생시키는 플라즈마 발생 기구(39)와, 처리 용기(32) 내의 압력을 조정하는 압력 조정 기구와, 유지대(34)에 교류의 바이어스 전력을 공급하는 바이어스 전력 공급 기구와, 도핑 장치(31) 전체의 동작을 제어하는 제어부(28)를 구비한다. 제어부(28)는 가스 공급부(33)에 있어서의 가스 유량, 처리 용기(32) 내의 압력, 유지대(34)에 공급되는 바이어스 전력 등, 도핑 장치(31) 전체의 제어를 행한다.
처리 용기(32)는 유지대(34)의 하방측에 위치하는 바닥부(41)와, 바닥부(41)의 외주로부터 상방향으로 연장되는 측벽(42)을 포함한다. 측벽(42)은 대략 원통형이다. 처리 용기(32)의 바닥부(41)에는, 그 일부를 관통하도록 배기용의 배기 구멍(43)이 형성되어 있다. 처리 용기(32)의 상부측은 개구되어 있고, 처리 용기(32)의 상부측에 배치되는 덮개부(44), 후술하는 유전체창(36), 및 유전체창(36)과 덮개부(44) 사이에 개재하는 시일 부재로서의 O링(45)에 의해, 처리 용기(32)는 밀봉 가능하게 구성되어 있다.
가스 공급부(33)는 피처리 기판(W)의 중앙을 향해 가스를 내뿜는 제1 가스 공급부(46)와, 피처리 기판(W)의 외측으로부터 가스를 내뿜는 제2 가스 공급부(47)를 포함한다. 제1 가스 공급부(46)에 있어서 가스를 공급하는 가스 공급 구멍(30)은 유전체창(36)의 직경 방향 중앙이며, 유지대(34)와 대향하는 대향면이 되는 유전체창(36)의 하면(48)보다 유전체창(36)의 내방측으로 후퇴한 위치에 형성되어 있다. 제1 가스 공급부(46)는 제1 가스 공급부(46)에 접속된 가스 공급계(49)에 의해 유량 등을 조정하면서 플라즈마 여기용의 불활성 가스나 도핑 가스를 공급한다. 제2 가스 공급부(47)는 측벽(42)의 상부측의 일부에 있어서, 처리 용기(32) 내에 플라즈마 여기용의 불활성 가스나 도핑 가스를 공급하는 복수의 가스 공급 구멍(50)을 형성함으로써 형성되어 있다. 복수의 가스 공급 구멍(50)은 둘레 방향으로 동일한 간격을 두고 형성되어 있다. 제1 가스 공급부(46) 및 제2 가스 공급부(47)에는, 동일한 가스 공급원으로부터 동일한 종류의 플라즈마 여기용의 불활성 가스나 도핑 가스가 공급된다. 한편, 요구나 제어 내용 등에 따라, 제1 가스 공급부(46) 및 제2 가스 공급부(47)로부터 다른 가스를 공급할 수도 있으며, 이들의 유량비 등을 조정할 수도 있다.
유지대(34)에는, RF(radio frequency) 바이어스용의 고주파 전원(58)이 매칭 유닛(59)을 통해 유지대(34) 내의 전극에 전기적으로 접속되어 있다. 이 고주파 전원(58)은, 예컨대, 13.56 ㎒의 고주파를 소정의 전력(바이어스 파워)으로 출력할 수 있다. 매칭 유닛(59)은 고주파 전원(58)측의 임피던스와, 주로 전극, 플라즈마, 처리 용기(32)와 같은 부하측의 임피던스 사이에서 정합을 취하기 위한 정합기를 수용하고 있고, 이 정합기 중에 자기 바이어스 생성용의 블로킹 커패시터가 포함되어 있다. 한편, 도핑시에 있어서, 이 유지대(34)에의 바이어스 전압의 공급은, 필요에 따라 적절히 변경된다. 제어부(28)는 바이어스 전력 공급 기구로서, 유지대(34)에 공급되는 교류의 바이어스 전력을 제어한다.
유지대(34)는 정전 척(도시하지 않음)에 의해 그 위에 피처리 기판(W)을 유지할 수 있다. 또한, 유지대(34)는 온도 제어를 위한 히터(34a) 등을 구비하고, 유지대(34)의 내부에 설치된 온도 조정 기구(29)에 의해 원하는 온도로 설정할 수 있다. 유지대(34)는 바닥부(41)의 하방측으로부터 수직 상방으로 연장되는 절연성의 통형 지지부(51)에 지지되어 있다. 상기한 배기 구멍(43)은 통형 지지부(51)의 외주를 따라 처리 용기(32)의 바닥부(41)의 일부를 관통하도록 형성되어 있다. 환형의 배기 구멍(43)의 하방측에는 배기관(도시하지 않음)을 통해 배기 장치(도시하지 않음)가 접속되어 있다. 배기 장치는 터보 분자 펌프 등의 진공 펌프를 갖고 있다. 배기 장치에 의해, 처리 용기(32) 내를 소정의 압력까지 감압할 수 있다. 제어부(28)는 압력 조정 기구로서, 배기 장치에 의한 배기의 제어 등에 의해, 처리 용기(32) 내의 압력을 조정한다.
플라즈마 발생 기구(39)는 처리 용기(32) 외부에 설치되어 있고, 플라즈마 여기용의 마이크로파를 발생시키는 마이크로파 발생기(35)를 포함한다. 또한, 플라즈마 발생 기구(39)는 유지대(34)와 대향하는 위치에 배치되며, 마이크로파 발생기(35)에 의해 발생시킨 마이크로파를 처리 용기(32) 내에 도입하는 유전체창(36)을 포함한다. 또한, 플라즈마 발생 기구(39)는 복수의 슬롯 구멍(40)이 형성되어 있고, 유전체창(36)의 상방측에 배치되며, 마이크로파를 유전체창(36)에 방사하는 슬롯 안테나판(37)을 포함한다. 또한, 플라즈마 발생 기구(39)는 슬롯 안테나판(37)의 상방측에 배치되며, 후술하는 동축 도파관(56)으로부터 도입된 마이크로파를 직경 방향으로 전파하는 유전체 부재(38)를 포함한다.
매칭(53)을 갖는 마이크로파 발생기(35)는 모드 변환기(54) 및 도파관(55)을 통해, 마이크로파를 도입하는 동축 도파관(56)의 상부에 접속되어 있다. 예컨대, 마이크로파 발생기(35)에서 발생시킨 TE 모드의 마이크로파는, 도파관(55)을 지나, 모드 변환기(54)에 의해 TEM 모드로 변환되어, 동축 도파관(56)을 전파한다. 마이크로파 발생기(35)에 있어서 발생시키는 마이크로파의 주파수로서는, 예컨대, 2.45 ㎓가 선택된다.
유전체창(36)은 대략 원판형이며, 유전체로 구성되어 있다. 유전체창(36)의 구체적인 재질로서는, 석영이나 알루미나 등을 들 수 있다.
슬롯 안테나판(37)은 박판형이며, 원판형이다. 복수의 슬롯 구멍(40)에 대해서는, 도 2에 도시된 바와 같이, 각각 소정의 간격을 두고 직교하도록 2개의 슬롯 구멍(40)이 한 쌍이 되도록 형성되어 있고, 한 쌍을 이룬 슬롯 구멍(40)이 둘레 방향으로 소정의 간격을 두고 형성되어 있다. 또한, 직경 방향에 있어서도, 복수의 한 쌍의 슬롯 구멍(40)이 소정의 간격을 두고 형성되어 있다. 여기서, 슬롯 안테나판(37)은 바람직하게는 레이디얼 라인 슬롯 안테나이다.
마이크로파 발생기(35)에 의해 발생시킨 마이크로파는 동축 도파관(56)을 통해 전파된다. 마이크로파는 내부에 냉매를 순환시키는 순환로(60)를 가지며 유전체 부재(38) 등의 온도 조정을 행하는 냉각 재킷(52)과 슬롯 안테나판(37) 사이에 끼워진 영역을 직경 방향 외측을 향해 방사상으로 확산되어, 슬롯 안테나판(37)에 형성된 복수의 슬롯 구멍(40)으로부터 유전체창(36)에 방사된다. 유전체창(36)을 투과한 마이크로파는 유전체창(36) 바로 아래에 전계를 발생시키며, 처리 용기(32) 내에 플라즈마를 생성시킨다.
이와 같이, 플라즈마 발생 기구는 처리 용기(32) 내에 노출되어 있고 유지대(34)와 대향하는 위치에 설치되는 유전체창(36)을 갖는다. 여기서, 유전체창(36)과 유지대(34)에 유지되는 피처리 기판(W) 사이의 최단 거리는 5.5 ㎝ 이상 15 ㎝ 이하로 한다.
도핑 장치(31)에 있어서 마이크로파 플라즈마를 발생시킨 경우, 유전체창(36)의 하면(48) 바로 아래, 구체적으로는, 유전체창(36)의 하면(48)의 수 ㎝ 정도 아래에 위치하는 영역에서는, 플라즈마의 전자 온도가 비교적 높은 이른바 플라즈마 생성 영역이 형성된다. 그리고, 그 연직 방향 하측에 위치하는 영역에는, 플라즈마 생성 영역에서 생성된 플라즈마가 확산되는 이른바 플라즈마 확산 영역이 형성된다. 이 플라즈마 확산 영역은 플라즈마의 전자 온도가 비교적 낮은 영역이며, 이 영역에서 플라즈마 도핑 처리, 즉, 도핑을 행한다. 한편, 도핑 장치(31)에 있어서 마이크로파 플라즈마를 발생시킨 경우, 상대적으로 플라즈마의 전자 밀도가 높아진다. 그러면, 도핑시에 있어서의 피처리 기판(W)에 대한 이른바 플라즈마 손상을 주지 않고, 또한, 플라즈마의 전자 밀도가 높기 때문에, 효율적인 도핑, 구체적으로는 예컨대, 도핑 시간의 단축을 도모할 수 있다.
여기서, 일반적인 플라즈마원의 유도 결합 플라즈마(ICP 등)에서는, 플라즈마 중의 라디칼 및 저에너지 이온 성분에 비해, 고에너지 이온의 생성량이 매우 많아지기 때문에, 피처리 기판에 대한 플라즈마 조사 손상도 동시에 증가해 버린다. 이에 비해, 마이크로파 플라즈마를 이용함으로써, 압력이 100 mTorr 이상인 고압대에 있어서, 효율적으로 라디칼 및 저에너지 이온 성분을 생성할 수 있게 된다. 또한, 마이크로파 플라즈마를 이용함으로써, 라디칼(활성종)은 플라즈마 전계에 영향을 받지 않는다. 즉 전기적으로 중성이기 때문에, 이온에 비해, 피처리 기판에 대한 플라즈마 조사 손상을 압도적으로 경감할 수 있게 된다.
(제1 실시형태에 따른 반도체 소자의 제조 방법의 처리의 흐름의 일례)
다음으로, 상기와 같은 도핑 장치를 이용하여, 피처리 기판(W)을 이용하여 반도체 소자를 제조하는 방법에 대해 설명한다. 도 3은 제1 실시형태에 따른 반도체 소자의 제조 방법의 처리의 흐름의 일례를 도시한 플로우차트이다.
도 3에 도시된 바와 같이, 제1 실시형태에 따른 반도체 소자의 제조 방법에서는, 먼저, 게르마늄을 함유하는 피처리 기판(W)을 준비한다(단계 S1). 그리고, 피처리 기판(W)을 처리 용기(32) 내에 반입하여, 유지대(34) 위에 유지한다. 게르마늄을 함유하는 피처리 기판(W)의 일례로서는 전술한 실리콘게르마늄(SiGe) 기판을 들 수 있다.
다음으로, 소정의 처리 조건으로 플라즈마 도핑을 실시한다(단계 S2). 즉, 처리 용기(32) 내에 도핑 가스(처리 가스)를 공급하여, 플라즈마 도핑 처리를 행한다. 즉, 마이크로파를 이용하여 처리 용기(32) 내에 플라즈마 예컨대 표면파 플라즈마를 발생시킴으로써, 처리 용기(32) 내의 유지대(34)에 유지된 피처리 기판(W)에 대해 플라즈마 도핑 처리를 행하는 플라즈마 도핑 처리 공정을 실행한다. 보다 상세하게는, 제어부(28)는 레이디얼 라인 슬롯 안테나를 통해, 라디칼 및 저에너지 이온 성분을 발생시킴으로써, 피처리 기판(W)을 도핑한다.
도펀트로서 비소(As)를 이용하여 게르마늄을 함유하는 피처리 기판(W)에 플라즈마 도핑을 실시하는 경우의 처리 조건의 일례를 이하에 든다.
도핑 장치: 마이크로파 플라즈마 도핑 장치
마이크로파 파워: 5 ㎾/㎠
압력: 230 mTorr
RF 바이어스: 300 W
처리 가스: AsH3(0.7%)/He 희석 가스
처리 가스 유량: 343 sccm(토탈 가스 유량 1000 sccm)
H2 유량: 5 sccm
도핑 시간: 100 sec
한편, RF 바이어스(13.56 ㎒)는 플라즈마를 보다 안정시킬 목적으로 인가하기 때문에, 스테이지에의 RF 바이어스 인가는 필수 요건이 아니다. 또한, 제어부(28)는 처리 가스의 토탈 유량을 1000 sccm으로 한 후에, AsH3(0.7%)/He 희석 가스 유량을 343 sccm 흘리고, 나머지 가스로서 He 가스를 이용한다. 또한, 제어부(28)는 H2 가스를 첨가해도 좋다. 이 H2 가스의 첨가는 플라즈마 생성의 균일성을 개선시킬 목적으로 첨가하기 때문에, 본 실시형태의 반도체 소자의 제조 방법에서는 필수 요건이 아니다. 한편, 플라즈마 도핑을 실시하는 경우의 처리 조건은 위에 나타낸 조건에 한정되는 것은 아니다.
마이크로파를 이용하여 플라즈마를 생성시키면, 다른 플라즈마원[예컨대 ICP나 용량 결합 플라즈마(CCP) 등의 플라즈마 소스]과 비교해서, 피처리 샘플(Wafer)에 대해 전자 밀도가 높고 또한 전자 온도가 낮은 특이적인 상황하에서, 플라즈마 처리를 할 수 있는 것이 알려져 있다. 이러한 상태의 플라즈마 중에서는, 보다 많은 활성종(라디칼) 및 저에너지 이온의 생성이 가능하기 때문에, 주로 라디칼 및 저에너지 이온 성분에 의한 플라즈마 도핑 처리가 가능하다.
또한, 마이크로파의 투입 전력을 고의로 낮추고, 또한 피처리 샘플(Wafer)의 유지대에, RF 바이어스를 강하게 인가시켜 고의로 피처리 기판에 대해 인입 전계를 부여한 경우에는, 이온성의 플라즈마 중 원자가 강하게 피처리 샘플(Wafer)에 조사되게 되어, 주로 이온에 의한 플라즈마 도핑 처리가 된다. 예컨대, 500 W 이상/(300 ㎜ 웨이퍼 스테이지)=7 ㎽/㎠ 이상의 13.56 ㎒의 RF 바이어스를 인가시킨 경우에는, 주로 이온에 의한 플라즈마 도핑 처리가 된다. 이를 근거로 하여, RF 바이어스를 강하게 인가시켜 고의로 피처리 기판에 대해 인입 전계를 부여하지 않는 것이 바람직하다. 예컨대, 500 W 이상/(300 ㎜ 웨이퍼 스테이지)=7 ㎽/㎠ 이상의 13.56 ㎒의 RF 바이어스를 가하지 않는 것이 바람직하다.
또한, 플라즈마 도핑의 처리 조건은 도펀트나 피처리 기판의 종류에 따라 설정한다. 제1 실시형태에서는, 핀 측면에 고농도로 도핑하기 위해서, AsH3 유량은 많고, 압력은 높아지도록 설정한다. AsH3 유량을 많게 하고, 압력을 높게 하면, 이온 성분을 적게 하여, 주로 핀 상면에 고농도 비소를 포함하는 비소 산화막을 두껍게 성막(成膜)할 수 있다. 한편, 압력의 상한값은 약 5 Torr이다.
상기한 처리 조건으로 플라즈마 도핑을 실시한 후, 플라즈마 도핑 처리가 행해진 피처리 기판(W)에 대해 열처리(어닐링 처리)를 실시한다. 이것은 비특허문헌 5에 나타내는 바와 같이, 종래의 Si 기판에 있어서, 삼차원 구조를 수반하는 피도핑 기판 샘플에의 컨포멀 도핑을 원하는 경우, 컨포멀 도핑 효과가 확인되고 있는, 2단계에 의한 열처리 방식을 이용하는 것에의 응용이다.
열처리는 중간적 어닐링과 활성화 어닐링의 2단계로 나누어 실시한다. 예컨대, 제어부(28)는 유지대(34)의 히터(34a)나 온도 조정 기구(29)를 제어함으로써, 피처리 기판(W)을 가열한다.
먼저, 제어부(28)는 중간적 어닐링을 실시한다(단계 S3). 여기서, 제어부(28)는 300도 이상 650도 이하에서 중간적 어닐링을 행한다.
여기서, 플라즈마 도핑 처리 공정 후의 피처리 기판에 대한 어닐링 처리는 플라즈마 도핑 처리를 행하는 장치 내에서, 플라즈마 도핑 처리의 종료 후에 연속해서 실행해도 좋다. 단, 이것에 한정되는 것은 아니다. 예컨대, 플라즈마 도핑 처리를 행하는 장치로부터 일단 반출하고, 별도의 장치를 이용하여 어닐링 처리를 실행해도 좋다. 어닐링 처리는 예컨대 공지의 RTA(Rapid Thermal Anneal) 또는 마이크로파 어닐링을 이용하여 실행해도 좋다.
중간적 어닐링은 플라즈마 도핑 직후에 피처리 기판 상에 과잉으로 퇴적한 도펀트를 포함하는 화합물을 휘발시켜 제거하는 것을 주된 목적으로 한다. 한편, 중간적 어닐링을, 게르마늄 기판 중의 도펀트의 활성화 처리를 겸하여 실행하도록 해도 좋다.
다음으로, 중간적 어닐링이 실시된 피처리 기판(W)에 대해 활성화 어닐링을 실시한다(단계 S4). 활성화 어닐링은 도핑된 불순물의 활성화를 목적으로 한다. 활성화 어닐링은 중간적 어닐링과는 별도로, 스파이크 어닐링이나 플래시 어닐링 등의 초고온 또한 초단시간 열처리를 행하는 것이다. 제어부(28)는 예컨대 약 650℃ 이상 800℃ 이하에서 활성화 어닐링을 행한다.
그리고, 어닐링 처리가 종료된 후, 피처리 기판(W)은 유지대(34)로부터 분리되어, 처리 용기(32) 외부로 반출된다.
(제1 실시형태에 있어서의 효과-물리적 손상의 억제)
여기서, 종래의 처리에서는 손상을 받기 쉽고 표면 거칠음이 발생하기 쉬운 게르마늄이나 III-V족을 포함하는 피처리 기판에 대해, 레이디얼 라인 슬롯 안테나를 이용하여 발생시킨 마이크로파 플라즈마를 사용하는 이점에 대해 설명한다. 예 로서, 레이디얼 라인 슬롯 안테나를 이용하여 발생시킨 마이크로파 플라즈마를 이용하여 처리를 행하는 경우와, 일반적인 플라즈마원인 유도 결합 플라즈마를 이용하여 처리를 행하는 경우를 비교한다. 이하, 처리 조건 중, 전자 온도나 전자 밀도, 요구되는 압력 환경에 대해 설명한다.
유도 결합에 의해 생성한 플라즈마의 경우에는, 유전체창의 하면으로부터의 거리에 거의 관계없이, 대략 2.0 eV 정도의 전자 온도가 된다. 이 레벨의 전자 온도이면, 플라즈마 처리시에 피처리 기판에 손상을 줄 우려가 있다. 이에 비해, 레이디얼 라인 슬롯 안테나를 이용하여 생성한 마이크로파 플라즈마의 경우, 유전체창의 하면으로부터의 거리에 거의 관계없이, 대략 1.5 eV 정도의 전자 온도가 된다. 이 레벨의 이른바 저전자 온도이면, 플라즈마 처리시에 피처리 기판에 손상을 주지 않고, 플라즈마 처리를 실현할 수 있다.
또한, 유도 결합에 의해 생성한 플라즈마의 경우에는, 유전체창의 하면으로부터 멀어짐에 따라 전자 밀도가 높아지고, 하면의 거리가 50 ㎜ 정도에서 피크가 되며, 더욱 하면으로부터 멀어짐에 따라 서서히 감소한다. 이에 비해 레이디얼 라인 슬롯 안테나를 이용하여 생성한 마이크로파 플라즈마의 경우에는, 유전체창의 하면으로부터의 거리가 멀어짐에 따라, 전자 밀도가 서서히 감소한다. 이 때문에, 레이디얼 라인 슬롯 안테나를 이용하여 생성한 마이크로파 플라즈마의 경우에는, 원하는 처리 효율에 따라 유전체창으로부터의 거리를 조정하여 용이하게 플라즈마 처리를 실현할 수 있다.
또한, 레이디얼 라인 슬롯 안테나를 이용하여 생성한 마이크로파 플라즈마의 경우, 공급 전력의 크기에 상관없이, 유도 결합에 의해 생성한 플라즈마의 전자 온도보다 낮은 전자 온도에서 처리를 실현할 수 있다. 한편, 전자 밀도에 대해서는, 공급 전력을 동일하게 한 경우, 레이디얼 라인 슬롯 안테나를 이용하여 생성한 마이크로파 플라즈마 쪽이, 유도 결합에 의해 생성한 플라즈마보다 높은 전자 밀도를 실현할 수 있다.
이와 같이, 레이디얼 라인 슬롯 안테나를 이용하여 생성한 마이크로파 플라즈마를 이용함으로써, 저전자 온도 및 고전자 밀도의 상태로 도핑을 행할 수 있다. 이 때문에, 도핑에 의한 피처리 기판에 대한 플라즈마 손상을 저감할 수 있고, 저농도의 도핑을 효율적으로 실현할 수 있다.
또한, 레이디얼 라인 슬롯 안테나를 이용한 마이크로파에 의하면, 저압으로부터 고압까지 폭넓은 압력 환경하에서 플라즈마를 발생시킬 수 있다. 이 때문에, 희석 가스나 플라즈마 여기용 가스의 종류에 구애될 필요 없고, 또한, 도핑 가스를 처리 용기 내에 공급한 상태로 플라즈마를 발생시키고 나서 도핑을 행할 수 있다. 이 때문에, 피처리 기판에 있어서의 도즈량의 면내 균일성을 높일 수 있다.
이와 같이 레이디얼 라인 슬롯 안테나를 이용하여 마이크로파에 의해 플라즈마를 생성한 경우, 일반적인 플라즈마원인 유도 결합 플라즈마와 비교하여, 피처리 기판에 대한 플라즈마 조사 손상을 저감할 수 있다.
다음으로, 구체적인 데이터를 이용하여, 게르마늄 기판에 대해 플라즈마 도핑을 실시한 경우의 물리적 손상에 대해 설명한다. 게르마늄 기판에 대해 플라즈마 도핑을 실시한 경우에 기판에 주어지는 물리적인 손상은, 열처리를 가한 경우의 도펀트의 확대 즉 확산 정도에 기초하여 평가할 수 있다. 이것은 결정 결함 손상이 있는 경우, TED(Tangent enhanced diffusion) 효과에 의해, 확산 계수 Do(Diffusion Coefficiency)가 증대한다고 하는 원리를 응용한 평가 방법이다.
도 4는 제1 실시형태에 따른 반도체 소자의 제조 방법을 이용하여 게르마늄 기판에 비소를 도핑했을 때에 얻어지는 SIMS 프로파일의 일례를 도시한 도면이다. 도 4의 예는 마이크로파 플라즈마를 이용하여 비소를 게르마늄 기판에 플라즈마 도핑한 후, RTA 열처리(어닐링 처리)를 행한 경우의 SIMS 프로파일이다. 도 4는 횡축에 기판의 표면으로부터의 확산 거리를, 종축에 도펀트 농도를 나타낸다.
또한, 도 5는 제1 실시형태에 따른 반도체 소자의 제조 방법을 이용한 경우의 도펀트의 어닐링 온도에 대한 확산 계수를 플롯한 도면이다. 결정 결함 손상이 있는 경우, TED 효과에 의해, 확산 계수 Do(Diffusion Coefficiency)가 증대하는 것이 알려져 있다. 도 5는 발명자들이 실시한 마이크로파 플라즈마를 이용한 비소 도핑의 실험 데이터(SIMS 프로파일)로부터 계산으로 얻은 Do(4개의 검은 동그라미 플롯) 및 참고값으로서 Intrinsic Ge 기판에 대해 열확산에 의해 As 도핑층을 형성시킨 경우 및 P 도핑층을 형성시킨 경우(비특허문헌 7)의 Do 및 빔 이온 주입의 경우(비특허문헌 8)의 Do와 열처리 온도의 관계를 나타낸 2개의 직선을 도시하고 있다.
이하의 식 (1)에 의해 상수 D0와 활성화 에너지 Ea를 구한다.
Figure pat00001
단, 식 (1) 중, D0는 상수, Ea는 활성화 에너지(eV), k는 볼트만 상수, T는 온도(K)이다.
이 결과로부터, 발명자들이 실시한 마이크로파 플라즈마를 이용한 As 도핑에서는, TED가 매우 적은, 오히려 고체 도펀트를 열확산에 의해 피대상 기판에 도핑시키는 고체 불순물의 열확산법(Solid Source Thermal Diffusion)에 가까운 도핑이 실시 가능한 것을 알 수 있다. 도 5의 플롯으로부터 명백하지만, 얻어진 Do값을 빔 이온 주입의 경우와 비교하면, 발명자들이 실시한 마이크로파 플라즈마를 이용한 As 도핑에서는, Do=0.15(㎠/s)에 비해, 비교 대상의 빔 이온 주입의 경우에서는 Do=32(㎠/s)가 된다.
도 6은 제1 실시형태에 따른 반도체 소자의 제조 방법을 이용하여 어닐링 처리를 실시한 경우의, 어닐링 온도에 대한 시트 저항값을 4탐침법(4PP) 및 홀 효과 측정법(vdP)으로 검증한 결과를 도시한 도면이다. 구체적으로는, 발명자들이 실시한 마이크로파 플라즈마를 이용한 As 도핑에 대해 어닐링 처리를 실시한 경우에 있어서, 적절한 활성화가 되어 있는지의 여부를 확인하기 위해서, 여러 가지 어닐링 온도에 대한 시트 저항값을 4탐침법(4PP) 및 vdP(홀 효과 측정법)로 검증한 결과를 도시한다. 이 결과로부터, 게르마늄 기판의 경우에는, 4PP 및 vdP에 있어서, 시트 저항값의 일치가 보이지 않으나, 모두 온도를 올림과 아울러 시트 저항이 감소하고 있기 때문에, 마이크로파 플라즈마를 이용한 As 도핑에 대해 어닐링 처리를 실시한 경우라도, 어닐링 온도에 상당하는 활성화가 이루어지고 있다고 생각된다. 한편, 4PP 및 vdP에 있어서, 시트 저항값의 일치가 보이지 않는 이유에 대해서는, 측정상의 오차라고 생각된다.
이와 같이, 비소와 같이 이온 질량이 큰 도펀트를 이용하여 게르마늄 기판에 플라즈마 도핑을 실시해도, 열확산(Thermal Diffusion)에 의해 도핑을 실시한 경우와 동일한 확산 계수를 얻을 수 있다. 즉, 플라즈마 도핑을 이용함으로써 게르마늄 기판에 대한 손상을 작게 억제하여 도핑을 실현할 수 있다.
한편, 플라즈마 도핑 중에서도 특히, 표면파 플라즈마를 이용하는 플라즈마 도핑에서는, 피처리 기판에 대한 손상이 적다. 이 때문에, 표면파 플라즈마를 이용함으로써, 게르마늄 등 종래의 이온 주입에서는 표면 거칠음을 발생시키기 쉬운 재료여도, 적은 손상으로 도핑을 실현할 수 있다.
28: 제어부 29: 온도 조정 기구
30: 가스 공급 구멍 31: 도핑 장치
32: 처리 용기 33: 가스 공급부
34: 유지대 34a: 히터
35: 마이크로파 발생기 36: 유전체창
37: 슬롯 안테나판 38: 유전체 부재
39: 플라즈마 발생 기구 40: 슬롯 구멍

Claims (6)

  1. 피처리 기판에 도펀트를 주입하여 반도체 소자를 제조하는 방법에 있어서,
    마이크로파를 이용하여 처리 용기 내에 고주파 플라즈마를 발생시킴으로써, 처리 용기 내의 유지대에 유지된 게르마늄을 포함하는 피처리 기판에 대해 플라즈마 도핑 처리를 행하는 플라즈마 도핑 처리 공정을 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  2. 제1항에 있어서, 상기 플라즈마 도핑 처리 공정은, 고주파 플라즈마로서 표면파 플라즈마를 발생시키는 것을 특징으로 하는 반도체 소자의 제조 방법.
  3. 제1항 또는 제2항에 있어서, 상기 플라즈마 도핑 처리가 행해진 피처리 기판에 대해 어닐링 처리를 행하는 어닐링 처리 공정을 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  4. 제3항에 있어서, 상기 어닐링 처리 공정에 있어서, 중간적 어닐링 처리를 실행한 후, 상기 피처리 기판 내의 불순물을 활성화하기 위한 활성화 어닐링 처리를 실행하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  5. 제4항에 있어서, 상기 중간적 어닐링 처리는, 300℃ 이상 650℃ 이하의 온도에서 실시하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  6. 제4항에 있어서, 상기 활성화 어닐링 처리는, 650℃ 이상 800℃ 이하의 온도에서 실시하는 것을 특징으로 하는 반도체 소자의 제조 방법.
KR1020160063384A 2015-05-27 2016-05-24 반도체 소자의 제조 방법 KR20160140423A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2015-107555 2015-05-27
JP2015107555A JP2016225356A (ja) 2015-05-27 2015-05-27 半導体素子の製造方法

Publications (1)

Publication Number Publication Date
KR20160140423A true KR20160140423A (ko) 2016-12-07

Family

ID=57397692

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160063384A KR20160140423A (ko) 2015-05-27 2016-05-24 반도체 소자의 제조 방법

Country Status (3)

Country Link
US (1) US20160351398A1 (ko)
JP (1) JP2016225356A (ko)
KR (1) KR20160140423A (ko)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06252083A (ja) * 1993-02-25 1994-09-09 Toshiba Corp 半導体のドーピング方法
JP2005322893A (ja) * 2004-04-05 2005-11-17 Toshiba Corp 不純物添加方法及び半導体装置の製造方法
US20120056101A1 (en) * 2010-09-03 2012-03-08 Semiconductor Energy Laboratory Co., Ltd. Ion doping apparatus and ion doping method
CN103460353B (zh) * 2011-04-25 2016-08-10 应用材料公司 微波处理半导体基板的设备和方法
JP2013165254A (ja) * 2012-01-13 2013-08-22 Tokyo Electron Ltd プラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子
US20140065799A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
JP2014154765A (ja) * 2013-02-12 2014-08-25 Nagoya Univ 半導体結晶、その製造方法、及び多層膜構造体
JP2014194962A (ja) * 2013-03-28 2014-10-09 Tokyo Electron Ltd 照射制御方法及び照射制御装置
TWI541868B (zh) * 2013-04-04 2016-07-11 東京威力科創股份有限公司 脈衝氣體電漿摻雜方法及設備

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
[비특허문헌 1] K. Han*, S. Tang, T. Rockwell, L. Godet, H. Persing, C. Campbell, S. Salimian, Junction Technology(IWJT), 2012 12th International Workshop on, Date 14-15 May 2012, IEEE
[비특허문헌 2] Y. Sasaki, L. Godet1, T. Chiarella, D. P. Brunco2, T. Rockwell1, J. W. Lee, B. Colombeau1, M. Togo, S. A. Chew, G. Zschaetszch, K. B. Noh3, A. De Keersgieter, G. Boccardi, M. S. Kim, G. Hellings, P. Martin1, W. Vandervorst, A. Thean, and N. Horiguchi, "Improved Sidewall Doping of Extensions by AsH3 Ion Assisted Deposition and Doping(IADD) with Small Implant Angle for Scaled NMOS Si Bulk FinFETs", proceeding IEDM 2013, IEEE
[비특허문헌 3] Janssens et al., IVST B24, 510(2006)
[비특허문헌 4] Impellizzeri et al., J. Appl. Phys. 106, 013518(2009)
[비특허문헌 5] H. Ueda, P. L. G. Ventzek, M. Oka, M. Horigome, Y. Kobayashi, Y. Sugimoto, T. Nozawa, and S. Kawakami, "Conformal doping of topographic silicon structures using a radial line slot antenna plasma source" J. Appl. Phys. 115, 214904(2014)
[비특허문헌 6] H. Miyoshi et al., JJAP 55(2016) 04EB05.
[비특허문헌 7] S. Brotzmann et al., JAP 103(2009), 033508.
[비특허문헌 8] C. O. Chui et al., APL 83(2003) p.3275.

Also Published As

Publication number Publication date
JP2016225356A (ja) 2016-12-28
US20160351398A1 (en) 2016-12-01

Similar Documents

Publication Publication Date Title
US10249498B2 (en) Method for using heated substrates for process chemistry control
US9472404B2 (en) Doping method, doping apparatus and method of manufacturing semiconductor device
US20090197376A1 (en) Plasma cvd method, method for forming silicon nitride film and method for manufacturing semiconductor device
US20060205192A1 (en) Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
JP2005150637A (ja) 処理方法及び装置
KR20170042315A (ko) 기판 처리 방법
US20140357068A1 (en) Plasma doping apparatus, plasma doping method, semiconductor device manufacturing method and semiconductor device
US7858155B2 (en) Plasma processing method and plasma processing apparatus
US20140342575A1 (en) Method for Forming an Interfacial Layer on a Semiconductor Using Hydrogen Plasma
JP2013534712A (ja) プラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子
JP5097538B2 (ja) プラズマドーピング方法およびこれに用いられる装置
KR20160140423A (ko) 반도체 소자의 제조 방법
US8124506B2 (en) USJ techniques with helium-treated substrates
KR101544938B1 (ko) 플라즈마 도핑 장치 및 플라즈마 도핑 방법
KR100699290B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
US20140094024A1 (en) Plasma doping apparatus, plasma doping method, and method for manufacturing semiconductor device
US20180012763A1 (en) Doping method, doping apparatus, and semiconductor element manufacturing method
US20020098664A1 (en) Method of producing SOI materials
JP2015056499A (ja) 基板処理方法及び基板処理装置
WO2013164940A1 (ja) 被処理基体にドーパントを注入する方法、及びプラズマドーピング装置
US20160189963A1 (en) Doping method and semiconductor element manufacturing method
JP4964736B2 (ja) プラズマ処理装置
Kong et al. Plasma doping of InGaAs at elevated substrate temperature for reduced sheet resistance and defect formation
JP2020021765A (ja) 半導体素子の製造方法
JP2013258319A (ja) 極浅接合の形成方法