KR20160049389A - 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법 - Google Patents

포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법 Download PDF

Info

Publication number
KR20160049389A
KR20160049389A KR1020140146434A KR20140146434A KR20160049389A KR 20160049389 A KR20160049389 A KR 20160049389A KR 1020140146434 A KR1020140146434 A KR 1020140146434A KR 20140146434 A KR20140146434 A KR 20140146434A KR 20160049389 A KR20160049389 A KR 20160049389A
Authority
KR
South Korea
Prior art keywords
photomask
cleaning composition
acid
integrated circuit
cleaning
Prior art date
Application number
KR1020140146434A
Other languages
English (en)
Other versions
KR102296739B1 (ko
Inventor
김호영
강동민
김성배
한훈
윤홍권
이효선
홍영택
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140146434A priority Critical patent/KR102296739B1/ko
Priority to US14/750,651 priority patent/US9507255B2/en
Publication of KR20160049389A publication Critical patent/KR20160049389A/ko
Application granted granted Critical
Publication of KR102296739B1 publication Critical patent/KR102296739B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Detergent Compositions (AREA)

Abstract

집적회로 소자 제조 방법에서는 유기산과, 산화제와, DIW (deionized water)를 포함하는 세정 조성물을 이용하여 포토마스크를 습식 처리한다.

Description

포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법 {Method of manufacturing integrated circuit device using photomask cleaning composition}
본 발명의 기술적 사상은 세정 조성물 및 이를 이용한 집적회로 소자의 제조 방법에 관한 것으로, 특히 포토리소그래피 공정시 발생하는 오염물을 포토마스크로부터 제거하기 위한 세정 조성물과, 이를 이용한 집적회로 소자 제조 방법에 관한 것이다.
집적회로 소자의 제조 공정에서, 웨이퍼에 회로 패턴을 형성하기 위하여 리소그래피 공정을 이용한다. 리소그래피 공정에서는 원하는 패턴을 기판상에 전사하기 위해 포토마스크를 이용한다. 최근, 반도체 소자의 디자인 룰이 급격하게 축소됨에 따라, 노광 공정에 사용되는 광의 파장도 줄어드는 추세이다. 따라서, 파장이 짧은 극자외선 (extreme ultraviolet: EUV)을 노광 공정에 이용하고 있다. EUV 리소그래피 공정에서, 펠리클(pellicle)에 의해 보호되지 않는 EUV 포토마스크를 사용하여 리소그래피 공정을 수행하는 과정에서 탄소함유 물질, 파티클 등과 같은 이물질에 의해 오염된 EUV 포토마스크를 세정할 필요가 있다. 또한, 포토마스크상의 오염물을 제거하기 위한 세정 공정이 일정 주기마다 반복됨에 따라, EUV 포토마스크의 구조가 손상되거나 EUV 포토마스크에 포함된 패턴들의 CD (critical dimension)가 원하지 않게 변화될 수 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 포토마스크를 사용하여 리소그래피 공정을 수행하는 과정에서 오염된 포토마스크를 세정할 때 탄소함유 잔류물, 파티클 등과 같은 오염물을 효과적으로 제거할 수 있으며, 포토마스크상의 오염물의 제거하기 위한 세정 공정이 일정 주기마다 반복되는 경우에도 세정 공정시 사용되는 세정 물질로 인해 포토마스크의 구조가 손상되거나 EUV 포토마스크에 포함된 패턴들의 CD가 원하지 않게 변형되는 문제를 방지할 수 있는 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법을 제공하는 것이다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자 제조 방법에서는 유기산과, 산화제와, DIW (deionized water)를 포함하는 세정 조성물을 이용하여 포토마스크를 습식 처리한다.
상기 세정 조성물 내에서, 상기 세정 조성물의 총량을 기준으로 상기 유기산은 75 ∼ 99 중량%, 상기 산화제는 0.1 ∼ 10 중량%, 상기 DIW는 0.1 ∼ 20 중량%의 양으로 포함될 수 있다.
상기 세정 조성물의 pH는 5 이하일 수 있다.
일부 실시예들에서, 상기 유기산은 술폰산 (sulfonic acid)을 포함할 수 있다.
일부 실시예들에서, 상기 유기산은 다음 식으로 표시되는 것을 화합물을 포함할 수 있다.
Figure pat00001
식중, R1 및 R2는 각각 수소 원자, C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기이고, 상기 R1 및 R2 중 적어도 하나는 C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기이다.
일부 실시예들에서, 상기 유기산은 알킬 술폰산 (alkyl sulfonic acid), 아릴 술폰산 (aryl sulfonic acid), 타우린 (taurine), PFOS (perfluorooctane sulfonate), 나피온 (Nafion), 술파민산 (sulfamic acid), 옥살산 (oxalic acid), 도데실벤젠 술폰산 나트륨 (sodium dodecylbenzenesulfonate), 또는 이들의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 산화제는 이산화 염소 (chlorine dioxide), 인산 (phosphoric acid), 질산 (nitric acid), 염산 (hydrochloric acid), 과산화수소 (hydrogen peroxide), 알킬 페록사이드 (alkyl peroxide), 아릴 페록사이드 (aryl peroxide), 과황산 암모늄 (ammonium persulfate: APS), 과염소산 암모늄 (ammonium perchlorate: APC), 또는 이들의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 산화제는 다음 식으로 표시되는 화합물을 포함할 수 있다.
Figure pat00002
식중, R3 및 R4는 각각 수소 원자, C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기이다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자 제조 방법에서, 상기 포토마스크를 습식 처리하기 위하여 20 ∼ 80 ℃의 온도로 유지되는 상기 세정 조성물을 상기 포토마스크에 접촉시킬 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자 제조 방법에서, 상기 포토마스크를 습식 처리하는 단계 전에, 기판과, 상기 기판 위에 형성된 다중 반사막과, 상기 다중 반사막 위에 형성되고 금속을 포함하는 캡핑층 (capping layer)과, 상기 캡핑층 위에 형성되고 금속을 포함하는 광흡수층을 가지는 포토마스크 블랭크를 준비하는 단계와, 상기 광흡수층 위에 포토레지스트 패턴을 형성하는 단계와, 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 광흡수층의 일부를 식각하여 광흡수층 패턴을 포함하는 포토마스크를 형성하는 단계를 더 포함할 수 있다. 그리고, 상기 포토마스크를 습식 처리하는 단계는 상기 세정 조성물을 이용하여 상기 포토레지스트 패턴을 스트립(strip)하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 다른 양태에 따른 집적회로 소자 제조 방법에서는, 포토마스크로부터 반사된 빛을 이용하여 웨이퍼상에 형성된 포토레지스트막을 노광한다. 세정 조성물을 이용하여 상기 포토마스크를 세정한다.
상기 세정 조성물은 상기 세정 조성물의 총량을 기준으로 75 ∼ 99 중량%의 유기산, 0.1 ∼ 10 중량%의 산화제, 및 0.1 ∼ 20 중량%의 DIW (deionized water)를 포함할 수 있다.
상기 술폰산은 C1 ∼ C10의 알킬기 및 C6 ∼ C20의 아릴기 중 적어도 하나를 포함할 수 있다.
본 발명의 기술적 사상에 의한 다른 양태에 따른 집적회로 소자 제조 방법에서, 상기 술폰산은 순도 99.9 %의 메탄 술폰산 (methane sulfonic acid: MSA)으로 이루어질 수 있다.
본 발명의 기술적 사상에 의한 다른 양태에 따른 집적회로 소자 제조 방법에서, 상기 포토마스크를 세정하는 단계는 20 ∼ 80 ℃의 온도로 유지되는 상기 세정 조성물 내에 상기 포토마스크를 딥핑하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 다른 양태에 따른 집적회로 소자 제조 방법에서, 상기 세정 조성물은 상기 세정 조성물의 총량을 기준으로 75 ∼ 99 중량%의 메탄 술폰산, 0.1 ∼ 10 중량%의 과산화수소, 및 0.1 ∼ 20 중량%의 DIW를 포함할 수 있다.
본 발명의 기술적 사상에 의한 또 다른 양태에 따른 집적회로 소자 제조 방법에서는, 기판과, 상기 기판 위에 형성된 다중 반사막과, 상기 다중 반사막 위에 형성되고 금속을 포함하는 캡핑층 (capping layer)과, 상기 캡핑층 위에 형성되고 금속을 포함하는 광흡수층을 가지는 포토마스크 블랭크를 준비한다. 상기 광흡수층 위에 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 광흡수층의 일부를 식각하여 광흡수층 패턴을 형성하여 반사형 포토마스크를 형성한다. 세정 조성물을 이용하여 상기 반사형 포토마스크 위에 남아 있는 상기 포토레지스트 패턴을 제거한다.
본 발명의 기술적 사상에 의한 또 다른 양태에 따른 집적회로 소자 제조 방법에서, 상기 세정 조성물은 C1 ∼ C10의 알킬기 및 C6 ∼ C20의 아릴기 중 적어도 하나를 포함하는 술폰산, 과산화수소, 및 DIW를 포함할 수 있다.
본 발명의 기술적 사상에 의한 또 다른 양태에 따른 집적회로 소자 제조 방법에서, 상기 세정 조성물 내에서 상기 술폰산은 상기 세정 조성물의 총 중량을 기준으로 75 ∼ 99 중량%의 함량을 가지고, 상기 과산화수소는 0.1 ∼ 10 중량%의 함량을 가지고, 상기 DIW는 0.1 ∼ 20 중량%의 함량을 가질 수 있다. 상기 술폰산은 순도 99.9 %의 메탄 술폰산으로 이루어질 수 있다.
본 발명의 기술적 사상에 의한 또 다른 양태에 따른 집적회로 소자 제조 방법에서는, 상기 반사형 포토마스크상에 극자외선 (extreme ultraviolet: EUV)을 조사하여 상기 반사형 포토마스크로부터 반사되는 빛을 이용하여 웨이퍼상의 포토레지스트막을 노광하는 단계와, 상기 극자외선이 조사된 상기 반사형 포토마스크를 상기 세정 조성물을 이용하여 세정하는 단계를 더 포함할 수 있다.
상기 반사형 포토마스크를 상기 세정 조성물을 이용하여 세정하는 단계는 20 ∼ 80 ℃의 온도로 유지되는 상기 세정 조성물 내에 상기 포토마스크를 딥핑하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 집적회로 소자 제조 방법에서는 금속 산화력이 비교적 약한 유기산을 포함하는 세정 조성물을 사용하여 포토마스크로부터 포토레지스트막을 스트립하거나 포토마스크를 세정하는 습식 처리 공정을 수행한다. 따라서, 포토마스크를 습식 처리하는 동안, 금속을 함유하는 반사형 포토마스크의 구성 요소들이 세정 조성물에 노출되더라도, 이들 금속을 함유하는 구성 요소들이 손상될 염려가 없다. 또한, 본 발명의 기술적 사상에 의한 세정 조성물을 사용하여 금속함유막들이 노출된 포토마스크를 세정함으로써, 상기 금속함유막들이 손상되는 것을 방지하면서 탄소함유 잔류물, 파티클 등과 같은 오염물을 효과적으로 제거할 수 있다. 따라서, 본 발명의 기술적 사상에 의한 세정 조성물을 사용하여 포토마스크로부터 오염물을 제거한 후, 포토마스크의 광흡수층 패턴의 CD (critical dimension)가 원하지 않게 변화되는 문제를 방지할 수 있으며, 이에 따라 포토마스크의 원하지 않는 변형으로 인해 평탄도(flatness) 에러, 두께 편차 (thickness variation) 에러, CD 균일도 (critical dimension uniformity: CDU) 에러 등과 같은 원하지 않는 에러들이 발생되는 것을 방지할 수 있다. 본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라 포토마스크를 세정함으로써, 포토마스크의 손상을 방지하면서 오염물을 효과적으로 제거하여, 포토마스크의 라이프타임을 향상시킬 수 있다.
도 1은 본 발명의 기술적 사상에 의한 세정 조성물을 제조하는 방법을 설명하기 위한 플로차트이다.
도 2는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
도 3a 내지 도 3f는 도 2에 예시한 공정들에 따라 집적회로 소자를 제조하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 예시적인 단면도들이다.
도 4는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
도 5a 및 도 5b는 도 4에 예시한 공정들에 따라 집적회로 소자를 제조하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 예시적인 단면도들이다.
도 6a 내지 도 6c는 실시예 2 및 비교예 1에 따른 세정 조성물들에 대하여 금속함유 물질층의 보호 능력을 평가한 결과를 나타내는 그래프이다.
도 7은 실시예 2, 비교예 1, 및 비교예 9에 따른 세정 조성물들에 대하여 탄소함유 잔류물 제거력을 평가한 결과를 나타내는 표이다.
도 8a는 실시예 2, 비교예 1, 및 비교예 9에 따른 세정 조성물들에 대하여 금속함유 물질층의 보호 능력을 평가한 결과를 나타내는 그래프이다.
도 8b는 도 8a의 평가에 이용된 반사형 포토마스크의 구조를 보여주는 단면도이다.
도 9는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 의해 제조된 집적회로 소자를 포함하는 메모리 카드의 블록 다이어그램이다.
도 10은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 의해 제조된 집적회로 소자를 포함하는 메모리 시스템의 블록 다이어그램이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것으로, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
본 명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역, 층들, 부위 및/또는 구성 요소들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들, 부위 및/또는 구성 요소들은 이들 용어에 의해 한정되어서는 안 됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열을 의미하지 않으며, 하나의 부재, 영역, 부위, 또는 구성 요소를 다른 부재, 영역, 부위 또는 구성 요소와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역, 부위 또는 구성 요소는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역, 부위 또는 구성 요소를 지칭할 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.
달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 발명 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것임은 이해될 것이다.
어떤 실시예가 달리 구현 가능한 경우에 특정한 공정 순서는 설명되는 순서와 다르게 수행될 수도 있다. 예를 들어, 연속하여 설명되는 두 공정이 실질적으로 동시에 수행될 수도 있고, 설명되는 순서와 반대의 순서로 수행될 수도 있다.
첨부 도면에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예들은 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조 과정에서 초래되는 형상의 변화를 포함하여야 한다.
도 1은 본 발명의 기술적 사상에 의한 세정 조성물을 제조하는 방법을 설명하기 위한 플로차트이다.
도 1을 참조하면, 공정 P12에서, 유기산, 산화제, 및 DIW (deionized water)를 포함하는 혼합물을 제조한다.
일부 실시예들에서, 상기 유기산은 술폰산 (sulfonic acid)을 포함할 수 있다. 상기 술폰산은 C1 ∼ C10의 알킬기 및 C6 ∼ C20의 아릴기 중 적어도 하나를 포함할 수 있다. 예를 들면, 상기 술폰산은 순도 99.9 %의 메탄 술폰산 (methane sulfonic acid: MSA)으로 이루어질 수 있다.
다른 일부 실시예들에서, 상기 유기산은 화학식 1로 표시되는 것을 화합물을 포함할 수 있다.
Figure pat00003
화학식 1에서, R1 및 R2는 각각 수소 원자, C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기이고, 상기 R1 및 R2 중 적어도 하나는 C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기이다.
또 다른 일부 실시예들에서, 상기 유기산은 알킬 술폰산 (alkyl sulfonic acid), 아릴 술폰산 (aryl sulfonic acid), 타우린 (taurine), PFOS (perfluorooctane sulfonate), 나피온 (Nafion), 술파민산 (sulfamic acid), 옥살산 (oxalic acid), 도데실벤젠 술폰산 나트륨 (sodium dodecylbenzenesulfonate), 또는 이들의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 산화제는 이산화 염소 (chlorine dioxide), 인산 (phosphoric acid), 질산 (nitric acid), 염산 (hydrochloric acid), 과산화수소 (hydrogen peroxide), 알킬 페록사이드 (alkyl peroxide), 아릴 페록사이드 (aryl peroxide), 과황산 암모늄 (ammonium persulfate: APS), 과염소산 암모늄 (ammonium perchlorate: APC), 또는 이들의 조합으로 이루어질 수 있다. 상기 알킬 페록사이드는 C1 ∼ C10의 알킬기를 포함할 수 있다. 상기 아릴 페록사이드는 C6 ∼ C20의 아릴기를 포함할 수 있다.
다른 일부 실시예들에서, 상기 산화제는 화학식 2로 표시되는 화합물을 포함할 수 있다.
Figure pat00004
화학식 2에서, R3 및 R4는 각각 수소 원자, C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기이다.
일부 실시예들에서, 공정 P12에서 제조한 혼합물은 상기 혼합물의 총 중량을 기준으로 약 75 ∼ 99 중량%의 메탄 술폰산, 약 0.1 ∼ 10 중량%의 과산화수소, 및 약 0.1 ∼ 20 중량%의 DIW를 포함할 수 있다.
일부 실시예들에서, 상기 유기산으로서 메탄 술폰산을 사용하고, 상기 산화제로서 과산화수소를 사용하여 공정 P12에 따라 상기 혼합물을 제조하는 경우, 순도 99.9 %의 메탄 술폰산과, 순도 30 %의 과산화수소 수용액을 약 3:1 내지 10:1의 범위 내에서 선택되는 부피비로 혼합할 수 있다.
상기 혼합물은 약 75 ∼ 99 중량%의 메탄 술폰산, 약 0.1 ∼ 10 중량%의 과산화수소, 및 약 0.1 ∼ 20 중량%의 DIW를 포함할 수 있다.
일부 실시예들에서, 공정 P12에 따라 상기 혼합물을 제조하는 데 있어서, 트리아졸 (triazole), 테트라졸 (tetrazole), 펜타졸 (pentazole), 벤조트리아졸 (benzotriazole) 등과 같은 아졸 화합물이 더 첨가될 수 있다. 상기 아졸 화합물은 상기 혼합물 내에서 약 1 중량% 미만의 양으로 첨가될 수 있다. 상기 아졸 화합물은 금속의 부식을 억제하는 역할을 할 수 있다.
공정 P14에서, 상기 혼합물을 교반하여 세정 조성물을 제조한다.
상기 세정 조성물 내에서, 상기 세정 조성물의 총량을 기준으로 상기 유기산은 약 75 ∼ 99 중량%, 상기 산화제는 약 0.1 ∼ 10 중량%, 상기 DIW는 약 0.1 ∼ 20 중량%의 양으로 포함될 수 있다.
상기 세정 조성물의 pH는 5 이하일 수 있다. 상기 세정 조성물의 pH를 조절하기 위하여, 공정 P12에 따라 혼합물을 제조할 때 유기산의 함량을 조절할 수 있다.
일부 실시예들에서, 상기 세정 조성물은 1 중량% 미만의 아졸 화합물을 더 포함할 수 있다. 상기 아졸 화합물이 포함되는 경우, 도 3d 및 도 5b를 참조하여 설명하는 바와 같이 상기 세정 조성물을 사용하여 금속 함유층을 가지는 포토마스크를 습식 처리할 때, 상기 금속 함유층에 포함된 금속이 부식 또는 식각되는 것을 억제할 수 있는 효과가 더 향상될 수 있다.
도 2는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
도 3a 내지 도 3f는 도 2에 예시한 공정들에 따라 집적회로 소자를 제조하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 예시적인 단면도들이다.
도 2 및 도 3a를 참조하면, 공정 P22에서, 포토마스크 블랭크(100A)를 준비한다.
상기 포토마스크 블랭크(100A)는 포토마스크 기판(110)과, 상기 포토마스크 기판(110)의 전면측 표면(110F) 위에 형성된 다중 반사막(120)과, 상기 다중 반사막(120) 위에 형성된 캡핑층 (capping layer)(130)과, 상기 캡핑층(130) 위에 형성된 버퍼층(150)과, 상기 버퍼층(150) 위에 차례로 형성된 광흡수층(170) 및 저반사층(172)을 포함할 수 있다. 일부 실시예들에서, 상기 버퍼층(150) 및 저반사층(172) 중 적어도 하나가 생략될 수 있다. 상기 포토마스크 기판(110)의 배면측 표면(110B) 위에는 백사이드 도전막(180)이 형성되어 있다.
상기 포토마스크 기판(110)은 유전체, 유리, 반도체, 또는 금속 재료로 이루어질 수 있다. 예를 들면, 상기 포토마스크 기판(110)은 합성 석영 유리, 석영 유리, 알루미노 실리케이트 유리, 소다라임 유리, SiO2-TiO2 계 유리 등과 같은 LTEM (low thermal expansion material) 유리, β 석영 고용체를 석출한 결정화 유리, 단결정 실리콘, 또는 SiC로 이루어질 수 있다.
상기 다중 반사막(120)은 고굴절률층(120H)과 저굴절률층(120L)이 교대로 복수 회 적층된 다층 미러 구조를 가진다. 예를 들면, 상기 다중 반사막(120)은 Mo/Si 주기 다중막, Mo 화합물/Si 화합물 주기 다중막, Ru/Si 주기 다중막, Be/Mo 주기 다중막, Si/Nb 주기 다중막, Si/Mo/Ru 주기 다중막, Si/Mo/Ru/Mo 주기 다중막, 또는 Si/Ru/Mo/Ru 주기 다중막으로 이루어질 수 있다.
상기 캡핑층(130)은 금속을 포함할 수 있다. 상기 캡핑층(130)은 포토마스크 블랭크(100A)를 패터닝하여 포토마스크를 제작하기 위한 식각 공정 또는 결함 수정(repair) 공정시 상기 다중 반사막(120)이 손상 받지 않도록 보호하고, 또한 상기 다중 반사막(120)의 표면이 산화되는 것을 방지하는 역할을 할 수 있다. 일부 실시예들에서, 상기 캡핑층(130)은 Ru, Ni 및 Ir 중에서 선택되는 적어도 하나의 전이 금속을 포함할 수 있다.
상기 버퍼층(150)은 포토마스크 블랭크(100A)로부터 반사형 포토마스크를 형성하기 위하여 광흡수층(170)을 건식 식각하는 동안 상기 캡핑층(130)이 손상되지 않도록 보호하는 역할을 할 수 있다. 상기 버퍼층(150)은 Ru, RuB, RuSi, Cr, Cr 질화물, Al, Al 질화물, Ta, Ta 질화물, Pt, Ir, Pd, SiO2, Si3N4, Al2O3, 또는 이들의 조합으로 이루어질 수 있다.
상기 광흡수층(170)은 EUV 광을 흡수하면서 EUV 광의 반사율이 매우 낮은 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 광흡수층(170)은 EUV 광의 파장 영역의 광선을 상기 광흡수층(170)의 표면에 조사하였을 때, 파장 13.5 ㎚ 부근의 최대 광선 반사율이 약 5 % 이하인 물질로 이루어질 수 있다. 상기 광흡수층(170)은 Ta를 주성분으로 하는 재료로 이루어질 수 있다. 일부 실시예들에서, 상기 광흡수층(170)은 Ta 주성분과, Hf, Si, Zr, Ge, B, N 및 H 중에서 선택되는 적어도 하나의 원소를 포함할 수 있다. 예를 들면, 상기 광흡수층(170)은 TaO, TaN, TaHf, TaHfN, TaBSi, TaBSiN, TaB, TaBN, TaSi, TaSiN, TaGe, TaGeN, TaZr, TaZrN, 또는 이들의 조합으로 이루어질 수 있다.
상기 저반사층(172)은 포토마스크의 검사 중에, 검사 광의 파장 대역, 예를 들면 약 190 ∼ 260 nm의 파장 대역에서 비교적 낮은 반사율을 제공함으로써 충분한 콘트라스트를 얻도록 하는 역할을 할 수 있다. 예를 들면, 상기 저반사층(172)은 TaBO, TaBNO, TaOH, TaON, 또는 TaONH로 이루어질 수 있다.
상기 저반사층(172) 위에는 마스크층(190)이 형성될 수 있다. 상기 마스크층(190)은 하드마스크층으로 이루어질 수 있다. 예를 들면, 상기 하드마스크층은 산화물, 질화물, 폴리실리콘, 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 상기 마스크층(190)은 생략 가능하다.
상기 포토마스크 기판(110)의 배면측 표면(110B) 위에 형성된 백사이드 도전막(180)은 노광 공정시에 포토마스크 기판(110)의 휨 현상을 방지하기 위하여 포토마스크 기판(110)을 정전 척을 이용하여 지지할 때 유리하게 이용될 수 있다. 상기 백사이드 도전막(180)은 Cr 또는 CrN으로 이루어질 수 있다.
도 2 및 도 3b를 참조하면, 공정 P24에서, 포토마스크 블랭크(100A)의 광흡수층(170) 위에 포토레지스트 패턴(192P)을 형성한다.
도 3b에 예시된 바와 같이 상기 광흡수층(170) 위에 마스크층(190)이 형성된 경우, 상기 포토레지스트 패턴(192P)은 상기 마스크층(190)위에 형성된다.
상기 포토레지스트 패턴(192P) 전자빔 리소그래피용 포토레지스트 재료로 이루어질 수 있다. 상기 포토레지스트 패턴(192P)은 포지티브형 레지스트 또는 네가티브형 레지스트로 이루어질 수 있다. 일부 실시예들에서, 상기 포토레지스트 패턴(192P)은 약 50 ∼ 100 nm의 두께를 가지도록 형성될 수 있으나, 이에 한정되는 것은 아니다.
도 2 및 도 3c를 참조하면, 공정 P26에서, 포토레지스트 패턴(192P)을 식각 마스크로 이용하여 광흡수층(170)의 일부를 식각하여 광흡수층 패턴(170P)을 포함하는 반사형 포토마스크(PM)를 형성한다.
보다 구체적으로 설명하면, 먼저 상기 포토레지스트 패턴(192P)을 식각 마스크로 이용하여 마스크층(190)을 식각하여 마스크 패턴(190P)을 형성한 후, 상기 포토레지스트 패턴(192P) 및 마스크 패턴(190P)을 식각 마스크로 이용하여 저반사층(172), 광흡수층(170) 및 버퍼층(150)을 차례로 식각하여 저반사 패턴(172P), 광흡수층 패턴(170P) 및 버퍼 패턴(150P)을 형성하여, 원하는 형상의 광흡수층 패턴(170P)을 포함하는 반사형 포토마스크(PM)를 형성한다.
도 2 및 도 3d를 참조하면, 공정 P28에서, 본 발명의 기술적 사상에 의한 실시예들에 따른 세정 조성물(80)을 이용하여 포토레지스트 패턴(192P)(도 3d 참조)을 스트립(strip)하여 제거한다.
상기 세정 조성물(80)은 유기산, 산화제, 및 DIW를 포함할 수 있다. 상기 세정 조성물(80)은 도 1의 공정 P12 및 공정 P14에 따라 얻어진 세정 조성물로 이루어질 수 있다.
상기 세정 조성물(80)을 이용하여 포토레지스트 패턴(192P)을 스트립하기 위하여, 상기 세정 조성물(80)은 상온 내지 90 ℃, 예를 들면 약 20 ∼ 80 ℃의 온도로 유지하면서 상기 포토레지스트 패턴(192P)으로 덮인 포토마스크(PM)를 상기 세정 조성물(80) 내에 약 1 ∼ 30 분 동안 딥핑(dipping)할 수 있다.
일부 실시예들에서, 상기 포토레지스트 패턴(192P)의 제거 시간을 단축하기 위하여, 상기 포토마스크(PM)가 상기 세정 조성물(80) 내에 딥핑된 상태에서, 상기 세정 조성물(80)을 교반(stirring)하거나 상기 세정 조성물(80)에 초음파를 인가할 수 있다. 다른 일부 실시예들에서, 상기 포토레지스트 패턴(192P)의 제거 시간을 단축하기 위하여, 상기 포토마스크(PM)가 상기 세정 조성물(80) 내에 딥핑된 상태에서, 상기 세정 조성물(80)을 교반하는 동시에 상기 포토마스크(PM)에 물리적인 힘을 가하여 흔들어줄 수 있다. 또는, 상기 포토마스크(PM)가 상기 세정 조성물(80) 내에 딥핑된 상태에서, 상기 세정 조성물(80)에 초음파를 인가하는 동시에 상기 포토마스크(PM)에 물리적인 힘을 가하여 흔들어줄 수 있다.
상기 세정 조성물(80)을 이용하여 포토레지스트 패턴(192P)을 스트립하는 동안 상기 반사형 포토마스크(PM)의 캡핑층(130), 버퍼 패턴(150P), 광흡수층 패턴(170P), 및 저반사 패턴(172P)이 각각 상기 세정 조성물(80)에 노출될 수 있다.
상기 세정 조성물(80)은 금속 산화력이 비교적 큰 무기산을 포함하지 않을 수 있다. 상기 세정 조성물(80)은 무기산 대신 금속 산화력이 비교적 약한 유기산을 포함할 수 있다. 따라서, 세정 조성물(80)을 사용하여 포토레지스트 패턴(192P)을 스트립하는 동안 금속을 포함하는 반사형 포토마스크(PM)의 캡핑층(130), 버퍼 패턴(150P), 광흡수층 패턴(170P), 및 저반사 패턴(172P)이 각각 상기 세정 조성물(80)에 노출되더라도, 이들 금속을 함유하는 구성 요소들에 손상을 가하지 않고, 포토레지스트 패턴(192P)을 구성하는 유기물들을 효과적으로 제거할 수 있다. 따라서, 세정 조성물(80)을 사용하여 포토레지스트 패턴(192P)을 제거한 후, 포토마스크(PM)의 광흡수층 패턴(170P)의 CD (critical dimension)가 원하지 않게 변화되는 문제를 방지할 수 있다.
또한, 상기 세정 조성물(80)에 포함되는 산화제로서 알킬기 및/또는 아릴기를 포함하는 산화제, 예를 들면 메탄 술폰산을 사용함으로써, 세정 조성물(80)을 사용하여 포토레지스트 패턴(192P)을 스트립하는 동안 금속을 포함하는 반사형 포토마스크(PM)의 캡핑층(130), 버퍼 패턴(150P), 광흡수층 패턴(170P), 및 저반사 패턴(172P)이 각각 상기 세정 조성물(80)에 노출되더라도, 이들에 각각 함유되어 있는 금속이 상기 세정 조성물(80)에 의해 식각되어 소모되는 것을 억제할 수 있다.
도 3e를 참조하면, 상기 마스크 패턴(190P) (도 3d 참조)을 제거하여 저반사 패턴(172P)의 상면을 노출시킨다.
도 2 및 도 3f를 참조하면, 공정 P30에서, 반사형 포토마스크(PM)를 이용하여 웨이퍼(W)상에 형성된 포토레지스트막(PR)에 대하여 노광 공정을 수행한다.
상기 노광 공정을 수행하는 데 있어서, EUV 리소그래피 시스템(200)의 정전 척(210)에 고정된 반사형 포토마스크(PM)상에 극자외선 (extreme ultraviolet: EUV)(L1)을 조사하고, 상기 반사형 포토마스크(PM)의 다중 반사막(120)(도 3e 참조)으로부터 반사되는 빛(L2)이 투영 광학계(220)를 통과하여 웨이퍼(W)상의 포토레지스트막(PR)에 조사될 수 있다.
도 4는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
도 5a 및 도 5b는 도 4에 예시한 공정들에 따라 집적회로 소자를 제조하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 예시적인 단면도들이다.
도 4를 참조하면, 공정 P42에서, 포토마스크로부터 반사된 빛을 이용하여 웨이퍼상에 형성된 포토레지스트막을 노광한다.
일부 실시예들에서, 공정 P42에 따라 노광 공정을 수행하기 위하여, 도 2의 공정 P30 및 도 3f를 참조하여 설명한 바와 같은 공정을 수행할 수 있다. 본 명세서에서는 설명의 편의를 위하여 도 3f에 예시한 웨이퍼(W) 및 포토레지스트막(PR)을 예로 들어 설명한다.
도 5a는 도 4의 공정 P42에 따라 노광 공정을 수행한 후의 포토마스크(PM)가 예시되어 있다. 도 5a에서는 도 3e에 예시한 포토마스크(PM)를 사용한 경우를 예시하였으나, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들면, 본 발명의 기술적 사상의 범위 내에서 상기 포토마스크(PM)로부터 변형 또는 변경된 구조를 가지는 포토마스크를 사용하여 노광 공정이 수행될 수도 있다.
도 5a에 예시한 바와 같이, 도 4의 공정 P42에 따라 상기 노광 공정이 수행된 후, 또는 상기 노광 공정이 수행되는 동안, 상기 웨이퍼(W)상에 형성된 포토레지스트막(PR), 또는 상기 웨이퍼(W)상에 잔류하는 유기 오염물로 인해, 상기 포토마스크(PM)의 표면이 유기 잔류물, 파티클 등으로 이루어지는 오염물(CP)에 의해 오염될 수 있다.
도 4 및 도 5b를 참조하면, 공정 P44에서, 유기산, 산화제, 및 DIW를 포함하는 세정 조성물(80)을 이용하여 포토마스크(PM)를 세정하여, 포토마스크(PM)의 표면에 있는 오염물(CP)(도 5a 참조)을 제거한다. 상기 세정 조성물(80)은 도 1을 참조하여 설명한 제조 방법에 의해 얻어진 것으로 이루어질 수 있다.
상기 세정 조성물(80)을 이용하여 포토마스크(PM)를 세정하기 위하여, 상기 세정 조성물(80)을 상온 내지 90 ℃, 예를 들면 약 20 ∼ 80 ℃의 온도로 유지하면서 오염물(CP)에 의해 오염된 포토마스크(PM)를 상기 세정 조성물(80) 내에 약 1 ∼ 30 분 동안 딥핑할 수 있다.
일부 실시예들에서, 상기 포토마스크(PM)의 세정 시간을 단축하기 위하여, 상기 포토마스크(PM)가 상기 세정 조성물(80) 내에 딥핑된 상태에서, 상기 세정 조성물(80)을 교반하거나 상기 세정 조성물에 초음파를 인가할 수 있다. 다른 일부 실시예들에서, 상기 포토마스크(PM)의 세정 시간을 단축하기 위하여, 상기 포토마스크(PM)가 상기 세정 조성물(80) 내에 딥핑된 상태에서, 상기 세정 조성물(80)을 교반하는 동시에 상기 포토마스크(PM)에 물리적인 힘을 가하여 흔들어줄 수 있다. 또는, 상기 포토마스크(PM)가 상기 세정 조성물(80) 내에 딥핑된 상태에서, 상기 세정 조성물(80)에 초음파를 인가하는 동시에 상기 포토마스크(PM)에 물리적인 힘을 가하여 흔들어줄 수 있다.
상기 세정 조성물(80)을 이용하여 포토마스크(PM)를 세정하는 동안, 포토마스크(PM)의 캡핑층(130), 버퍼 패턴(150P), 광흡수층 패턴(170P), 및 저반사 패턴(172P)이 각각 상기 세정 조성물(80)에 노출될 수 있다.
상기 세정 조성물(80)은 금속 산화력이 비교적 큰 무기산을 포함하지 않고, 금속 산화력이 비교적 약한 유기산을 포함할 수 있다. 따라서, 세정 조성물(80)을 사용하여 포토마스크(PM)를 세정하는 동안, 금속을 포함하는 반사형 포토마스크(PM)의 캡핑층(130), 버퍼 패턴(150P), 광흡수층 패턴(170P), 및 저반사 패턴(172P)과 같은 구성 요소들이 각각 상기 세정 조성물(80)에 노출되더라도, 이들 금속을 함유하는 구성 요소들에 손상을 가하지 않고, 세정 조성물(80)로부터 유기 잔류물 및/또는 파티클로 이루어지는 오염물(CP)이 효과적으로 제거될 수 있다.
또한, 상기 세정 조성물(80)에 포함되는 산화제로서 알킬기 및/또는 아릴기를 포함하는 산화제, 예를 들면 메탄 술폰산을 사용함으로써, 세정 조성물(80)을 사용하여 포토마스크(PM)를 세정하는 동안, 금속을 포함하는 반사형 포토마스크(PM)의 캡핑층(130), 버퍼 패턴(150P), 광흡수층 패턴(170P), 및 저반사 패턴(172P)이 각각 상기 세정 조성물(80)에 노출되더라도, 이들에 각각 함유되어 있는 금속이 상기 세정 조성물(80)에 의해 식각되어 소모되는 것을 억제할 수 있다.
따라서, 세정 조성물(80)을 사용하여 오염물(CP)을 제거한 후, 포토마스크(PM)의 광흡수층 패턴(170P)의 CD가 원하지 않게 변화되는 문제를 방지할 수 있으며, 이에 따라 포토마스크(PM)의 원하지 않는 변형으로 인해 야기될 수 있는 포토마스크 에러들, 예들 들면 평탄도(flatness) 에러, 두께 편차 (thickness variation) 에러, CD 균일도 (critical dimension uniformity: CDU) 에러 등과 같은 원하지 않는 에러들이 발생되는 것을 방지할 수 있다.
대조예로서, 도 5a에 예시한 바와 같이 오염물(CP)에 의해 오염된 포토마스크(PM)를 세정하기 위하여 황산-과산화수소 혼합액 (SPM: Sulfuric Peroxide Mixture)을 이용하는 경우, 금속 산화력이 비교적 큰 무기산을 포함하는 세정 분위기에 포토마스크가 노출되어, 포토마스크의 노출 표면이 손상되기 쉽다. 특히, 펠리클(pellicle)을 사용하지 않는 EUV 노광 공정에서 사용되는 포토마스크에 대하여 SPM을 사용하는 세정 공정이 반복적으로 수행되는 경우, 세정 횟수가 누적됨에 따라 포토마스크 중 SPM에 노출되는 표면으로부터 금속 성분들이 과도하게 손상 및/또는 식각되어, 포토마스크에 포함된 차광막 패턴의 CD가 열화되고, 포토마스크의 라이프타임이 짧아지게 된다.
반면, 본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라, 유기산, 산화제, 및 DIW를 포함하는 세정 조성물(80)을 이용하여 포토마스크(PM)를 세정하는 경우, 포토마스크(PM)의 손상을 방지하면서 오염물(CP)을 효과적으로 제거할 수 있다. 따라서, 포토마스크(PM)의 라이프타임을 향상시킬 수 있다.
도 4의 공정 P46에서, 세정된 포토마스크(PM)를 린스한다.
상기 포토마스크(PM)의 린스 공정은 DIW를 사용하여 수행될 수 있다.
일부 실시예들에서, 상기 포토마스크(PM)의 린스 공정을 수행하기 위하여, 상기 포토마스크(PM)를 DIW 내에 수 분, 예를 들면 약 1 분 동안 딥핑할 수 있다. 상기 DIW내에 포토마스크를 딥핑하는 동안 DIW의 교반이 동시에 수반될 수 있다.
도 4의 공정 P48에서, 포토마스크(PM)를 건조한다.
상기 포토마스크(PM)의 건조 공정은 불활성 가스 또는 IPA (isopropyl alcohol)을 사용하여 수행될 수 있다. 일부 실시예들에서, 상기 포토마스크(PM)의 건조 공정을 수행하기 위하여, 포토마스크(PM)에 N2 가스 또는 IPA를 공급할 수 있다.
도 4의 공정 P50에서, 포토마스크(PM)를 이용하여 노광 공정을 수행한다.
일부 실시예들에서, 공정 P50에 따라 노광 공정을 수행하는 데 있어서, 도 2 및 도 3f를 참조하여 설명한 바와 유사하게, EUV 리소그래피 시스템(200)의 정전 척(210)에 고정된 반사형 포토마스크(PM)상에 EUV 빔을 조사하고, 상기 포토마스크(PM)의 다중 반사막(120)(도 3e 참조)으로부터 반사되는 빛(L2)이 투영 광학계(220)를 통과하여 웨이퍼(W)상의 포토레지스트막(PR)에 조사될 수 있다.
공정 P50에 따라 노광 공정을 수행하는 동안, 세정 조성물(80)(도 5b 참조)을 이용하여 세정된 포토마스크(PM)를 사용함으로써, 노광 공정시 웨이퍼(W)상의 정확한 위치에 원하는 형상의 패턴을 효과적으로 전사할 수 있다.
평가예 1
표 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 세정 조성물의 다양한 조성비에 따라 포지티브형 레지스트(Posi PR) 제거력, 네가티브형 레지스트(Nega PR) 제거력, 금속 보호 효과(Metal Protection), 및 탄소함유 잔류물 (Carbon residue) 제거력을 평가한 결과를 나타낸 것이다.
Figure pat00005
표 1의 평가를 위하여, 유기산, 산화제, 및 DIW로 이루어지는 세정 조성물을 다양한 조성비로 제조하고, 이들의 Posi PR 제거력, Nega PR 제거력, 금속 보호 효과, 및 탄소함유 잔류물 제거력을 평가하였다. 여기서, 유기산으로서 메탄 술폰산을 사용하고, 산화제로서 과산화수소를 사용하였다.
Posi PR 제거력을 평가하기 위하여, 실시예 1 내지 실시예 3에 따라 다양한 조성비를 가지는 세정 조성물을 25 ℃로 유지하면서, 상기 세정 조성물 내에 평가용 시료들을 1 분동안 딥핑한 후, 상기 평가용 시료들로부터 Posi PR이 완전히 제거되었는지 관찰하였다. Posi PR로서 FFEM (FUJIFILM Electronic Materials) 주식회사 제품의 상품명 XPF-355를 사용하여 얻어진 포토레지스트막을 이용하였다.
Nega PR 제거력을 평가하기 위하여, 실시예 1 내지 실시예 3에 따라 다양한 조성비를 가지는 세정 조성물을 80 ℃로 유지하면서, 상기 세정 조성물 내에 평가용 시료들을 1 분동안 딥핑한 후, 상기 평가용 시료들로부터 Nega PR이 완전히 제거되었는지 관찰하였다. Nega PR로서 신에츠 (Shin-Etsu Chemical Co., Ltd.) 제품의 상품명 SEBN-1702를 사용하여 얻어진 포토레지스트막을 이용하였다.
금속 보호 효과를 평가하기 위하여, 실시예 1 내지 실시예 3에 따라 다양한 조성비를 가지는 세정 조성물 100 ㎖를 25 ℃로 유지하면서, 상기 세정 조성물 내에 금속함유 물질 시편들을 1 시간 동안 딥핑하였다. 그 후, 상기 금속함유 물질 시편들을 꺼내고 상기 금속함유 물질 시편들로부터 금속함유 물질 소모량을 측정하였다. 상기 금속함유 물질 시편들로서 TaN, TaBN, 및 TABO 시편들을 사용하였다. TaN 시편의 경우, 엘립소미터 (ellipsometer)를 이용하여 금속함유 물질 소모량을 측정하였다. TaBN 및 TABO 시편들의 경우, 평가 대상의 세정 조성물로부터 금속함유 물질 시편을 꺼내고 남은 세정 조성물 내에 있는 Ta 함유 물질의 농도를 ICP-MS (inductively coupled plasma mass spectrometry)를 이용하여 측정하였다.
탄소함유 잔류물 제거력을 평가하기 위하여, Ru 막 및 TaBO 막이 노출된 상면을 가지는 평가용 반사형 포토마스크상의 한 지점에 EUV 빔 샷을 90 초 동안 조사하였다. 상기 평가용 반사형 포토마스크상에서 EUV 빔 샷이 조사된 위치 주변에 탄소함유 잔류물이 퇴적된 것을 확인한 후, 상기 탄소함유 잔류물이 퇴적된 평가용 반사형 포토마스크를 실시예 1 내지 실시예 3에 따라 다양한 조성비를 가지는 세정 조성물 내에 1 분 동안 딥핑하였다. 이 때, 상기 세정 조성물은 80 ℃로 유지하였다.
표 1에서, "◎"는 매우 우수(EX), "○"는 우수(VG), "△"는 양호 (GD), 그리고 "X"는 불량(NG)을 나타낸다.
표 1의 결과로부터, 실시예 2의 경우에 평가된 특성들이 모두 매우 우수한 것을 확인하였다.
평가예 2
표 2는 다양한 성분 및 조성을 가지는 비교예들에 따른 세정 조성물들에 대한 Posi PR 제거력, Nega PR 제거력, 금속 보호 효과, 및 탄소함유 잔류물 제거력을 평가한 결과를 나타낸 것이다.
Figure pat00006
표 2의 평가를 위하여, 비교예 1 내지 비교예 8에 따른 다양한 성분 및 조성을 가지는 세정 조성물들에 대하여 표 1의 실시예 1 내지 실시예 3에 대하여 수행한 바와 같은 방법으로 Posi PR 제거력, Nega PR 제거력, 금속 보호 효과, 및 탄소함유 잔류물 제거력을 평가하였다.
비교예 1은 황산 및 과수를 19:1의 중량비로 혼합한 세정 조성물이다.
비교예 2는 DMSO (dimethyl sulfoxide), TMAH (tetramethylammonium hydroxide), 및 DIW를 15:5:80의 중량비로 혼합한 세정 조성물이다.
비교예 3은 NMP (N-methyl-2-pyrrolidone), 히드록실 아민 (hydroxyl amine; 이하, "아민 1"이라 함), 에탄올 아민 (ethanol amine; 이하, "아민 2"라 함), 및 DIW를 38:8:16:38의 중량비로 혼합한 세정 조성물이다.
비교예 4는 DMSO, 아민 1, 아민 2, 및 DIW를 38:8:16:38의 중량비로 혼합한 세정 조성물이다.
비교예 5는 SFL (sulfolane), 아민 1, 아민 2, 및 DIW를 38:8:16:38의 중량비로 혼합한 세정 조성물이다.
비교예 6은 솔케탈(solketal), 아민 1, 아민 2, 및 DIW를 38:8:16:38의 중량비로 혼합한 세정 조성물이다.
비교예 7은 SFL, 아민 1, 및 아민 2를 76:8:16의 중량비로 혼합한 세정 조성물이다.
비교예 8은 솔케탈, 아민 1, 아민 2를 76:8:16의 중량비로 혼합한 세정 조성물이다.
비교예 1 내지 비교예 8의 평가를 위하여, 실시예 1 내지 실시예 3에서의 평가 방법과 동일한 방법을 이용하였다.
표 2에서, "◎"는 매우 우수(EX), "○"는 우수(VG), "△"는 양호 (GD), 그리고 "X"는 불량(NG)을 나타낸다.
비교예 1 내지 비교예 8의 경우, 금속 보호 효과 및 탄소함유 잔류물 제거력이 대체로 불량한 것을 알 수 있다.
평가예 3
표 3에 나타낸 조건에 따라, 표 1의 실시예 2에 따른 조성을 가지는 세정 조성물과, 표 2의 비교예 1에 따른 세정 조성물 각각에 대하여, 표 1의 평가시와 동일한 방법으로 Posi PR 제거력 및 Nega PR 제거력을 평가하였다.
Figure pat00007
표 3에 나타낸 조건으로 평가한 결과, 각각의 경우에 포토레지트막이 잘 제거된 것을 확인하였다.
평가예 4
도 6a 내지 도 6c는 실시예 2 및 비교예 1에 따른 세정 조성물들에 대하여 금속함유 물질층의 보호 능력을 평가한 결과를 나타내는 그래프들이다.
도 6a의 평가를 위하여, 실시예 2 및 비교예 1 각각에 대하여, 세정 조성물 100 ㎖를 25 ℃로 유지하면서, 상기 세정 조성물 내에 TaN 시편을 1 시간 동안 딥핑한 후, 엘립소미터를 이용하여 상기 TaN 시편으로부터 TaN의 소모량을 측정하였다.
도 6b의 평가를 위하여, 실시예 2 및 비교예 1 각각의 세정 조성물 100 ㎖를 25 ℃로 유지하면서, 상기 세정 조성물 내에 TaBN 시편을 1 시간 동안 딥핑한 후, 세정 조성물 내에 있는 Ta의 농도를 ICP-MS를 이용하여 측정하였다.
도 6c의 평가를 위하여, 실시예 2 및 비교예 1 각각의 세정 조성물 100 ㎖를 25 ℃로 유지하면서, 상기 세정 조성물 내에 TaBO 시편을 1 시간 동안 딥핑한 후, 세정 조성물 내에 있는 Ta의 농도를 ICP-MS를 이용하여 측정하였다.
도 6a 내지 도 6c의 결과로부터 실시예 2에 따른 세정 조성물의 금속 보호 효과가 비교예 1의 경우에 비해 현저하게 우수한 것을 알 수 있다.
평가예 5
도 7은 실시예 2, 비교예 1, 및 비교예 9에 따른 세정 조성물들에 대하여 탄소함유 잔류물 제거력을 평가한 결과를 나타내는 표이다.
비교예 9에 따른 세정 조성물은 순도 20 %의 TMAH 수용액으로 이루어지는 세정 조성물이다.
도 7의 평가를 위하여, Ru 막 및 TaBO 막이 노출된 상면을 가지는 평가용 반사형 포토마스크상의 한 지점에 EUV 빔 샷을 90 초 동안 조사한 샘플 1 및 120 초 동안 조사한 샘플 2를 준비하였다. 샘플 1 및 샘플 2 각각의 반사형 포토마스크상에서 EUV 빔 샷이 조사된 위치 주변에 탄소함유 잔류물(Carbon Residue)이 퇴적된 것을 확인한 후, 상기 탄소함유 잔류물이 퇴적된 세정 전의 평가용 반사형 포토마스크를 실시예 2, 비교예 1, 및 비교예 9에 따른 세정 조성물들에 딥핑하여 습식 처리하였다. 이 때, 샘플 1 및 샘플 2 각각의 경우에 대하여, 실시예 2의 세정 조성물의 온도 및 딥핑 시간을 80 ℃ 및 1 분, 비교예 1의 세정 조성물의 온도 및 딥핑 시간을 80 ℃ 및 5 분, 그리고, 비교예 9의 세정 조성물의 온도 및 딥핑 시간을 25 ℃ 및 1 분으로 하였다.
도 7의 평가 결과로부터, 실시예 2의 세정 조성물로 처리한 경우에는 탄소함유 잔류물이 거의 다 제거된 반면, 비교예 1 및 비교예 9의 세정 조성물들의 경우, 세정 전과 크게 차이가 없는 것을 확인하였다.
도 7의 평가와 관련하여, 실시예 2 및 비교예 9에 따른 세정 조성물들에 대하여 습식 처리 조건을 변경하여 탄소함유 잔류물 제거력을 보다 면밀하게 평가하기 위하여, 실시예 2의 세정 조성물의 온도 및 딥핑 시간을 80 ℃ 및 5 분으로 하여, 습식 처리 시간을 도 7의 평가시보다 더 증가시키고, 비교예 9의 세정 조성물의 온도 및 딥핑 시간을 45 ℃ 및 5 분으로 하여, 습식 처리 온도를 도 7의 평가시보다 더 증가시켜 도 7의 샘플 1 및 샘플 2에 대하여 탄소함유 잔류물 제거를 위한 세정 공정을 수행하였다. 참고로, 비교예 9의 세정 조성물은 구성 물질의 특성상 온도를 45 ℃보다 더 높게 올리는 것이 어렵다.
그 결과, 실시예 2의 세정 조성물로 처리한 경우에는 탄소함유 잔류물이 완전히 제거되었다. 반면, 비교예 9의 세정 조성물들의 경우에는 EUV 조사 시간이 120 초인 샘플 2에서 탄소함유 잔류물이 완전히 제거되지 않고 남아 있는 것을 확인하였다.
평가예 6
도 8a는 실시예 2, 비교예 1, 및 비교예 9에 따른 세정 조성물들에 대하여 금속함유 물질층의 보호 능력을 평가한 결과를 나타내는 그래프이다.
도 8a의 평가를 위하여, 실시예 2, 비교예 1, 및 비교예 9에 따른 세정 조성물들 100 ㎖를 각각 80 ℃, 80 ℃, 및 45 ℃로 유지하면서, 상기 세정 조성물들 내에 도 8b에 예시한 단면 구조를 포함하는 반사형 포토마스크(PM8)로부터 얻어진 1 cm × 1 cm 평면 크기의 시편들을 1 시간 동안 딥핑하였다. 상기 시편들에 대하여 XPS (X-ray photoelectron spectroscopy)를 이용하여 TaBO 막의 표면으로부터 깊이 방향에 따라 산소(O) 함량을 분석하여, TaBO 막의 남아 있는 두께를 추정하였다.
도 8a의 결과로부터 알 수 있는 바와 같이, 실시예 2의 경우에는 TaBO 막을 식각하는 데 소요되는 시간이 약 3.5 분으로서, 세정 전과 유사한 TaBO 막 두께를 유지하고 있다. 반면, 비교예 1 및 비교예 9의 경우에는 TaBO 막을 식각하는 데 소요되는 시간이 약 2.4 분으로서, TaBO 막이 세정 조성물에 의해 소모되어 그 두께가 낮아졌음을 알 수 있다.
비교예 9의 세정 조성물은 평가예 5에서와 같이 세정 조성물의 온도를 45 ℃로 비교적 높게 설정하면 세정 조성물의 온도가 25 ℃인 경우에 비해 탄소함유 잔류물 제거력은 향상되는 반면, 금속함유 물질층의 보호 능력은 열화되는 것을 알 수 있다.
반면, 실시예 2에 따른 세정 조성물은 평가된 온도 범위 내에서 탄소함유 잔류물 제거력도 우수할 뿐 만 아니라 금속함유 물질층의 보호 능력도 우수한 것을 알 수 있다.
도 9는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 의해 제조된 집적회로 소자를 포함하는 메모리 카드(1200)의 블록 다이어그램이다.
메모리 카드(1200)는 명령 및 어드레스 신호 C/A를 생성하는 메모리 콘트롤러(1220)와, 메모리 모듈(1210), 예를 들면 1 개 또는 복수의 플래시 메모리 소자를 포함하는 플래시 메모리를 포함한다. 메모리 콘트롤러(1220)는 호스트에 명령 및 어드레스 신호를 전송하거나 이들 신호를 호스트로부터 수신하는 호스트 인터페이스(1223)와, 명령 및 어드레스 신호를 다시 메모리 모듈(1210)에 전송하거나 이들 신호를 메모리 모듈(1210)로부터 수신하는 메모리 인터페이스(1225)를 포함한다. 호스트 인터페이스(1223), 콘트롤러(1224), 및 메모리 인터페이스(1225)는 공통 버스 (common bus)(1228)를 통해 SRAM과 같은 콘트롤러 메모리(1221) 및 CPU와 같은 프로세서(1222)와 통신한다.
메모리 모듈(1210)은 메모리 콘트롤러(1220)로부터 명령 및 어드레스 신호를 수신하고, 응답으로서 메모리 모듈(1210)상의 메모리 소자중 적어도 하나에 데이터를 저장하고 상기 메모리 소자중 적어도 하나로부터 데이터를 검색한다. 각 메모리 소자는 복수의 어드레스 가능한 메모리 셀과, 명령 및 어드레스 신호를 수신하고 프로그래밍 및 독출 동작중에 어드레스 가능한 메모리 셀중 적어도 하나를 억세스하기 위하여 행 신호 및 열 신호를 생성하는 디코더를 포함한다.
메모리 콘트롤러(1220)를 포함하는 메모리 카드(1200)의 각 구성품들, 메모리 콘트롤러(1220)에 포함되는 전자 소자들 (1221, 1222, 1223, 1224, 1225), 및 메모리 모듈(1210)은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 의해 제조된 집적회로 소자를 포함할 수 있다. 또한, 메모리 콘트롤러(1220)를 포함하는 메모리 카드(1200)의 각 구성품들, 메모리 콘트롤러(1220)에 포함되는 전자 소자들 (1221, 1222, 1223, 1224, 1225), 및 메모리 모듈(1210)은 도 1 내지 도 5b를 참조하여 설명한 방법에 의해 제조된 집적회로 소자를 포함할 수 있다.
도 10은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 의해 제조된 집적회로 소자를 포함하는 메모리 카드(1310)를 채용하는 메모리 시스템(1300)의 블록 다이어그램이다.
메모리 시스템(1300)은 공통 버스(1360)를 통해 통신하는 CPU와 같은 프로세서(1330), 랜덤 억세스 메모리(1340), 유저 인터페이스(1350) 및 모뎀(1320)을 포함할 수 있다. 상기 각 소자들은 공통 버스(1360)를 통해 메모리 카드(1310)에 신호를 전송하고 메모리 카드(1310)로부터 신호를 수신한다. 메모리 카드(1310)와 함께 프로세서(1330), 랜덤 억세스 메모리(1340), 유저 인터페이스(1350) 및 모뎀(1320)을 포함하는 메모리 시스템(1300)의 각 구성품들은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 의해 제조된 집적회로 소자를 포함할 수 있다. 특히, 상기 메모리 시스템(1300)의 각 구성품들은 도 1 내지 도 5b를 참조하여 설명한 방법에 의해 제조된 집적회로 소자를 포함할 수 있다.
메모리 시스템(1300)은 다양한 전자 응용 분야에 응용될 수 있다. 예를 들면, SSD (solid state drives), CIS (CMOS image sensors) 및 컴퓨터 응용 칩 세트 분야에 응용될 수 있다.
본 명세서에서 개시된 메모리 시스템들 및 소자들은 예를 들면, BGA (ball grid arrays), CSP (chip scale packages), PLCC (plastic leaded chip carrier), PDIP (plastic dual in-line package), MCP (multi-chip package), WFP (wafer-level fabricated package), WSP (wafer-level processed stock package) 등을 포함하는 다양한 소자 패키지 형태들 중 임의의 형태로 패키지될 수 있으며, 상기 예시된 바에 한정되는 것은 아니다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.
130: 캡핑층, 170: 광흡수층, 172: 저반사층, 192P: 포토레지스트 패턴, 200: EUV 리소그래피 시스템, PM: 포토마스크.

Claims (10)

  1. 유기산과, 산화제와, DIW (deionized water)를 포함하는 세정 조성물을 이용하여 포토마스크를 습식 처리하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자 제조 방법.
  2. 제1항에 있어서,
    상기 세정 조성물 내에서, 상기 세정 조성물의 총량을 기준으로 상기 유기산은 75 ∼ 99 중량%, 상기 산화제는 0.1 ∼ 10 중량%, 상기 DIW는 0.1 ∼ 20 중량%의 양으로 포함되어 있는 것을 특징으로 하는 집적회로 소자 제조 방법.
  3. 제1항에 있어서,
    상기 유기산은 다음 식으로 표시되는 것을 화합물을 포함하는 것을 특징으로 하는 집적회로 소자 제조 방법.
    Figure pat00008

    식중, R1 및 R2는 각각 수소 원자, C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기이고, 상기 R1 및 R2 중 적어도 하나는 C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기임.
  4. 제1항에 있어서,
    상기 유기산은 알킬 술폰산 (alkyl sulfonic acid), 아릴 술폰산 (aryl sulfonic acid), 타우린 (taurine), PFOS (perfluorooctane sulfonate), 나피온 (Nafion), 술파민산 (sulfamic acid), 옥살산 (oxalic acid), 도데실벤젠 술폰산 나트륨 (sodium dodecylbenzenesulfonate), 또는 이들의 조합으로 이루어지는 것을 특징으로 하는 집적회로 소자 제조 방법.
  5. 제1항에 있어서,
    상기 산화제는 이산화 염소 (chlorine dioxide), 인산 (phosphoric acid), 질산 (nitric acid), 염산 (hydrochloric acid), 과산화수소 (hydrogen peroxide), 알킬 페록사이드 (alkyl peroxide), 아릴 페록사이드 (aryl peroxide), 과황산 암모늄 (ammonium persulfate: APS), 과염소산 암모늄 (ammonium perchlorate: APC), 또는 이들의 조합으로 이루어지는 것을 특징으로 하는 집적회로 소자 제조 방법.
  6. 제1항에 있어서,
    상기 산화제는 다음 식으로 표시되는 화합물을 포함하는 것을 특징으로 하는 집적회로 소자 제조 방법.
    Figure pat00009

    식중, R3 및 R4는 각각 수소 원자, C1 ∼ C10의 알킬기, 또는 C6 ∼ C20의 아릴기임.
  7. 제1항에 있어서,
    상기 포토마스크를 습식 처리하는 단계 전에,
    기판과, 상기 기판 위에 형성된 다중 반사막과, 상기 다중 반사막 위에 형성되고 금속을 포함하는 캡핑층 (capping layer)과, 상기 캡핑층 위에 형성되고 금속을 포함하는 광흡수층을 가지는 포토마스크 블랭크를 준비하는 단계와,
    상기 광흡수층 위에 포토레지스트 패턴을 형성하는 단계와,
    상기 포토레지스트 패턴을 식각 마스크로 이용하여 상기 광흡수층의 일부를 식각하여 광흡수층 패턴을 포함하는 포토마스크를 형성하는 단계를 더 포함하고,
    상기 포토마스크를 습식 처리하는 단계는 상기 세정 조성물을 이용하여 상기 포토레지스트 패턴을 스트립(strip)하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자 제조 방법.
  8. 포토마스크로부터 반사된 빛을 이용하여 웨이퍼상에 형성된 포토레지스트막을 노광하는 단계와,
    세정 조성물을 이용하여 상기 포토마스크를 세정하는 단계를 포함하고,
    상기 세정 조성물은 상기 세정 조성물의 총량을 기준으로 75 ∼ 99 중량%의 유기산, 0.1 ∼ 10 중량%의 산화제, 및 0.1 ∼ 20 중량%의 DIW (deionized water)를 포함하는 것을 특징으로 하는 집적회로 소자 제조 방법.
  9. 제8항에 있어서,
    상기 술폰산은 C1 ∼ C10의 알킬기 및 C6 ∼ C20의 아릴기 중 적어도 하나를 포함하는 것을 특징으로 하는 집적회로 소자 제조 방법.
  10. 제8항에 있어서,
    상기 술폰산은 순도 99.9 %의 메탄 술폰산 (methane sulfonic acid: MSA)으로 이루어지는 것을 특징으로 하는 집적회로 소자 제조 방법.
KR1020140146434A 2014-10-27 2014-10-27 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법 KR102296739B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020140146434A KR102296739B1 (ko) 2014-10-27 2014-10-27 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법
US14/750,651 US9507255B2 (en) 2014-10-27 2015-06-25 Methods of manufacturing integrated circuit devices by using photomask cleaning compositions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140146434A KR102296739B1 (ko) 2014-10-27 2014-10-27 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법

Publications (2)

Publication Number Publication Date
KR20160049389A true KR20160049389A (ko) 2016-05-09
KR102296739B1 KR102296739B1 (ko) 2021-09-01

Family

ID=55791916

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140146434A KR102296739B1 (ko) 2014-10-27 2014-10-27 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법

Country Status (2)

Country Link
US (1) US9507255B2 (ko)
KR (1) KR102296739B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180093783A (ko) * 2017-02-14 2018-08-22 동우 화인켐 주식회사 마스크 세정액 조성물

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10802393B2 (en) * 2017-10-16 2020-10-13 Globalfoundries Inc. Extreme ultraviolet (EUV) lithography mask

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735531B1 (ko) * 2006-03-21 2007-07-04 삼성전자주식회사 보상 패턴을 포함하는 반사형 포토마스크와 그 제조방법 및반사형 블랭크 포토마스크
US20110146727A1 (en) * 2008-08-13 2011-06-23 Kalyankar Nikhil D Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
US20120211024A1 (en) * 2009-11-03 2012-08-23 Hamatech Ape Gmbh & Co. Kg Method and apparatus for treating substrates

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61105548A (ja) * 1984-10-29 1986-05-23 Nec Corp 写真蝕刻用フオトマスクの製造方法
US5114494A (en) * 1990-05-02 1992-05-19 Zenith Electronics Corporation Mask washing system and method
JP3920429B2 (ja) * 1997-12-02 2007-05-30 株式会社ルネサステクノロジ 位相シフトフォトマスクの洗浄方法および洗浄装置
KR100569533B1 (ko) 2001-10-25 2006-04-07 주식회사 하이닉스반도체 포토레지스트 세정용 조성물
KR100583527B1 (ko) 2004-07-14 2006-05-26 테크노세미켐 주식회사 반도체 연마 슬러리용 첨가제 및 그 조성물
KR101136026B1 (ko) 2004-09-24 2012-04-18 주식회사 동진쎄미켐 포토레지스트용 박리제 및 상기 박리제를 이용한 박막트랜지스터 표시판의 제조 방법
KR100745065B1 (ko) * 2004-12-27 2007-08-01 주식회사 하이닉스반도체 위상반전 마스크의 성장성 이물질 제거방법
KR100784938B1 (ko) 2005-03-23 2007-12-11 에코리서치(주) 반도체소자 세정용 조성물
US20090093118A1 (en) 2005-04-14 2009-04-09 Showa Denko K.K. Polishing composition
JP4912791B2 (ja) 2006-08-21 2012-04-11 Jsr株式会社 洗浄用組成物、洗浄方法及び半導体装置の製造方法
SG10201610631UA (en) * 2006-12-21 2017-02-27 Entegris Inc Liquid cleaner for the removal of post-etch residues
US7462248B2 (en) * 2007-02-06 2008-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for cleaning a photomask
US20090001339A1 (en) 2007-06-29 2009-01-01 Tae Young Lee Chemical Mechanical Polishing Slurry Composition for Polishing Phase-Change Memory Device and Method for Polishing Phase-Change Memory Device Using the Same
KR100943020B1 (ko) 2007-06-29 2010-02-17 제일모직주식회사 상변화 메모리 소자 연마용 cmp 슬러리 조성물 및 이를이용한 연마 방법
US7763399B2 (en) * 2007-08-31 2010-07-27 Intel Corporation Removal of ionic residues or oxides and prevention of photo-induced defects, ionic crystal or oxide growth on photolithographic surfaces
KR20090073376A (ko) * 2007-12-31 2009-07-03 삼성전자주식회사 위상 반전 마스크 세정용 조성물, 위상 반전 마스크의 세정방법 및 위상 반전 마스크의 제조 방법
JP5419612B2 (ja) * 2008-10-23 2014-02-19 Hoya株式会社 マスクブランク用基板の製造方法、反射型マスクブランクの製造方法及び反射型マスクの製造方法
US8324143B2 (en) * 2008-12-19 2012-12-04 Sanyo Chemical Industries, Ltd. Cleaning agent for electronic materials
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8333843B2 (en) 2009-04-16 2012-12-18 Applied Materials, Inc. Process to remove metal contamination on a glass substrate
KR101088823B1 (ko) 2009-09-28 2011-12-06 주식회사 하이닉스반도체 슬러리 및 슬러리를 이용한 반도체 소자의 금속배선 형성방법
KR20120036604A (ko) 2010-10-08 2012-04-18 동우 화인켐 주식회사 네가티브 포토레지스트 잔류물 제거용 조성물 및 이를 이용한 세정방법
KR101092076B1 (ko) 2011-03-17 2011-12-12 진정복 에칭 조성물 및 이를 이용한 에칭 방법
KR101861311B1 (ko) 2011-04-01 2018-05-29 주식회사 동진쎄미켐 포토리소그래피용 세정액 조성물
US20150144160A1 (en) * 2012-12-13 2015-05-28 Beijing Sevenstar Electronics Co., Ltd. Etchant, preparation thereof and method of using the same in the cleaning process
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
US9081288B2 (en) * 2013-07-31 2015-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet (EUV) mask, method of fabricating the EUV mask and method of inspecting the EUV mask

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735531B1 (ko) * 2006-03-21 2007-07-04 삼성전자주식회사 보상 패턴을 포함하는 반사형 포토마스크와 그 제조방법 및반사형 블랭크 포토마스크
US20110146727A1 (en) * 2008-08-13 2011-06-23 Kalyankar Nikhil D Combinatorial approach to the development of cleaning formulations for glue removal in semiconductor applications
US20120211024A1 (en) * 2009-11-03 2012-08-23 Hamatech Ape Gmbh & Co. Kg Method and apparatus for treating substrates

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180093783A (ko) * 2017-02-14 2018-08-22 동우 화인켐 주식회사 마스크 세정액 조성물

Also Published As

Publication number Publication date
KR102296739B1 (ko) 2021-09-01
US9507255B2 (en) 2016-11-29
US20160116836A1 (en) 2016-04-28

Similar Documents

Publication Publication Date Title
US20210103220A1 (en) Metal-Compound-Removing Solvent and Method in Lithography
US10622211B2 (en) Metal-compound-removing solvent and method in lithography
KR102109129B1 (ko) 반사형 포토마스크 블랭크 및 반사형 포토마스크
US9383637B2 (en) Substrate with multilayer reflective film, reflective mask blank for EUV lithography, method of manufacturing reflective mask for EUV lithography and method of manufacturing semiconductor device
TW201619362A (zh) 用來清洗半導體元件之包含鹼土金屬的清洗液及利用該清洗液的半導體元件之清洗方法
JP2013254769A (ja) 半導体装置の製造方法およびマスク
TW201921085A (zh) 反射型光罩基底、反射型光罩及反射型光罩基底之製造方法
KR102296739B1 (ko) 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법
US9341941B2 (en) Reflective photomask blank, reflective photomask, and integrated circuit device manufactured by using reflective photomask
US11691187B2 (en) Method for cleaning substrate
US11846881B2 (en) EUV photomask
KR102458583B1 (ko) 기판을 세정하기 위한 방법
KR102171266B1 (ko) 반사형 포토마스크 블랭크 및 반사형 포토마스크와 포토마스크를 이용하여 제조된 집적회로 소자
Singh et al. Preserving the mask integrity for the lithography process
US12019368B2 (en) Removal of contaminants from EUV masks
US20220283495A1 (en) Removal of contaminants from euv masks
Singh et al. Effects of cleaning on NIL templates: surface roughness, CD, and pattern integrity
JP4623254B2 (ja) フォトレジスト剥離剤組成物
KR20240061663A (ko) Euv 펠리클 제조시 캐핑층을 보호하기 위한 상온공정 및 이에 의해 제조된 euv 펠리클
Shimomura et al. Optimizing EUV mask blank cleaning processes using the Lasertec M7360
KR20080039130A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant