KR102458583B1 - 기판을 세정하기 위한 방법 - Google Patents

기판을 세정하기 위한 방법 Download PDF

Info

Publication number
KR102458583B1
KR102458583B1 KR1020200104037A KR20200104037A KR102458583B1 KR 102458583 B1 KR102458583 B1 KR 102458583B1 KR 1020200104037 A KR1020200104037 A KR 1020200104037A KR 20200104037 A KR20200104037 A KR 20200104037A KR 102458583 B1 KR102458583 B1 KR 102458583B1
Authority
KR
South Korea
Prior art keywords
mixture
substrate
applying
water
photomask
Prior art date
Application number
KR1020200104037A
Other languages
English (en)
Other versions
KR20210038308A (ko
Inventor
하오-밍 창
치아-시 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210038308A publication Critical patent/KR20210038308A/ko
Application granted granted Critical
Publication of KR102458583B1 publication Critical patent/KR102458583B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B2203/00Details of cleaning machines or methods involving the use or presence of liquid or steam
    • B08B2203/005Details of cleaning machines or methods involving the use or presence of liquid or steam the liquid being ozonated

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

기판을 세정하기 위한 방법은, 포토마스크 기판을 수용하는 단계 ― 상기 포토마스크 기판은 포토마스크 기판의 표면 위에 배치된 다층 반사 구조물, 다층 반사 구조물 상에 배치된 캐핑 층, 및 흡수체를 구비하고, 포토마스크 기판은 포토마스크 기판의 표면 위에 배치된 복수의 도전성 나노입자들을 가짐 ― ; 도전성 나노입자들을 제거하기 위하여 포토마스크 기판에 SC1 용액, 탈이온(DI, deionized)수, 및 오존(O3)을 포함하는 제1 혼합물을 도포하는 단계; 및 포토마스크 기판을 세척하기(rinse) 위하여 DI수를 도포하는 단계를 포함한다. 도전성 나노입자들의 제거율은 약 90%보다 크다.

Description

기판을 세정하기 위한 방법{METHOD FOR CLEANING SUBSTRATE}
이 출원은 2019년 9월 27일자로 출원된 미국 가특허 출원 제62/907,510호의 우선권을 청구하며, 이 가특허 출원의 전체 개시내용은 인용에 의해 본 명세서에 통합된다.
반도체 집적 회로 산업은 지난 수십년 동안 급성장을 경험해 왔다. 반도체 재료들 및 설계의 기술 발전으로 인해 지속적으로 더 작고 더 복잡한 회로들이 만들어졌다. 이러한 재료 및 설계의 발전은 프로세싱 및 제조와 관련된 기술들도 또한 기술적으로 발전함에 따라 가능해졌다. 반도체 진화의 과정에서, 안정적으로 생성될 수 있는 가장 컴포넌트의 사이즈가 감소함에 따라 단위 면적당 상호연결된 디바이스들의 수가 증가했다.
반도체 제조는 포토리소그래피의 프로세스에 크게 의존하는데, 이 프로세스에서는 주어진 주파수의 광을 사용하여 원하는 패턴을 반도체 프로세싱 중인 웨이퍼에 전사한다. 패턴을 웨이퍼에 전사하기 위하여, 포토마스크(마스크 또는 레티클이라고도 함)가 종종 사용된다. 포토마스크는 포토레지스트(PR) 층과 같은 웨이퍼 상의 층에 원하는 패턴의 광을 허용하고, 이는 광 노출에 화학적으로 반응하여 PR 층의 일부 부분들을 제거하고 다른 부분들을 남긴다. 나머지 PR은 그 후 아래 놓인 층을 패터닝하는데 사용된다. 피처 사이즈가 감소함에 따라, 패턴 층들에 대한 포토리소그래피에 사용되는 광의 파장도 감소하여, 추가적인 어려움을 생성하고, 광원으로서 극 자외선(EUV) 및 위상 변이 마스크들을 사용하는 것과 같은 기술 발전이 필요하게 한다. 포토마스크를 향상시키는 것은 업계의 지속적인 발전을 촉진하는데 중요한데, 특히 이는 패터닝된 층의 결함들이 반도체 디바이스들 및 집적 회로들의 제조의 후속 프로세싱 단계들 동안 합성될 수 있기 때문이다. 따라서 오염 또는 입자 제거에 대한 개선을 포함한 포토마스크 개선이 요구된다.
본 개시물의 양상들은 첨부 도면들과 함께 판독될 때 아래의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았다는 것을 알아야 한다. 실제로, 다양한 피처들의 치수들은 논의의 명료성을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 본 개시물의 양상들에 따른, 포토마스크를 세정하기 위한 방법을 나타내는 흐름도이다.
도 2a 내지 도 2d는 하나 이상의 실시예에서 본 개시물의 양상들에 따른 다양한 제조 스테이지들에서의 포토마스크의 개략도들이다.
도 3a 내지 도 3d는 하나 이상의 실시예에서 본 개시물의 양상들에 따른 다양한 제조 스테이지들에서의 포토마스크의 개략도들이다.
도 4a 내지 도 4d는 하나 이상의 실시예에서 본 개시물의 양상들에 따른 다양한 제조 스테이지들에서의 반도체 구조물을 예시하는 개략도들이다.
아래의 개시내용은 제공된 주제의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예시들을 제공한다. 본 개시내용을 간략히 하기 위해 컴포넌트들 및 배열(arrangement)들의 특정 예시들이 아래에 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정하는 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상의 또는 제2 피처 위의 제1 피처의 형성은 제1 피처 및 제2 피처가 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제1 피처 및 제2 피처가 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 피처와 제2 피처 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시물은 상이한 예들에서 도면 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이지, 그러한 반복 그 자체가 개시된 다양한 실시예들 및/또는 구성 사이의 관계를 설명하는 것은 아니다.
이러한 예시적인 실시예들의 설명은 첨부 도면들과 관련하여 판독되도록 의도된 것이며, 이 도면들은 기재된 설명 전체의 일부로서 간주된다. 본 명세서에 개시된 실시예들의 설명에서, 방향 또는 배향에 대한 임의의 언급은 단지 설명의 편의를 위한 것이며, 어떠한 방식으로든 본 개시물의 범위를 제한하도록 의도된 것이 아니다. 상대적인 용어들, 예컨대 "상위", "하위", "수평적", "수직적", "위", "밑", "위로", "아래로", "최상단", 및 "바닥" 뿐만 아니라, 이것들의 파생어들(예컨대, "수평적으로", "아래쪽으로", "윗쪽으로" 등)은 논의되는 도면에서 도시되거나 또는 설명된 배향을 언급하는 것으로 해석되어야 한다. 이러한 상대적인 용어들은 단지 설명의 편리성을 위해 제공된 것일뿐, 장치가 특정 배향으로 구축되거나 동작될 것을 요구하지는 않는다. "부착된", "첨부된", "연결된" 및 "상호연결된"과 같은 용어들은 구조물들이 서로에 대해 직접적으로 또는 개입 구조물들을 통해 간접적으로 고정되거나 또는 부착되는 관계는 물론, 달리 명시적으로 언급되지 않는 한, 이동가능식 부착 또는 관계 또는 고정식 부착 또는 관계 모두를 지칭한다. 더욱이, 본 개시물의 피처들 및 이점들은 실시예들을 참조하여 예시된다. 따라서, 개시물은 단독으로 또는 피처들의 다른 조합으로 존재할 수 있는 피처들의 일부 가능한 비-제한적인 조합을 예시하는 그러한 실시예들로 명백히 제한되어서는 안 되며, 개시물의 범위는 여기에 첨부되는 청구 범위에 의해 정의된다.
개시물의 넓은 범위를 설명하는 수치 범위 및 파라미터들은 근사치임에도 불구하고, 특정 예들에 설명된 수치 값들은 가능한 한 정확하게 보고된다. 그러나 임의의 숫자 값은 본질적으로 각각의 테스팅 측정들에서 발견된 표준 편차로 인해 필연적으로 초래되는 특정 오차들을 포함한다. 또한, 본 명세서에서 사용되는 용어들 "실질적으로", "대략적으로" 또는 "약"은 일반적으로 당업자들에 의해 고려될 수 있는 값 또는 범위 내를 의미한다. 대안적으로, 용어들 "실질적으로", "대략" 또는 "약"은 당업자에 의해 고려될 때 평균의 허용가능한 표준 오차 내를 의미한다. 당업자들은 허용가능한 표준 오차가 상이한 기술들에 따라 달라질 수 있음을 이해할 수 있다. 동작/작업 예들 외에, 또는 달리 명시되지 않는 한, 본 명세서에 개시된 재료들의 양, 지속 시간, 온도, 작동 조건, 양의 비율 및 이와 유사한 것과 같은 모든 수치 범위들, 양들, 값들 및 퍼센트들은 모든 경우에 용어들 "실질적으로", "대략", 또는 "약"에 의해 수정된 것으로 이해되어야 한다. 따라서, 반대로 지시되지 않는 한, 본 개시물 및 첨부된 청구 범위에 기재된 수치적 파라미터들은 원하는 대로 변할 수 있는 근사치들이다. 최소한 각각의 수치적 파라미터들은 보고된 유효 자릿수의 수를 고려하고 일반적인 반올림 기법들을 적용함으로써 해석되어야 한다. 범위들은 본 명세서에서 한 종점 내지 다른 종점 또는 두 종점들 사이인 것으로 표현될 수 있다. 본 명세서에 개시된 모든 범위들은 달리 명시되지 않는 한 종점들을 포함한다.
용어 "나노입자"는 일반적으로 사이즈가 대략 1 내지 100 나노미터인 원자, 분자, 또는 거대 분자 입자들을 지칭한다. 일반적으로 나노입자들의 새롭고 차별화된 특성들 및 기능들은 일반적으로 100nm 미만의 임계 길이 규모에서 관찰되거나 개발된다.
EUV 포토마스크들 및 위상 변이 포토마스크들은 더 작고 더 복잡한 회로들의 고급 반도체 설계에 사용된다. EUV 포토마스크의 제조는 리소그래피 조건의 요건을 충족할 필요가 있기 때문에 어렵다. 일반적으로 마스크 하우스로부터 배송된 마스크에 남아있는 재료는 흡수 층, 캐핑 층, 다층 스택, 및 저 열팽창 재료(LTEM 또는 기판)를 포함한다. EUV 마스크의 개념은 반사된 광을 기반으로 하기 때문에 흡수 층은 차폐 층 역할을 한다. 반사성 다층 스택은 피크 방사선 파장(EUV의 경우 13.5nm)에서 약 60 내지 75%의 반사율을 달성하기 위하여 최대 보강 간섭(브래그 반사)을 제공하도록 최적화된 두께를 갖는다. 캐핑 층은 흡수 층과 다층 사이에 위치된다. 캐핑 층은 EUV 챔버 내부의 조명 동안 다층 스택이 산화될 수 있기 때문에 광학 반사율을 유지하는 기능을 한다. 몇몇 비교 접근법들에서, 캐핑 층의 두께는 약 5nm 미만이도록 조정될 수 있어서, EUV 광은 캐핑 층에 의해 흡수되지 않을 것인 반면, 열적 및 화학적 안정성을 제공하기 위해 캐핑 층이 여전히 요구된다. 따라서 EUV 리소그래피에는 얇은 캐핑 층이 필요하지만, 이러한 얇은 캐핑 층은 높은 수준의 무결성을 유지해야 한다.
효과적인 세정 동작은 포토리소그래피에서 또 다른 중요한 요소이다. 제조 동작들에서 장기적인 안정성을 달성하기 위하여, 광학 포토마스크들 및 EUV 포토마스크들은 광학 리소그래피 동작 이전 또는 이후에 오염을 제거하기 위해 잦은 세정을 필요로 한다. 예를 들어, 노광 동작 동안 활성 에천트들, 라디칼들 또는 화학 가스들이 챔버 내부에 분포되고, 따라서 부적절한 화학 반응이 유발될 때, 원하지 않는 나노입자들이 형성된다. 광학 포토마스크들 및 EUV 포토마스크들의 품질을 유지하기 위하여 이러한 오염과 원하지 않는 나노입자들은 완전히 제거되어야 한다. 비교 접근법들에서 나노입자들은 캐핑 층의 높은 촉매 특성 및 전도도로 인하여 캐핑 층에 이끌린다. 이러한 나노입자들은 현재의 세정 동작들에 의해 쉽게 제거되지 않는다. 뿐만 아니라, 얇은 캐핑 층이 세정 동작들에 의한 손상에 저항할 수 있도록 또한 요구된다.
따라서, 본 개시물은 기판으로부터 오염물 또는 나노입자들을 제거하기 위한 방법을 제공한다. 몇몇 실시예들에서, 방법은 포토마스크 기판 또는 반도체 기판으로부터 나노입자들을 제거하기 위한 세정 동작을 수행하는 단계를 포함한다. 몇몇 실시예들에서, 방법은 포토마스크 기판 또는 반도체 기판으로부터 도전성 나노입자들을 제거하기 위한 세정 동작을 포함한다. 세정 동작에 의한 도전성 나노입자들의 제거율은 포토마스크 기판 또는 반도체 기판 위에 얇은 층을 유지하면서 약 90 % 보다 크도록 향상될 수 있다.
도 1은 본 개시물의 양상들에 따른, 기판(10)을 세정하기 위한 방법을 나타내는 흐름도이다. 방법(10)은 다수의 동작들(12, 14, 15 및 16)을 포함한다. 기판(10)을 세정하기 위한 방법은 하나 이상의 실시예들에 따라 더 설명될 것이다. 기판(10)을 세정하기 위한 방법의 동작들은 다양한 양상들의 범위 내에서 재배열되거나 그렇지 않으면 수정될 수 있다는 점에 유의해야 한다. 추가적인 프로세스들이 방법(10) 이전에, 그 도중에, 그리고 그 이후에 제공될 수 있다는 점과, 다른 몇몇의 프로세스들이 본 명세서에서 단지 간략하게 설명될 수 있다는 점에 또한 유의해야 한다. 따라서, 본 명세서에 설명된 다양한 양상들의 범위 내에서 다른 구현예들이 가능하다.
도 2a 내지 도 2d는 하나 이상의 실시예에서 본 개시물의 양상들에 따른 다양한 단계들에서의 포토마스크의 개략도들이고, 도 3a 내지 도 3d는 하나 이상의 실시예에서 본 개시물의 양상들에 따른 다양한 단계들에서의 또 다른 포토마스크의 개략도들이다. 동작(12)에서, 포토마스크 기판이 수용된다.
몇몇 실시예들에서, 도 2a에 도시된 바와 같이, 포토마스크 기판은 EUV 포토마스크(200)의 기판일 수 있다. 다른 실시예들에서, 도 3a에 도시된 바와 같이, 포토마스크 기판은 위상 변이 포토마스크(300)와 같은 광학 포토마스크 기판의 기판일 수 있다. 따라서, EUV 포토마스크(200)는 도 2a에 도시된 바와 같이 포토마스크 기판(202)을 포함하고, 위상 변이 포토마스크(300)는 도 3a에 도시된 바와 같이 포토마스크 기판(302)을 포함한다. 포토마스크 기판들(202 및 302)은 각각 포토마스크로서 사용하기에 적합한 임의의 사이즈일 수 있다. 몇몇 실시예들에서, 포토마스크 기판들(202 및 302)은 각각 석영(즉, 실리콘 산화물), 용융 석영 등과 같은 실리콘계 재료를 포함한다. 몇몇 실시예들에서, 포토마스크 기판들(202 및 302)은 각각 저 열팽창 재료(LTEM, low thermal expansion material)를 포함한다. 다른 실시예들에서, 포토마스크 기판들(202 및 302)은 각각 적합한 광 투과성 재료를 포함한다.
도 2a를 참조하면, 몇몇 실시예들에서, EUV 포토마스크(200)는 포토마스크 기판(202)의 표면 위에 배치된 다층 반사 구조물(210)을 포함한다. 몇몇 실시예들에서, 다층 반사 구조물(210)은 Ru/Si 다층 반사 구조물, Mo/Be 다층 반사 구조물, Mo 화합물/Si 화합물 다층 반사 구조물, Si/Mo/Ru 다층 반사 구조물, Si/Mo/Ru/Mo 다층 반사 구조물, 또는 Si/Ru/Mo/Ru 다층 반사 구조물을 포함한다. 예를 들어, 다층 반사 구조물(210)이 Mo 화합물/Si 화합물 다층 반사 구조물을 포함하는 경우, Mo 층과 Si 층은 약 40 쌍 내지 50 쌍으로 교대로 배열될 수 있다. Mo 층과 Si 층의 각각의 쌍은 약 3nm 두께의 Mo 층과 약 4nm 두께의 Si 층을 포함할 수 있으나, 개시물이 이에 제한되는 것은 아니다. 몇몇 실시예들에서, Mo/Si 쌍의 양, Mo 층들의 두께 및 Si 층들의 두께는 다층 반사 구조물(210)이 13.5 nm의 파장에서 약 67%의 EUV 광 반사율을 가질 수 있도록 조정되나, 개시물이 이에 제한되는 것은 아니다.
EUV 포토마스크(200)의 캐핑 층(220)은 Ru 함유 층을 포함할 수 있다. 예를 들어, 캐핑 층(220)은 루테늄 산화물 층을 포함할 수 있다. 몇몇 실시예들에서, 캐핑 층(220)의 두께는 약 1 nm 내지 약 5 nm이다. 캐핑 층(220)은 다층 반사 구조물(210)과 하드 마스크 층(미도시) 사이의 버퍼 역할을 하기 때문에, 캐핑 층(220)의 두께는 캐핑 층(220)이 EUV 노출을 방해하지 않도록 약 5nm 미만이어야 한다.
흡수체(230)는 불투명한 차광 층이다. 일 실시예에서, 흡수체(230)는 웨이퍼 리소그래피 프로세스 동안 광 누출 또는 불량한 콘트라스트를 방지하기 위해 약 50nm보다 작지 않은 두께를 갖는다. 대안적인 실시예에서, 흡수체(230)는 마스크를 형성하기 위한 광학 근접 보정(OPC, optical proximity correction)을 수행할 필요를 피하기 위해 약 150nm 미만의 두께를 갖는다. 다른 실시예에서, 흡수체(230)는 다층 반사 구조물(210) 및 캐핑 층(220)의 총 두께와 동일한 두께를 갖는다. 다른 실시예에서, 흡수체(230)는 다층 반사 구조물(210), 캐핑 층(220) 및 하드마스크 층(미도시)의 총 두께와 동일한 두께를 갖는다. 일 실시예에서, 흡수체(230)는 탄탈룸 실리사이드계 재료들(TaSi), 질소화된 탄탈룸 붕소화물계 재료들(TaBN), 및 탄탈룸 질화물계 재료들(TaN)과 같은, 본질적으로 산소가 없는 탄탈룸계 재료들을 포함한다. 다른 실시예에서, 흡수체(230)는 산화 및 질소화 탄탈룸 및 실리콘계 재료들(TaSiON), 탄탈룸 붕소 산화물계 재료들(TaBO), 및 산화 및 질소화 탄탈룸계 재료들(TaON)과 같은, 탄탈룸계 및 산소계 재료들을 포함한다.
추가적으로, EUV 포토마스크(200)는 도시되지는 않았지만 후면 코팅 층 및/또는 반사 방지 코팅(ARC)과 같은 다른 층들을 포함할 수 있다. 뿐만 아니라, EUV 포토마스크(200)로서 다른 포토마스크 구성들도 또한 제공될 수 있다.
도 3a를 참조하면, 몇몇 실시예들에서, 위상 변이 포토마스크(300)는 차폐 층(310), 및 포토마스크 기판(302)의 표면 위에 배치된 위상 변이 층(PSL, phase-shifting layer)(320)을 포함한다. 위상 변이 층(320)은 입사광의 약 20 % 미만을 투과시키고 투과된 광(즉, 광 투과성 기판을 투과한 광)에 대하여 약 180 도의 위상 변이를 생성하도록 형성된다. 몇몇 실시예들에서, 위상 변이 층(320)은 몰리브덴 실리사이드(MoSi), 몰리브덴-실리사이드-질화물(MoSiN), 몰리브덴 실리사이드 산질화물(MoSiON), 티타늄 질화물, 티타늄 실리콘 질화물, 또는 실리콘 질화물을 포함하지만, 개시물은 이에 제한되지 않는다. 차폐 층(310)은 크롬(Cr)을 포함할 수 있고, 크롬 산화물, 크롬 질화물, 및 크롬 산질화물과 같은 다른 층들을 포함할 수 있다. 기판(302), 위상 변이 층(320), 및 차폐 층(310) 각각에 대해 사용될 수 있는 재료들의 몇몇 예들이 제공되었으나, 당업계에 공지된 다른 적합한 재료들이 본 개시물의 범위를 벗어나지 않고 동등하게 사용될 수 있음을 이해해야 한다. 부가적으로, 위상 변이 포토마스크(300)는 도시되지는 않았지만 후면 코팅 층 및/또는 반사 방지 코팅(ARC)과 같은 다른 층들을 포함할 수 있다. 뿐만 아니라, 위상 변이 포토마스크(300)로서 다른 포토마스크 구성들도 또한 제공될 수 있다.
몇몇 실시예들에서, EUV 포토마스크(200) 및 위상 변이 포토마스크(300)는 보호 커버 역할을 하는데 사용되는 펠리클(미도시)을 포함할 수 있다. 펠리클은 손상 및/또는 오염 입자들로부터 EUV 포토마스크(200) 및 위상 변이 포토마스크(300) 위의 패턴들을 보호할 수 있다. 펠리클은 EUV 포토마스크(200) 및 위상 변이 포토마스크(300)의 패터닝된 표면을 커버하는 프레임 상의 박막일 수 있다.
도 2a 및 도 3a를 참조하면, 노광 동작에서, 상이한 가스들이 노광 장치에 도입될 수 있다. 예를 들어, 동작시 매우 일반적인 Cl, H 및 N이 도입될 수 있다. Cl은 Al, Si, Cr 등과 같은 특정 재료를 에칭하는데 사용되는 반면, H 및 N은 주 에칭 가스들을 지원하기 위해 챔버 세정 가스들 또는 패시베이션 가스들로서 사용된다. 이 경우 잔류 가스들이 혼합되어 반응이 일어날 수 있고, 따라서 원치 않는 생성물이 형성될 수 있는 것은 불가피하다. 예를 들어, Cl계 가스들은 다음 화학 방정식들에 따라 HCl을 생성할 수 있다:
3Cl2 + 3H2O → 5HCl + HClO3
CCl4 + H2O → COCl2 + 2HCl
NOCl + H2O → HNO2 + HCl
COCl2 + H2O → 2HCl + CO2
예를 들어, N계 가스들은 다음 화학 방정식들에 따라 HNO3을 생성할 수 있다:
N2 + 2O2 → 2NO2
4NO2 + 2H2O + O2 → 4HNO3
2NO2 + H2O → HNO3 + HNO2
2HNO2 → NO + NO2 + H2O
몇몇 실시예들에서, 귀금속들과 같은 금속들은 대부분의 산 및 알칼리에 대해 저항할 수 있지만, 질산 염산염 처리를 받을 때 반응한다. Cl계 가스들 및 N계 가스들이 혼합될 때, HCl 및 HNO3가 형성될 수 있다. 또한, 프로세싱 장치에 질산 염산염이 형성되어 질산 염산염 에칭을 초래할 수 있지만, 개시물은 이들 재료들로 제한되지 않는다.
몇몇 실시예들에서, 귀금속들과 같은 금속들이 질산 염산염에 의해 에칭될 때, 에칭된 금속 나노입자들은 포토마스크들(200 및 300)에 떨어질 수 있다. 따라서, 도 2a 및 도 3a를 참조하면, 포토마스크 기판(202)은 포토마스크 기판(202)의 표면 위에 배치된 복수의 도전성 나노입자들(P)을 가질 수 있고, 포토마스크 기판(302)은 포토마스크 기판(302)의 표면 위에 배치된 복수의 도전성 나노입자들(P)을 가질 수 있다. 도전성 나노입자들(P)은 금속을 포함할 수 있다. 몇몇 실시예들에서, 도전성 나노입자들(P)은 금(Au), 루테늄(Ru), 로듐(Rh), 팔라듐(Pd), 은(Ag), 오스뮴(Os), 이리듐(Ir), 또는 백금(Pt)과 같은 귀금속들을 포함할 수 있다.
동작(14)에서, 제1 혼합물(MIX1)이 포토마스크 기판들(202 또는 302)에 도포된다. 몇몇 실시예들에서, 제1 혼합물(MIX1)은 도 2a 및 도 3a에 도시된 바와 같이, 도전성 나노입자들(P)을 제거하기 위해 도포된다. 제1 혼합물(MIX1)은 SC1 용액, 탈이온(DI, deionized)수, 및 오존(O3)을 포함한다. SC1 용액은 NH4OH, H2O2, 및 H2O를 선택된 비율로 포함한다. 몇몇 실시예들에서, DI수 대 SC1 용액의 비율은 1:1이다. 몇몇 실시예들에서, 제1 혼합물(MIX1)의 온도는 약 20℃ 내지 약 40℃이다. 제1 혼합물(MIX1)의 온도가 20℃ 미만인 경우 도전성 나노입자들의 제거율이 감소되고, 제1 혼합물(MIX1)의 온도가 40 ℃를 초과하는 경우 제1 혼합물(MIX1)은 캐핑 층(220) 또는 차폐 층(310)과 같은 다른 층들을 원치 않게 손상시킬 수 있음을 유념해야 한다. 몇몇 실시예들에서, 제1 혼합물(MIX1)의 유량은 약 1000ml/분 내지 약 5000ml/분일 수 있다. 몇몇 실시예들에서, 제1 혼합물(MIX1)을 도포하는 지속기간은 약 8 분 내지 약 15 분이다.
몇몇 실시예들에서, 제1 혼합물(MIX1)의 도포는 메가 소닉 교반(mega sonic agitation)을 더 포함하고, 메가 소닉 교반의 주파수는 약 1 MHz 내지 약 10 MHz이지만, 개시물은 이에 제한되지 않는다.
몇몇 실시예들에서, 방법(10)은 제1 혼합물(MIX1)을 도포한 후 스핀을 수행하여 제1 혼합물(MIX1) 및 제1 혼합물(MIX1)에 용해된 도전성 나노입자들(P)이 포토마스크 기판들(202 또는 302)의 표면으로부터 제거될 수 있도록 하는 단계를 더 포함한다.
몇몇 실시예들에서, 방법(10)은 동작(14) 이후에 또는 스핀의 수행 이후에 수행될 수 있는 동작(15)을 포함할 수 있다. 도 2c 및 도 3c를 참고하여, 동작(15)에서, 제2 혼합물(MIX2)이 포토마스크 기판들(202 또는 302)에 도포된다. 제2 혼합물(MIX2)은 DI수 및 H2를 포함한다. 몇몇 실시예들에서, 제2 혼합물(MIX2)의 온도는 약 20℃ 내지 약 40℃이다. 몇몇 실시예들에서, 제2 혼합물(MIX2)의 유량은 약 1000ml/분 내지 약 3000ml/분일 수 있다. 몇몇 실시예들에서, 제2 혼합물(MIX2)을 도포하는 지속기간은 약 5 분 내지 약 15 분이다.
몇몇 실시예들에서, 제2 혼합물(MIX2)의 도포는 메가 소닉 교반을 더 포함하고, 메가 소닉 교반의 주파수는 약 1 MHz 내지 약 10 MHz이지만, 개시물은 이에 제한되지 않는다.
몇몇 실시예들에서, 방법(10)은 제2 혼합물(MIX2)을 도포한 후 스핀을 수행하여 제2 혼합물(MIX2) 및 임의의 잔류물이 포토마스크 기판들(202 또는 302)의 표면으로부터 제거될 수 있도록 하는 단계를 더 포함한다.
동작(16)에서, DI수가 도포된다. 도 2d 및 도 3d에 도시된 바와 같이, DI수(DIW)가 포토마스크 기판(202 또는 302)에 도포된다. 몇몇 실시예들에서, DI수(DIW)의 온도는 약 20 ℃ 내지 약 40℃이다. 몇몇 실시예들에서, DI수(DIW)의 유량은 약 1000ml/분 내지 약 1500ml/분일 수 있다. 몇몇 실시예들에서, DI수(DIW)를 도포하는 지속기간은 약 1 분 내지 약 5 분이다.
몇몇 실시예들에서, 방법(10)은 DI수(DIW)를 도포한 후 스핀을 수행하여 임의의 잔류물이 포토마스크 기판들(202 또는 302)의 표면으로부터 제거될 수 있도록 하는 단계를 더 포함한다.
몇몇 실시예들에서, 도전성 나노입자들(P)은 제1 혼합물(MIX1)에 의해 제거될 수 있고, 남아있는 임의의 잔류물은 DI수 세척(rinse)에 의해 제거될 수 있다. 다른 실시예들에서, 도전성 나노입자들(P)은 제1 혼합물(MIX1)에 의해 제거될 수 있고, 남아있는 임의의 잔류물은 제2 혼합물(MIX2) 및 DI수 세척에 의해 제거될 수 있다. 몇몇 실시예들에서서, 동작들(14, 15 및 16)의 수행의 총 지속기간은 약 5분 내지 약 30분이지만, 개시물이 이에 제한되는 것은 아니다. 몇몇 실시예들에서, 도전성 나노입자들의 제거율은 약 90%보다 크다. 몇몇 실시예들에서, 동작들(14, 15 및 16)의 수행의 총 지속기간이 약 8 분일 때, 도전성 나노입자들의 제거율은 약 94%보다 크다. 몇몇 실시예들에서, 동작들(14, 15 및 16)의 수행의 총 지속기간이 약 10 분일 때, 도전성 나노입자들의 제거율은 약 100%이다.
몇몇 비교 접근법들에서, H2SO4, H2O2 및 H2O를 포함하는 SPM 용액이 도전성 나노입자들을 제거하기 위해 사용될 수 있다. 몇몇 비교 접근법들에서, SPM 용액 및 DI수를 포함하는 혼합물이 도전성 나노입자들을 제거하기 위해 사용될 수 있다. 몇몇 비교 접근법들에서, SC1 용액이 도전성 나노입자들을 제거하는데 사용될 수 있다. 몇몇 비교 접근법들에서, 오존화된 탈이온수(DIO3)가 도전성 나노입자들을 제거하는데 사용될 수 있다. 몇몇 비교 접근법들에서, UV 조명 및 H2O를 갖는 O3가 도전성 나노입자들을 제거하는데 사용될 수 있다. 전술한 비교 접근법들은 5분 내지 40분 범위의 지속기간 동안 수행될 수 있으며, 이는 방법의 동작들(14, 15 및 16)을 수행하는 지속기간보다 길다는 것을 알 수 있다. 도전성 나노입자들의 제거율은 이러한 비교 접근법들에서 약 0%이다.
기판(10)의 세정을 위한 방법에 따르면, 위상 변이 층 및 캐핑 층과 같은 도전성 재료를 갖는 표면으로부터 도전성 나노입자들이 제거된다. 도전성 나노입자들의 제거율은 비교 접근법들의 지속기간보다 짧은 지속기간을 사용하여 약 90%보다 크다. 또한, 캐핑 층 및 위상 변이 층의 두께 및 표면 무결성은 포토마스크를 세정하기 위한 방법에 의해 줄어들지 않는 것으로 밝혀졌다.
몇몇 실시예들에서, 기판(10)을 세정하기 위한 방법은 반도체 기판 제조 동작들에 사용될 수 있다. 도 4a 내지 도 4d는 하나 이상의 실시예에서 본 개시물의 양상들에 따른 다양한 제조 스테이지들에서의 반도체 구조물의 개략도들이다.
동작(12)에서, 반도체 기판이 수용된다.
도 4a에 도시된 바와 같이, 몇몇 실시예들에서, 반도체 기판(402)이 수용된다. 몇몇 실시예들에서, 반도체 기판(402)은 상부에 배치된 도전성 층을 가질 수 있다. 몇몇 실시예들에서, 반도체 기판(402)은 상부에 배치된 반도체 층을 가질 수 있다. 그러한 실시예들에서, 기판(10)을 세정하기 위한 방법은 반도체 기판(402)으로부터 나노입자들을 제거하기 위하여 수행될 수 있다. 그러한 실시예들에서, 동작들(14, 15 및 16)의 수행의 총 지속기간은 약 5분 내지 약 30분일 수 있으나, 개시물이 이에 제한되는 것은 아니다.
몇몇 실시예들에서, 반도체 기판(402)은 도 4a에 도시된 바와 같이, 상부에 배치된 절연 층(410)을 가질 수 있다. 몇몇 실시예들에서, 반도체 기판(402)은 절연 층(410) 아래에 벌크 재료를 포함할 수 있다. 다른 실시예들에서, 반도체 기판(402)은 내부에 형성되고 절연 층(401)에 의해 커버되는 도전성 및/또는 반도전성 피처들을 포함할 수 있다. 그러한 실시예들에서, 도전성 및/또는 반도전성 피처들은 집적 회로를 구성하는데 사용된 디바이스들을 형성할 수 있으나, 개시물은 이에 제한되지 않는다. 절연 층(410)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 로우-k(low-k) 재료들 등을 포함할 수 있다.
몇몇 실시예들에서, 도전성 나노입자들(P)은 제조 동작들 동안 형성될 수 있고, 도 4a에 도시된 바와 같이, 반도체 기판(402)에 떨어질 수 있다. 도전성 나노입자들(P)의 형성은 상기 설명된 도전성 나노입자들의 형성과 유사하며, 따라서 간략성을 위해 반복 설명은 생략된다.
동작(14)에서, 제1 혼합물(MIX1)이 반도체 기판(402)에 도포된다. 몇몇 실시예들에서, 제1 혼합물(MIX1)은 도 4b에 도시된 바와 같이, 도전성 나노입자들(P)을 제거하기 위해 도포된다. 제1 혼합물(MIX1)은 SC1 용액, DI수, 및 O3를 포함한다. SC1 용액은 NH4OH, H2O2, 및 H2O를 선택된 비율로 포함한다. 몇몇 실시예들에서, DI수 대 SC1 용액의 비율은 1:1이다. 몇몇 실시예들에서, 제1 혼합물(MIX1)의 온도는 약 20℃ 내지 약 40℃이다. 제1 혼합물(MIX1)의 온도가 20℃ 미만인 경우 도전성 나노입자들의 제거율이 감소되고, 제1 혼합물(MIX1)의 온도가 40 ℃를 초과하는 경우 제1 혼합물(MIX1)은 아래 놓인 층을 손상시킬 수 있음을 유념해야 한다. 몇몇 실시예들에서, 제1 혼합물(MIX1)의 유량은 약 1000ml/분 내지 약 5000ml/분일 수 있다. 몇몇 실시예들에서, 제1 혼합물(MIX1)을 도포하는 지속기간은 10 분 미만일 수 있다. 몇몇 실시예들에서, 제1 혼합물(MIX1)을 도포하는 기간은 약 6 분 내지 약 10 분이다.
몇몇 실시예들에서, 제1 혼합물(MIX1)의 도포는 메가 소닉 교반을 더 포함하고, 메가 소닉 교반의 주파수는 약 1 MHz 내지 약 10 MHz이지만, 개시물은 이에 제한되지 않는다.
몇몇 실시예들에서, 방법(10)은 제1 혼합물(MIX1)을 도포한 후 스핀을 수행하여 제1 혼합물(MIX1) 및 제1 혼합물(MIX1)에 용해된 도전성 나노입자들(P)이 반도체 기판(402)의 표면으로부터 제거될 수 있도록 하는 단계를 더 포함한다.
동작(14) 이후에 또는 스핀의 수행 이후에 수행될 수 있는 동작(15)에서, 제2 혼합물(MIX2)이 반도체 기판(402)에 도포된다. 제2 혼합물(MIX2)은 DI수 및 H2를 포함한다. 몇몇 실시예들에서, 제2 혼합물(MIX2)의 온도는 약 20 ℃ 내지 약 40℃이다. 몇몇 실시예들에서, 제2 혼합물(MIX2)의 유량은 약 1000ml/분 내지 약 3000ml/분일 수 있다. 몇몇 실시예들에서, 제2 혼합물(MIX2)을 도포하는 지속기간은 약 5 분 내지 약 15 분이다.
몇몇 실시예들에서, 제2 혼합물(MIX2)의 도포는 메가 소닉 교반을 더 포함하고, 메가 소닉 교반의 주파수는 약 1 MHz 내지 약 10 MHz이지만, 개시물은 이에 제한되지 않는다.
몇몇 실시예들에서, 방법(10)은 도 4c에 도시된 바와 같이, 제2 혼합물(MIX2)을 도포한 후 스핀을 수행하여 제2 혼합물(MIX2) 및 임의의 잔류물이 반도체기판(402)의 표면으로부터 제거될 수 있도록 하는 단계를 더 포함한다.
동작(16)에서, DI수가 도포된다. 도 4d에 도시된 바와 같이, DI수(DIW)가 반도체 기판(402)에 도포된다. 몇몇 실시예들에서, DI수(DIW)의 온도는 약 20 ℃ 내지 약 40℃이다. 몇몇 실시예들에서, DI수(DIW)의 유량은 약 1000ml/분 내지 약 1500ml/분일 수 있다. 몇몇 실시예들에서, DI수(DIW)를 도포하는 지속기간은 약 1 분 내지 약 5 분이다.
몇몇 실시예들에서, 방법(10)은 DI수(DIW)를 도포한 후 스핀을 수행하여 임의의 잔류물이 반도체 기판(402)의 표면으로부터 제거될 수 있도록 하는 단계를 더 포함한다.
몇몇 실시예들에서, 도전성 나노입자들(P)은 제1 혼합물(MIX1)에 의해 제거될 수 있고, 남아있는 임의의 잔류물은 DI수 세척에 의해 제거될 수 있다. 다른 실시예들에서, 도전성 나노입자들(P)은 제1 혼합물(MIX1)에 의해 제거될 수 있고, 남아있는 임의의 잔류물은 제2 혼합물(MIX2) 및 DI수 세척에 의해 제거될 수 있다. 몇몇 실시예들에서, 동작(14)의 지속기간은 약 10분 미만으로 감소될 수 있는데, 이는 도전성 나노입자들(P)이 절연 표면으로부터 제거되기 때문이다. 몇몇 실시예들에서, 동작(14)의 지속기간이 약 6 분일 때, 도전성 나노입자들의 제거율은 약 99%보다 크다. 몇몇 실시예들에서, 동작(14)의 지속기간이 약 10 분일 때, 도전성 나노입자들의 제거율은 약 100%이다.
5분 내지 40분의 지속기간 동안 세정 용액으로서 H2SO4, H2O2 및 H2O를 포함한 SPM 용액, SPM 용액과 DI수를 포함하는 혼합물, SC1 용액, DIO3, 또는 UV 조명과 H2O를 갖는 O3를 사용할 수 있는 비교 접근법들에서, 도전성 나노입자들의 제거율은 약 0 %임을 알 수 있다.
기판(10)을 세정하기 위한 방법에 따르면, 도전성 나노입자들이 절연 표면으로부터 제거된다. 도전성 나노입자들의 제거율은 비교 접근법들의 지속기간보다 짧은 지속기간 동안 방법이 적용될 때, 약 90%보다 크다. 또한, 절연 층의 두께 및 표면 무결성은 기판(10)을 세정하기 위한 방법에 의해 줄어들지 않는 것으로 밝혀졌다.
따라서, 본 개시물은 기판으로부터 오염물 또는 나노입자들을 제거하기 위한 방법을 제공한다. 몇몇 실시예들에서, 나노입자들을 제거하기 위한 방법은 포토마스크 기판 또는 반도체 기판으로부터 나노입자들을 제거하기 위한 세정 동작을 제공한다. 몇몇 실시예들에서, 오염물을 제거하기 위한 방법은 포토마스크 기판 또는 반도체 기판으로부터 도전성 나노입자들을 제거하기 위한 세정 동작을 제공한다. 도전성 나노입자들의 제거율은 세정 동작에 의해 약 90%보다 크게 향상될 수 있는 한편, 세정 동작 동안 포토마스크 기판 또는 반도체 기판 위의 얇은 층은 온전하게 유지될 수 있다. 상기 언급된 바와 같이, 기판이 상부에 형성된 도전성 재료 층을 가질 때, 도전성 나노입자 제거율은 약 90 %보다 크게 향상될 수 있는 한편, 도전성 재료 층의 두께 및 표면 무결성은 비교 접근법들에 비해 줄어들지 않으며, 이는 약 0%의 도전성 나노입자 제거율을 제공한다. 상기 언급된 바와 같이, 기판이 상부에 형성된 도전성 재료 층을 가질 때, 도전성 나노입자 제거율은 심지어 도전성 재료 층에 도포되는 것보다 짧은 지속기간 동안 도포되는 경우에도 약 90% 보다 크게 향상될 수 있다.
본 개시물의 몇몇 실시예들에 따르면, 기판을 세정하기 위한 방법이 제공된다. 방법은 다음 동작들을 포함한다. 포토마스크 기판이 제공된다. 몇몇 실시예들에서, 포토마스크 기판은 포토마스크 기판의 표면 위에 배치된 다층 반사 구조물, 다층 반사 구조물 상에 배치된 캐핑 층, 및 흡수체를 포함한다. 몇몇 실시예들에서, 포토마스크 기판은 포토마스크 기판의 표면 위에 배치된 복수의 도전성 나노입자들을 갖는다. 도전성 나노입자들을 제거하기 위하여 포토마스크 기판에 제1 혼합물이 도포된다. 몇몇 실시예들에서, 제1 혼합물은 SC1 용액, DI수, 및 O3를 포함한다. 뿐만 아니라, 포토마스크 기판을 세척하기 위하여 DI수가 도포된다. 몇몇 실시예들에서, 도전성 나노입자들의 제거율은 약 90%보다 크다.
본 개시물의 몇몇 실시예들에 따르면, 기판을 세정하기 위한 다른 방법이 제공된다. 방법은 다음 동작들을 포함한다. 포토마스크 기판이 수용된다. 몇몇 실시예들에서, 포토마스크 기판은 포토마스크 기판의 표면 위에 배치된 위상 변이 층, 및 위상 변이 층 상에 배치된 차폐 층을 포함한다. 몇몇 실시예들에서, 포토마스크 기판은 포토마스크 기판의 표면 위에 배치된 복수의 도전성 나노입자들을 더 갖는다. 도전성 나노입자들을 제거하기 위하여 포토마스크 기판에 제1 혼합물이 도포된다. 몇몇 실시예들에서, 제1 혼합물은 SC1 용액, DI수, 및 O3를 포함한다. 뿐만 아니라, 포토마스크 기판을 세척하기 위하여 DI수가 도포된다. 몇몇 실시예들에서, 도전성 나노입자들의 제거율은 약 90%보다 크다.
본 개시물의 몇몇 실시예들에 따르면, 기판을 세정하기 위한 다른 방법이 제공된다. 방법은 다음 동작들을 포함한다. 반도체 기판이 수용된다. 몇몇 실시예들에서, 반도체 기판은 표면 및 표면 상에 배치된 복수의 패턴들을 갖는다. 몇몇 실시예들에서, 반도체 기판은 표면 위에 배치된 복수의 도전성 나노입자들을 더 갖는다. 도전성 나노입자들을 제거하기 위하여 반도체 기판에 제1 혼합물이 도포된다. 몇몇 실시예들에서, 제1 혼합물은 SC1 용액, DI수, 및 O3를 포함한다. 뿐만 아니라, 반도체 기판을 세척하기 위하여 DI수가 도포된다. 몇몇 실시예들에서, 도전성 나노입자들의 제거율은 약 90%보다 크다.
전술한 내용은 본 기술분야의 당업자들이 본 개시물의 양상들을 더 잘 이해할 수 있도록 몇몇 실시예들의 피처들을 약술하였다. 본 기술분야의 당업자들은 본 명세서에서 소개한 실시예들의 동일한 목적들을 수행하고 그리고/또는 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 또는 수정하기 위한 기초로서 본 개시내용을 자신들이 손쉽게 이용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 또한 이와 같은 등가적 구성들은 본 개시물의 사상과 범위를 벗어나지 않으며, 본 개시물의 사상과 범위를 벗어나지 않고 당업자들이 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있음을 알아야 한다.
실시예들
실시예 1. 기판을 세정하기 위한 방법에 있어서,
포토마스크 기판을 수용하는 단계 ― 상기 포토마스크 기판은 상기 포토마스크 기판의 표면 위에 배치된 다층 반사 구조물, 상기 다층 반사 구조물 상에 배치된 캐핑 층, 및 흡수체를 구비하고, 상기 포토마스크 기판은 상기 포토마스크 기판의 표면 위에 배치된 복수의 도전성 나노입자들을 가짐 ― ;
상기 도전성 나노입자들을 제거하기 위하여 상기 포토마스크 기판에 SC1 용액, 탈이온(DI, deionized)수, 및 오존(O3)을 포함하는 제1 혼합물을 도포하는 단계; 및
상기 포토마스크 기판을 세척하기(rinse) 위하여 DI수를 도포하는 단계
를 포함하며,
상기 도전성 나노입자들의 제거율은 약 90%보다 큰 것인, 기판을 세정하기 위한 방법.
실시예 2. 실시예 1에 있어서,
상기 다층 반사 구조물은 Ru/Si 다층 반사 구조물, Mo/Be 다층 반사 구조물, Mo 화합물/Si 화합물 다층 반사 구조물, Si/Mo/Ru 다층 반사 구조물, Si/Mo/Ru/Mo 다층 반사 구조물, 또는 Si/Ru/Mo/Ru 다층 반사 구조물을 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 3. 실시예 1에 있어서,
상기 캐핑 층은 Ru-함유 층을 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 4. 실시예 1에 있어서,
상기 도전성 나노입자들은 귀금속들을 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 5. 실시예 1에 있어서,
상기 DI수 대 상기 SC1 용액의 비율은 1:1인 것인, 기판을 세정하기 위한 방법.
실시예 6. 실시예 1에 있어서,
상기 제1 혼합물의 온도는 약 20℃ 내지 40℃인 것인, 기판을 세정하기 위한 방법.
실시예 7. 실시예 1에 있어서,
상기 제1 혼합물을 도포하는 단계와 상기 DI수를 도포하는 단계 사이에, 상기 포토마스크 기판에 제2 혼합물을 도포하는 단계를 더 포함하며,
상기 제2 혼합물은 DI수 및 H2를 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 8. 실시예 1에 있어서,
상기 제1 혼합물을 도포하는 단계는 메가 소닉 교반(mega sonic agitation)을 더 포함하며, 상기 메가 소닉 교반의 주파수는 약 1 MHz 내지 약 10 MHz인 것인, 기판을 세정하기 위한 방법.
실시예 9. 실시예 1에 있어서,
상기 제1 혼합물을 도포하는 단계 및 상기 DI수를 도포하는 단계 이후에 각각 스핀을 수행하는 단계를 더 포함하는, 기판을 세정하기 위한 방법.
실시예 10. 기판을 세정하기 위한 방법에 있어서,
포토마스크 기판을 수용하는 단계 ― 상기 포토마스크 기판은 상기 포토마스크 기판의 표면 위에 배치된 위상 변이 층 및 상기 위상 변이 층 상에 배치된 차폐 층을 구비하고, 상기 포토마스크 기판은 상기 포토마스크 기판의 표면 위에 배치된 복수의 도전성 나노입자들을 가짐 ― ;
상기 도전성 나노입자들을 제거하기 위하여 SC1 용액, 탈이온(DI)수, 및 오존(O3)을 포함하는 제1 혼합물을 상기 기판에 도포하는 단계; 및
상기 포토마스크 기판을 세척하기 위하여 DI수를 도포하는 단계
를 포함하며,
상기 도전성 나노입자들의 제거율은 약 90%보다 큰 것인, 기판을 세정하기 위한 방법.
실시예 11. 실시예 10에 있어서,
상기 위상 변이 층은 몰리브덴 실리사이드(MoSi), 몰리브덴-실리사이드-질화물(MoSiN), 몰리브덴 실리사이드 산질화물(MoSiON), 티타늄 질화물, 티타늄 실리콘 질화물, 또는 실리콘 질화물을 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 12. 실시예 10에 있어서,
상기 차폐 층은 크롬(Cr), 크롬 산화물, 크롬 질화물, 및 크롬 산질화물을 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 13. 실시예 10에 있어서,
상기 DI수 대 상기 SC1 용액의 비율은 1:1인 것인, 기판을 세정하기 위한 방법.
실시예 14. 실시예 10에 있어서,
상기 제1 혼합물을 도포하는 단계와 상기 DI수를 도포하는 단계 사이에, 상기 포토마스크 기판에 제2 혼합물을 도포하는 단계를 더 포함하며,
상기 제2 혼합물은 DI수 및 H2를 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 15. 실시예 10에 있어서,
상기 제1 혼합물을 도포하는 단계는 메가 소닉 교반을 더 포함하며, 상기 메가 소닉 교반의 주파수는 약 1 MHz 내지 약 10 MHz인 것인, 기판을 세정하기 위한 방법.
실시예 16. 실시예 10에 있어서,
상기 제1 혼합물의 온도는 약 20 ℃ 내지 40 ℃인 것인, 기판을 세정하기 위한 방법.
실시예 17. 기판을 세정하기 위한 방법에 있어서,
표면 및 상기 표면 상에 배치된 복수의 패턴들을 갖는 반도체 기판을 수용하는 단계 ― 상기 반도체 기판은 상기 반도체 기판의 표면 위에 배치된 복수의 도전성 나노입자들을 가짐 ― ;
상기 도전성 나노입자들을 제거하기 위하여 상기 반도체 기판에 SC1 용액, 탈이온(DI)수, 및 오존(O3)을 포함하는 제1 혼합물을 도포하는 단계; 및
상기 반도체 기판을 세척하기 위하여 DI수를 도포하는 단계
를 포함하며,
상기 도전성 나노입자들의 제거율은 약 90%보다 큰 것인, 기판을 세정하기 위한 방법.
실시예 18. 실시예 17에 있어서,
상기 패턴들은 절연 재료들을 포함하는 것인, 기판을 세정하기 위한 방법.
실시예 19. 실시예 18에 있어서,
상기 제1 혼합물을 도포하는 단계의 지속기간은 약 10분 미만인 것인, 기판을 세정하기 위한 방법.
실시예 20. 실시예 17에 있어서,
상기 DI수 대 상기 SC1 용액의 비율은 1:1인 것인, 기판을 세정하기 위한 방법.

Claims (10)

  1. 기판을 세정하기 위한 방법에 있어서,
    포토마스크 기판을 수용하는 단계 ― 상기 포토마스크 기판은 상기 포토마스크 기판의 표면 위에 배치된 다층 반사 구조물, 상기 다층 반사 구조물 상에 배치된 캐핑 층, 및 흡수체를 구비하고, 상기 포토마스크 기판은 상기 다층 반사 구조물의 표면 위에 배치된 복수의 도전성 나노입자들을 가짐 ― ;
    상기 도전성 나노입자들을 제거하기 위하여 상기 포토마스크 기판에 SC1 용액, 탈이온(DI, deionized)수, 및 오존(O3)을 포함하는 제1 혼합물을 도포하는 단계 - 상기 제1 혼합물의 온도는 20 ℃ 내지 40 ℃임 - ;
    상기 포토마스크 기판에 제2 혼합물을 도포하는 단계 - 상기 제2 혼합물은 DI수 및 H2를 포함함 - ; 및
    상기 포토마스크 기판을 세척하기(rinse) 위하여 DI수를 도포하는 단계
    를 포함하며,
    상기 제1 혼합물을 도포하는 단계는 메가 소닉 교반(mega sonic agitation)을 더 포함하며, 상기 메가 소닉 교반의 주파수는 3 MHz보다 크고,
    상기 제1 혼합물의 유량은 1000ml/분 내지 5000ml/분이고, 상기 제2 혼합물의 유량은 1000ml/분 내지 3000ml/분이고, 상기 제1 혼합물을 도포하는 단계 및 상기 DI수를 도포하는 단계를 포함하는 지속기간 동안 상기 도전성 나노입자들의 제거율은 90%보다 큰 것인, 기판을 세정하기 위한 방법.
  2. 제1항에 있어서,
    상기 다층 반사 구조물은 Ru/Si 다층 반사 구조물, Mo/Be 다층 반사 구조물, Mo 화합물/Si 화합물 다층 반사 구조물, Si/Mo/Ru 다층 반사 구조물, Si/Mo/Ru/Mo 다층 반사 구조물, 또는 Si/Ru/Mo/Ru 다층 반사 구조물을 포함하는 것인, 기판을 세정하기 위한 방법.
  3. 제1항에 있어서,
    상기 캐핑 층은 Ru-함유 층을 포함하는 것인, 기판을 세정하기 위한 방법.
  4. 제1항에 있어서,
    상기 도전성 나노입자들은 귀금속들을 포함하는 것인, 기판을 세정하기 위한 방법.
  5. 제1항에 있어서,
    상기 제1 혼합물을 도포하는 단계 및 상기 DI수를 도포하는 단계 이후에 각각 스핀을 수행하는 단계를 더 포함하는, 기판을 세정하기 위한 방법.
  6. 제1항에 있어서,
    상기 제1 혼합물을 도포하는 단계의 지속기간은 8분 내지 15분인 것인, 기판을 세정하기 위한 방법.
  7. 제1항에 있어서,
    상기 제2 혼합물의 온도는 20 ℃ 내지 40 ℃인 것인, 기판을 세정하기 위한 방법.
  8. 제1항에 있어서,
    상기 제2 혼합물을 도포하는 단계의 지속기간은 5분 내지 15분인 것인, 기판을 세정하기 위한 방법.
  9. 기판을 세정하기 위한 방법에 있어서,
    포토마스크 기판을 수용하는 단계 ― 상기 포토마스크 기판은 상기 포토마스크 기판의 표면 위에 배치된 위상 변이 층 및 상기 위상 변이 층 상에 배치된 차폐 층을 구비하고, 상기 포토마스크 기판은 상기 차폐 층의 표면 위에 배치된 복수의 도전성 나노입자들을 가짐 ― ;
    상기 도전성 나노입자들을 제거하기 위하여 SC1 용액, 탈이온(DI)수, 및 오존(O3)을 포함하는 제1 혼합물을 상기 기판에 도포하는 단계 - 상기 제1 혼합물의 온도는 20 ℃ 내지 40 ℃임 - ;
    상기 포토마스크 기판에 제2 혼합물을 도포하는 단계 - 상기 제2 혼합물은 DI수 및 H2를 포함함 - ; 및
    상기 포토마스크 기판을 세척하기 위하여 DI수를 도포하는 단계
    를 포함하며,
    상기 제1 혼합물을 도포하는 단계는 메가 소닉 교반(mega sonic agitation)을 더 포함하며, 상기 메가 소닉 교반의 주파수는 3 MHz보다 크고,
    상기 제1 혼합물의 유량은 1000ml/분 내지 5000ml/분이고, 상기 제2 혼합물의 유량은 1000ml/분 내지 3000ml/분이고, 상기 제1 혼합물을 도포하는 단계 및 상기 DI수를 도포하는 단계를 포함하는 지속기간 동안 상기 도전성 나노입자들의 제거율은 90%보다 큰 것인, 기판을 세정하기 위한 방법.
  10. 기판을 세정하기 위한 방법에 있어서,
    표면 및 상기 표면 상에 배치된 복수의 패턴들을 갖는 반도체 기판을 수용하는 단계 ― 상기 반도체 기판은 상기 반도체 기판의 표면 위에 배치된 복수의 도전성 나노입자들을 가짐 ― ;
    상기 도전성 나노입자들을 제거하기 위하여 상기 반도체 기판에 SC1 용액, 탈이온(DI)수, 및 오존(O3)을 포함하는 제1 혼합물을 도포하는 단계 - 상기 제1 혼합물의 온도는 20 ℃ 내지 40 ℃임 - ;
    상기 반도체 기판에 제2 혼합물을 도포하는 단계 - 상기 제2 혼합물은 DI수 및 H2를 포함함 - ; 및
    상기 반도체 기판을 세척하기 위하여 DI수를 도포하는 단계
    를 포함하며,
    상기 제1 혼합물을 도포하는 단계는 메가 소닉 교반(mega sonic agitation)을 더 포함하며, 상기 메가 소닉 교반의 주파수는 3 MHz보다 크고,
    상기 제1 혼합물의 유량은 1000ml/분 내지 5000ml/분이고, 상기 제2 혼합물의 유량은 1000ml/분 내지 3000ml/분이고, 상기 제1 혼합물을 도포하는 단계 및 상기 DI수를 도포하는 단계를 포함하는 지속기간 동안 상기 도전성 나노입자들의 제거율은 90%보다 큰 것인, 기판을 세정하기 위한 방법.
KR1020200104037A 2019-09-27 2020-08-19 기판을 세정하기 위한 방법 KR102458583B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962907510P 2019-09-27 2019-09-27
US62/907,510 2019-09-27
US16/828,743 2020-03-24
US16/828,743 US11440060B2 (en) 2019-09-27 2020-03-24 Method for cleaning substrate

Publications (2)

Publication Number Publication Date
KR20210038308A KR20210038308A (ko) 2021-04-07
KR102458583B1 true KR102458583B1 (ko) 2022-10-24

Family

ID=75161631

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200104037A KR102458583B1 (ko) 2019-09-27 2020-08-19 기판을 세정하기 위한 방법

Country Status (2)

Country Link
US (1) US11440060B2 (ko)
KR (1) KR102458583B1 (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070068558A1 (en) * 2005-09-06 2007-03-29 Applied Materials, Inc. Apparatus and methods for mask cleaning
US20170031241A1 (en) * 2015-07-29 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and Methods of EUV Mask Cleaning

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5942356A (en) * 1996-03-30 1999-08-24 Hoya Corporation Phase shift mask and phase shift mask blank
US20070012335A1 (en) 2005-07-18 2007-01-18 Chang Hsiao C Photomask cleaning using vacuum ultraviolet (VUV) light cleaning
JP5019370B2 (ja) 2007-07-12 2012-09-05 ルネサスエレクトロニクス株式会社 基板の洗浄方法および洗浄装置
US10005990B2 (en) 2013-02-01 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning method for semiconductor device fabrication
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
JP6894264B2 (ja) * 2016-03-25 2021-06-30 株式会社Screenホールディングス 基板処理方法および基板処理装置
WO2017164186A1 (ja) * 2016-03-25 2017-09-28 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR101972212B1 (ko) 2017-04-27 2019-04-25 한양대학교 에리카산학협력단 Euv 마스크 세정 용액 및 그 세정 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070068558A1 (en) * 2005-09-06 2007-03-29 Applied Materials, Inc. Apparatus and methods for mask cleaning
US20170031241A1 (en) * 2015-07-29 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and Methods of EUV Mask Cleaning

Also Published As

Publication number Publication date
US11440060B2 (en) 2022-09-13
KR20210038308A (ko) 2021-04-07
US20210094080A1 (en) 2021-04-01

Similar Documents

Publication Publication Date Title
KR100918233B1 (ko) 리소그래피 마스크의 제조 방법 및 리소그래피 마스크
KR102631779B1 (ko) 반사형 마스크 블랭크, 반사형 마스크의 제조 방법, 및 반도체 장치의 제조 방법
TWI569093B (zh) 遮罩基底、轉印用遮罩、轉印用遮罩之製造方法及半導體元件之製造方法
TWI587078B (zh) A multilayer reflective film substrate, a reflection type mask substrate for EUV micrographs, a manufacturing method of a reflective type mask for EUV micrograph, and a method for manufacturing a semiconductor device
JP6547019B1 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
KR20120086710A (ko) 전사용 마스크, 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법
US20230278077A1 (en) Method for cleaning substrate
EP3575870A2 (en) Photomask blank, method of manufacturing photomask, and photomask
US20210333717A1 (en) Extreme ultraviolet mask and method of manufacturing the same
JP5045382B2 (ja) マスク基板の洗浄方法
US9341941B2 (en) Reflective photomask blank, reflective photomask, and integrated circuit device manufactured by using reflective photomask
KR102458583B1 (ko) 기판을 세정하기 위한 방법
JP6062195B2 (ja) 転写用マスクの製造方法及び半導体デバイスの製造方法
KR102296739B1 (ko) 포토마스크용 세정 조성물을 이용한 집적회로 소자 제조 방법
TWI824153B (zh) 空白光罩、光罩之製造方法及光罩
JP6738941B2 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
KR20220161165A (ko) 합금 기반 흡수재를 사용한 극자외선 마스크
KR101163221B1 (ko) 극자외선 광학 소자의 반사율을 회복시키는 방법 및 이를 이용한 세정 방법
EP3832388B1 (en) Photomask blank, manufacturing method of photomask and photomask
JP2015125166A (ja) 反射型マスクの製造方法および反射型マスク
CN113053734A (zh) 光罩的形成方法
KR20130061117A (ko) 전사용 마스크의 제조 방법 및 반도체 디바이스의 제조 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant