KR20160014110A - 통합 나노유체 분석 장치, 제작 방법 및 분석 기술 - Google Patents

통합 나노유체 분석 장치, 제작 방법 및 분석 기술 Download PDF

Info

Publication number
KR20160014110A
KR20160014110A KR1020167001826A KR20167001826A KR20160014110A KR 20160014110 A KR20160014110 A KR 20160014110A KR 1020167001826 A KR1020167001826 A KR 1020167001826A KR 20167001826 A KR20167001826 A KR 20167001826A KR 20160014110 A KR20160014110 A KR 20160014110A
Authority
KR
South Korea
Prior art keywords
substrate
channel
thin film
hydrogenated
silicon
Prior art date
Application number
KR1020167001826A
Other languages
English (en)
Other versions
KR101767125B1 (ko
Inventor
한 카오
마이클 디. 오스틴
파리크쉬트 에이. 데쉬판데
마크 쿤켈
알렉세이 와이. 샤로노프
마이클 코체르스페르거
Original Assignee
바이오나노 제노믹스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바이오나노 제노믹스, 인크. filed Critical 바이오나노 제노믹스, 인크.
Publication of KR20160014110A publication Critical patent/KR20160014110A/ko
Application granted granted Critical
Publication of KR101767125B1 publication Critical patent/KR101767125B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N35/00Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor
    • G01N35/08Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor using a stream of discrete samples flowing along a tube system, e.g. flow injection analysis
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502761Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip specially adapted for handling suspended solids or molecules independently from the bulk fluid flow, e.g. for trapping or sorting beads, for physically stretching molecules
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • G01N21/03Cuvette constructions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6428Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes"
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/06Fluid handling related problems
    • B01L2200/0647Handling flowable solids, e.g. microscopic beads, cells, particles
    • B01L2200/0663Stretching or orienting elongated molecules or particles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/06Fluid handling related problems
    • B01L2200/0689Sealing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/10Integrating sample preparation and analysis in single entity, e.g. lab-on-a-chip concept
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0809Geometry, shape and general structure rectangular shaped
    • B01L2300/0816Cards, e.g. flat sample carriers usually with flow in two horizontal directions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0848Specific forms of parts of containers
    • B01L2300/0851Bottom walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0848Specific forms of parts of containers
    • B01L2300/0858Side walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0861Configuration of multiple channels and/or chambers in a single devices
    • B01L2300/0864Configuration of multiple channels and/or chambers in a single devices comprising only one inlet and multiple receiving wells, e.g. for separation, splitting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0887Laminated structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/16Surface properties and coatings
    • B01L2300/168Specific optical properties, e.g. reflective coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/0415Moving fluids with specific forces or mechanical means specific forces electrical forces, e.g. electrokinetic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/043Moving fluids with specific forces or mechanical means specific forces magnetic forces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/0442Moving fluids with specific forces or mechanical means specific forces thermal energy, e.g. vaporisation, bubble jet
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0475Moving fluids with specific forces or mechanical means specific mechanical means and fluid pressure
    • B01L2400/0487Moving fluids with specific forces or mechanical means specific mechanical means and fluid pressure fluid pressure, pneumatics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/08Regulating or influencing the flow resistance
    • B01L2400/084Passive control of flow resistance
    • B01L2400/086Passive control of flow resistance using baffles or other fixed flow obstructions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/019Bonding or gluing multiple substrate layers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • G01N21/03Cuvette constructions
    • G01N2021/0346Capillary cells; Microcells
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6428Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes"
    • G01N2021/6439Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes" with indicators, stains, dyes, tags, labels, marks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/4981Utilizing transitory attached element or associated separate material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Immunology (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Hematology (AREA)
  • Clinical Laboratory Science (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optics & Photonics (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Optical Measuring Cells (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Micromachines (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

마크로규모 및 나노규모 치수의 피쳐를 갖는 통합 분석 장치, 및 감소된 바탕 신호를 갖고 장치 내에 배치된 형광단의 켄칭이 감소한 장치가 제공된다. 이들 장치를 제조하고 사용하는 관련 방법 또한 제공된다.

Description

통합 나노유체 분석 장치, 제작 방법 및 분석 기술 {INTEGRATED NANOFLUIDIC ANALYSIS DEVICES, FABRICATION METHODS AND ANALYSIS TECHNIQUES}
관련 출원
본 출원은 2008년 6월 6일자로 출원된 미국 출원 제61/059,399호의 우선권을 주장하며, 상기 출원의 전문은 본원에 참조로 인용된다.
본 발명은 나노유체역학 분야 및 고체 상태 광학 분석 장치 분야에 관한 것이다.
현 생물의학 분석에서의 과제 중 하나는, 상당한 이질성을 가질 수 있고, 샘플 중에 어떠한 두 개체도 똑같지 않은 생물학적 샘플의 복잡성을 충분히 이해하는 것이다. 주어진 샘플 중 세포 또는 분자의 소수 집단은 종종 환자의 병리생리학 상태와 임상학적으로 가장 관련있는 부분이다.
통상의 벌크 용액 분석법은 질환 원인 분자, 특징 및 사례들의 조기 발견을 방해하는 이질적인 샘플의 사소하나 가장 중요한 특징들을 통계내지만 이들을 이해하기 어려울 수 있다. 분자 생물학 기술이 발달함에 따라, 점점 작아지는 샘플을 훨씬 정확한 해상도 및 정밀도로 분석하는 것에 대한 관심이 증가하고 있다.
단일 분자 수준 생물학 분야는 본래 마이크로미터 이하의 범위에 있다. 상기 분야에서의 한 과제는 기존의 제작 방법과 상용가능한 고체 상태 물질에 대하여 고급의 마이크로유체 및 나노유체 구조체의 제작이다. 광학 바탕 오염이 유체 장치의 효능을 감소시키는 과도한 자가형광 노이즈를 발생시키기 때문에, 장치의 내부 표면의 광학 순도는 단일 분자 수준 형광 영상화를 위해 디자인된 나노유체역학에서 가장 중요하다. 그러나, 광학 순도는 통상의 반도체 제작에서 중요한 측면으로 생각되지 않는다.
이러한 분야에서 직면하는 또다른 과제는 분자 또는 그외 다른 표적을 마크로규모 환경 (예를 들면, 피펫)으로부터 마이크로규모 또는 나노규모 영역으로 이동시키는 것 뿐만 아니라, 이러한 분자 및 관련 매체를 마이크로규모 또는 나노규모 영역으로부터 추가의 후속 분석을 위한 마크로규모 방수구 또는 샘플 수집 챔버로 이동시키는 것이다.
이러한 장치는 제어가능하고 누출이 없는 수송을 가능하게 하는 방식으로 함께 통합하기에 매우 광범위한 길이 범위를 나타내는, 센티미터부터 한 자릿수의 나노미터에 이르는 (7 자릿수 차이) 크기를 갖는 피쳐(feature)들을 수용해야 한다.
생물학적 표적 및 그외 다른 표적을 수송함으로써 나타나는 문제점과 함께, 표적이 폐쇄 채널에 배치되어 있는 동안에 표적에 대하여 검출을 수행할 수 있는, 상기 표적 (예를 들면, 관심 분자 또는 세포 구성성분) 상의 발광 표지를 검출하는 것도 과제이다. 이러한 검출은, 특히 나노유체역학 분야에서 여러가지로 실제 적용된다.
표지의 전자기 신호 대 표지가 함유된 장치의 바탕 신호의 신호 대 바탕 비율 (SBR) (신호 대 노이즈 비율 (S/N)이라고도 함)이 이러한 검출에서 특히 중요하다. 바탕을 감소시켜 SBR을 최대화함으로써 주어진 시스템의 역학적 범위를 증가시켜 상기 시스템의 효율을 향상시킨다. 이러한 효율은 또한, 장치의 바탕 신호를 구성하는 전자기 방사선이 가능한 최대 스펙트럼 범위에 걸쳐 감소한 장치에 의해 향상된다.
규소와 같은 특정한 기판은, 마이크로어레이 기반 적용에서 통상 실시되는 바와 같이, 평판형 개방 규소 기판 상의 형광단을 영상화하는 경우에 형광 발광을 켄칭시킨다. 이러한 켄칭을 방지하기 위해, 통상적으로 기판 코팅을 이용하여 켄칭을 감소시키거나 제거한다. 그러나, 한정된 채널을 갖는 접합 유체 장치로 도입되는 경우에, 코팅 물질은 종종 장치의 바탕 신호를 증가시킬 수 있어, 장치 성능을 저하시키고, 한 문제점 (켄칭) 대신 또다른 문제점 (바탕 증가)을 초래한다.
따라서, 당업계에서는 비교적 낮은 수준의 바탕 신호를 나타내면서 또한 형광단 또는 장치에 존재하는 다른 표지의 켄칭을 제한하는 장치가 요구된다. 또한 당업계에서는 이러한 특성을 갖는 장치의 관련된 제작 방법도 요구된다.
기재한 과제를 해결하는데 있어서, 청구된 발명은 먼저 제1 기판; 제2 기판; 제1 연결자 채널이 분석 장치의 외부 환경과 유체 소통하도록, 상기 제1 기판, 제2 기판, 또는 이들 둘 모두의 적어도 일부를 통해 연장하는 제1 주입 포트; 및 상기 제1 연결자 채널이 나노채널 분석 영역과 유체 소통하도록 하는, 약 10,000 nm 미만 범위의 단면 치수를 갖는 것을 특징으로 하는 1개 이상의 기본 채널 및 2개 이상의 2차 채널을 포함하는 제1 전단 분지 채널 영역을 포함하며, 여기서 상기 나노채널 분석 영역은 기본 채널의 단면 치수보다 작은 단면 치수를 갖는 것을 특징으로 하는 1개 이상의 나노채널을 포함하고, 기본 채널 대 나노채널의 단면 치수 비율은 약 100 내지 약 10,000 범위인, 분석 장치를 제공한다.
또한, 제1 기판 및 제2 기판을 접합시키는 단계를 포함하며, 이때 상기 기판들 중 적어도 하나는 약 10 nm 내지 약 10,000 nm 범위의 폭을 갖는 1개 이상의 채널을 포함하고, 상기 접합에 의해 기판들 사이에 배치된 폐쇄 도관이 제공되고, 상기 폐쇄 도관은 그것을 통해서 유체를 수송할 수 있는 것인, 분석 장치의 제작 방법을 제공한다.
추가로, 연속적으로 감소하는 폭을 갖는 2개 이상의 채널을 통해 마크로분자를 전위시켜 마크로분자의 적어도 일부가 신장됨과 동시에 채널의 가장 좁은 곳에 배치되도록 하며, 이때 가장 넓은 채널과 가장 좁은 채널의 폭의 비율은 약 1 내지 약 106 범위인 단계; 마크로분자가 10 nm 내지 약 1000 nm의 폭을 갖는 채널의 제1 영역에 있는 동안 마크로분자로부터의 신호를 검출하는 단계; 및 신호를 마크로분자의 특징과 연관시키는 단계를 포함하는, 분석 방법을 제공한다.
추가로, 제1 기판 및 제2 기판; 제1 기판, 제2 기판, 또는 이들 둘 모두의 적어도 일부 위에 놓인 제1 박막을 포함하며, 여기서 상기 제1 및 제2 기판은 기판들 사이에 배치된 채널을 한정하고, 제1 또는 제2 기판 중 적어도 하나는 약 10 nm 내지 약 2500 nm 범위의 하나 이상의 파장을 갖는 것을 특징으로 하는 전자기 방사선의 적어도 부분적인 통과를 허용하고, 상기 제1 박막의 적어도 일부는 제1 기판과 제2 기판 사이에 배치된 채널의 적어도 일부를 한정하고, 제1 박막은 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 상기 제1 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시키는 것인, 분석 장치를 제공한다.
추가로, 기판 내에 폐쇄된 채널을 한정하도록 구조화된 기판을 포함하며, 여기서 상기 기판은 약 10 nm 내지 약 2500 nm 범위의 하나 이상의 진동수 구성을 갖는 전자기 방사선에 대해 투명한 것인, 분석 장치를 제공한다.
추가로, 제1 기판, 제2 기판 및 제1 박막층을 제1 기판과 제2 기판 사이에 배치된 채널을 한정하도록 배치시키며, 이때 상기 제1 박막층은 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 상기 층이 상기 제1 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시키도록 선택되는 것인 단계; 및 상기 제1 박막층을 상기 제1 기판, 제2 기판, 또는 이들 둘 모두에 접합시키는 단계를 포함하는, 분석 장치의 제작 방법을 제공한다.
또한, 후에 제거될 템플릿(template)을 약 10 nm 내지 약 5000 nm 범위의 파장을 갖는 전자기 방사선에 대해 투명한 물질을 포함하는 작업편 내에 배치시키는 단계; 상기 후에 제거될 템플릿의 적어도 일부를 제거하여 작업편 내에 배치된 채널을 제공하며, 이때 상기 채널의 적어도 일부는 약 5 nm 내지 약 5000 nm 범위의 단면 치수를 갖는 것인 단계를 포함하는, 분석 장치의 제작 방법을 제공한다.
추가로, 형광 표지된 분자의 적어도 일부를 분석 장치 내의 채널에 넣고, 이때 상기 분석 장치는 적어도 제1 기판, 제2 기판, 및 제1 기판과 제2 기판 사이에 배치되는 채널을 제공하도록 구조화된 제1 박막을 갖는 것이고, 제1 박막은 제1 기판, 제2 기판, 또는 이들 둘 모두에 접합되고, 형광 표지된 분자는 샘플이 약 10 nm 내지 약 2500 nm 범위의 여기 파장의 전자기 방사선에 의해 조명될 때 방출 파장의 전자기 방사선을 방출할 수 있는 것이고, 제1 박막은 장치가 여기 파장의 전자기 방사선에 의해 조명될 때, 상기 제1 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시키는 것인 단계; 및 형광 표지된 분자로부터 방출된 방출 파장의 전자기 방사선을 수집하는 단계를 포함하는, 형광 표지된 분자의 분석 방법을 제공한다.
본 발명은 본 개시내용의 일부를 구성하는 첨부된 도면 및 실시예와 함께 하기 상세한 설명을 참고로 하여 보다 용이하게 이해할 수 있다. 본 발명은 본원에 기재되어 있고/있거나 도시된 특정한 장치, 방법, 적용, 조건 또는 파라미터로 제한되지 않고, 본원에서 사용되는 용어들은 특정한 실시양태를 단지 예를 들어 설명하기 위한 것이며 청구된 발명을 제한하기 위한 것이 아님을 알아야 한다. 또한, 첨부된 특허청구범위를 포함하는 본 명세서에 사용된, 단수형 "한", "하나의" 및 "그"는 복수도 포함하고, 특정한 수치를 언급하는 것은, 문맥에서 달리 명확히 명시하지 않는 한, 적어도 그 특정한 값을 포함한다. 본원에서 사용된, 용어 "복수"는 1개 초과를 의미한다. 소정의 범위의 값이 표현되는 경우에, 또다른 실시양태는 한 특정 값부터 및/또는 그 나머지 특정 값까지를 포함한다. 마찬가지로, 선행된 "약"을 사용함으로써, 값이 근사치로 표현되는 경우에, 그 특정한 값이 또다른 실시양태를 구성함을 알 것이다. 모든 범위는 포괄적이고 조합가능하다.
명료하게 하기 위해, 별개의 실시양태로 본원에 기재된 본 발명의 특정한 특징들은 또한 단일 실시양태로 조합되어 제공가능함을 알아야 한다. 반대로, 간결하게 하기 위해, 단일 실시양태로 기재된 본 발명의 여러 특징들은 또한 별개로 또는 임의의 하위조합으로 제공가능하다. 또한, 범위로 명시된 값을 언급하는 것은 그 범위 내의 각각의 모든 값을 포함한다.
용어:
본원에서 사용된, "유체 소자"는 유체를 함유하거나 넣을 수 있는 피쳐, 예컨대 채널, 홈, 트렌치(trench), 간극, 입구, 구멍, 연결통로 등을 의미한다.
본원에서 사용된, "단면 치수"는 폭, 직경, 깊이 또는 다른 횡단식 측정치를 의미한다.
청구된 발명은 먼저 분석 장치를 제공한다. 이들 장치는 적합하게는, 특히 제1 기판 및 제2 기판을 포함한다. 적합한 기판 물질은 본원의 다른 곳에 기재되어 있고, 예를 들어 규소, 유리 및 석영을 포함한다.
상기 장치는 또한 제1 연결자 채널이 분석 장치의 외부 환경과 유체 소통하도록, 제1 기판, 제2 기판, 또는 이들 둘 모두의 적어도 일부를 통해 연장하는 제1 주입 포트를 포함한다.
또한 제1 연결자 채널이 나노채널 분석 영역과 유체 소통하도록 하는, 약 10,000 nm 미만 범위의 단면 치수를 갖는 것을 특징으로 하는 1개 이상의 기본 채널 및 2개 이상의 2차 채널을 포함하는 제1 전단 분지 채널 영역도 상기 장치에 존재한다. 분지 채널 배열은 예를 들어 도 5(b), 7(c) 및 8(c)에 도시되어 있고, 이 도면들은 보다 작은 2차 채널로 나누어진 기본 채널을 도시한다.
나노채널 분석 영역은 적합하게는 기본 채널의 단면 치수보다 작은 단면 치수를 갖는 것을 특징으로 하는 1개 이상의 나노채널을 포함한다. 기본 채널 대 나노채널의 단면 치수 비율은 약 100 내지 약 10,000, 또는 약 1000 내지 약 5000, 또는 약 2000이다.
기판은 매우 다양한 물질의 기판일 수 있다. 제1 기판, 제2 기판, 또는 이들 둘 모두는 적합하게는 규소, SiGe, Ge, 스트레인드 실리콘(strained silicon), GeSbTe, AlGaAs, AlGaInP, AlGaN, AlGaP, GaAsP, GaAs, GaN, GaP, InAlAs, InAlP, InSb, GaInAlAs, GaInAlN, GaInAsN, GaInAsP, GaInAs, GaInN, GaInP, GaSb, InN, InP, CdSe, 또는 CdTe이다. 아연 화합물, 예컨대 아연 셀레나이드 (ZnSe), HgCdTe, ZnO, ZnTe, 및 아연 술파이드 (ZnS)도 모두 유용하다.
기판 물질의 목록은 또한 알루미늄, 알루미늄 옥사이드, 스테인리스강, 캡톤(Kapton; TM), 금속, 세라믹, 플라스틱, 중합체, 사파이어, 규소 카바이드, SOI (실리콘 온 인슐레이터(silicon on insulator)), 아스트로시탈(astrosital), 바륨 보레이트, 바륨 플루오라이드, 실레나이트(sillenite) 결정 BGO/BSO/BTO, 비스무트 게르마네이트, 방해석, 칼슘 플루오라이드, 세슘 요오다이드, Fe:LiNbO3, 용융 석영, 석영, 용융 실리카, 유리, SiO2, 갈륨, 가돌리늄 가넷, 칼륨 디히드로겐 포스페이트 (KDP), 탈륨 브로모요오다이드 (KRS-5), 칼륨 티타닐 포스페이트, 납 몰리브데이트, 리튬 플루오라이드, 리튬 요오데이트, 리튬 니오베이트, 리튬 탄탈레이트, 마그네슘 플루오라이드, 칼륨 브로마이드, 티타늄 디옥사이드, 나트륨 클로라이드, 텔루륨 디옥사이드, 아연 셀레나이드, 스핀-온 글래스(spin-on glass), UV 경화성 물질, 소다 석회 유리, 수소화된 형태의 임의의 상기 화합물, 상기 화합물의 화학량론적 변이물, 또는 이들의 임의의 조합물을 포함한다. 몇몇 실시양태에서, 기판은 광학적으로 불투명하고, 다른 기판에서는 가시광선 또는 전자기 방사선의 적어도 하나의 파장에 대해 본질적으로 투명하다.
적합한 제1 기판은 약 10 nm 내지 약 10,000 nm, 또는 약 100 nm 내지 약 1000 nm, 또는 약 200 nm 내지 약 500 nm 범위의 두께를 갖는다. 제2 기판은 동일한 범위의 두께를 가질 수 있고; 두 기판은 동일한 두께 또는 상이한 두께의 기판일 수 있다.
주입 포트는 적합하게는 단면이 원형이지만 (예를 들면, 도 1), 다른 프로파일이 사용될 수도 있다. 주입 포트는 적합하게는 약 5 마이크로미터 내지 약 5000 마이크로미터, 또는 약 10 마이크로미터 내지 약 100 마이크로미터, 또는 약 50 마이크로미터의 직경 또는 다른 단면 치수를 갖는다. 주입 포트는 기판의 두께를 따라, 또는 기판을 통해 부분적으로 연장할 수 있다. 포트는 막히거나 또는 캡핑될 수 있고, 또한 밸브 또는 다른 밀봉재를 포함할 수 있다.
배출 포트는 적합하게는 주입 포트와 유사한 치수를 갖지만, 주어진 장치 상의 주입 포트 및 배출 포트는 동일한 치수의 포트일 필요는 없다. 포트는 적합하게는 기판의 전체 두께를 따라 연장하지만, 기판의 일부만을 통해 연장하는 주입구 (및 배출구) 또한 사용가능하다.
청구된 발명의 연결자 채널은 적합하게는 약 100 nm 내지 약 100 마이크로미터, 또는 약 500 nm 내지 약 50 마이크로미터, 또는 약 1 마이크로미터 내지 약 10 마이크로미터 범위의 깊이를 갖는다. 연결자는 또한 적합하게는 약 500 nm 내지 약 1000 마이크로미터, 또는 약 1 마이크로미터 내지 약 50 마이크로미터, 또는 약 10 마이크로미터 내지 약 50 마이크로미터 범위의 폭을 갖는다. 연결자 영역은 예를 들어 도 5에 도시되어 있다.
연결자는 몇몇 구조에서 2개 이상의 주입구를 연결할 수 있고, 또한 도 5에 도시된 바와 같이, 분지 영역의 1개, 2개, 3개 또는 그 이상의 기본 채널과 유체 소통할 수 있다. 몇몇 실시양태에서, 분지 영역은 그 사이의 연결자 영역 없이, 주입 포트와 직접 유체 소통한다.
청구된 장치의 분지 (또는 분기) 영역에서, 기본 채널은 적합하게는 약 10 nm 내지 약 10,000 nm, 또는 약 50 nm 내지 약 1000 nm, 또는 75 nm 내지 약 200 nm 범위의 폭을 갖는다. 기본 채널의 최적의 폭은 사용자의 필요에 따라 달라질 것이다.
기본 채널은 약 10 nm 내지 약 1000 nm, 또는 약 50 nm 내지 약 500 nm, 또는 약 100 nm 내지 약 200 nm 범위의 깊이를 가질 수 있다.
전단 분지 채널 영역은 적합하게는 예를 들어 도 7에 도시된 바와 같이, 기본 채널을 최소 2개의 2차 채널로 나누는 스플리터(splitter) 구조를 포함한다. 몇몇 실시양태에서 (도 7 참조), 스플리터 구조는 기본 채널의 중심선에 대해 약 0 내지 약 90도 범위로 경사진 1개 이상의 표면을 포함한다. 도 7에 도시된 비제한적 실시양태에서, 스플리터는 도 7(c)의 상단에 도시된 기본 채널의 중심선에 대해 0 내지 90도 경사진 표면을 포함한다.
이러한 실시양태에서 2차 채널의 폭은 적합하게는 기본 채널 폭의 약 30% 내지 약 70%, 또는 기본 채널의 약 45% 내지 55% 범위이다. 몇몇 실시양태에서, 2차 채널의 단면적은 기본 채널 단면적의 약 50%이다. 다른 실시양태에서, 2차 채널 중 하나는 그 단면적, 폭, 깊이 또는 이들의 임의의 조합에 있어서 다른 2차 채널과 상이하다. 다른 실시양태에서, 2차 채널은 서로 유사하거나 또는 동일한 치수를 갖는다.
2차 채널은 약 1 마이크로미터 내지 약 500 마이크로미터, 또는 약 10 마이크로미터 내지 약 100 마이크로미터 범위의 길이를 가질 수 있다. 2차 채널은 동일하거나 또는 상이한 길이를 가질 수 있다.
몇몇 실시양태에서 (예를 들면, 도 7, 도 8), 2차 채널은 2차 채널의 중심선에 대해 약 0 내지 약 90도 범위로 경사진 1개 이상의 표면을 갖는 스플리터에 의해 2개의 3차 채널로 나누어진다. 이는 도 7의 비제한적 실시양태에 의해 도시된다.
청구된 발명의 몇몇 구조에서, 스플리터 구조는 도 8에 도시된 바와 같은 곡면부를 포함한다. 이러한 스플리터 구조는 적합하게는, 도 8(c)에 도시된 바와 같이, 소정의 구배에 의해 기본 채널을 통해 추진된 유체 기원의 물질이 스플리터 구조로부터 하류방향에 있는 2차 채널에 본질적으로 균등하게 들어가도록 구조화된다. 상기 도면에 도시된 바와 같이, 스플리터는, 장치에 인가된 전기장의 전기력선이 영역을 통과한 표적 (예를 들면, DNA 또는 그외 다른 생체중합체)이 도면의 하단에 도시된 4개의 3차 채널에 본질적으로 균등하게 분포되도록 할 형상 및 구조를 갖는다.
스플리터는 도 8에 도시된 바와 같이, 2차 채널의 적어도 일부를 기본 채널로부터 차폐시키는 돌출부를 한정하도록 구조화될 수 있다. 돌출부는 그것이 2차 채널 폭의 약 5% 내지 약 50% 범위에 있도록 구조화될 수 있다.
2차 채널의 폭은 기본 채널 폭의 약 30% 내지 약 70%, 또는 기본 채널의 50%일 수 있다. 본원의 다른 곳에 기재된 바와 같이, 2차 채널은 기본 채널 단면적의 약 30% 내지 70%, 또는 기본 채널 단면적의 약 50%인 단면적을 가질 수 있다.
청구된 장치의 나노채널 분석 영역에서 나노채널은 적합하게는 약 1 nm 내지 약 1000 nm, 또는 약 10 nm 내지 약 100 nm, 또는 약 50 nm 내지 약 80 nm 범위의 폭을 갖는다. 나노채널은 약 10 nm 내지 약 500 nm, 또는 약 20 nm 내지 약 200 nm, 또는 약 50 nm 내지 약 100 nm 범위의 깊이를 가질 수 있다.
몇몇 구조에서, 나노채널은 약 0.1 마이크로미터 내지 약 50 마이크로미터 범위의 길이를 갖는 1개 이상의 선형 세그먼트를 갖는다. 선형 세그먼트는 도 10, 도 11 및 도 12에 도시되어 있다. 나노채널은 약 30도 이상, 약 90도 이상의 굴곡부 또는 만곡부, 또는 약 180도 이상의 굴곡부를 포함할 수 있다. 몇몇 실시양태에서, 나노채널은 원형이거나 또는 나선형 구조일 수 있다.
나노채널은 일정한 폭 및 깊이를 가질 수 있지만, 또한 변화하는 폭, 변화하는 깊이, 또는 둘 모두를 가질 수 있다. 채널은 지그재그형 (도 12)일 수 있거나, 또는 파상형 바닥을 가져, 채널의 길이를 따라 깊이가 달라지는 채널을 제공할 수 있다.
몇몇 실시양태에서, 도 5(b)에 도시된 바와 같이, 나노채널 분석 영역은 제1 후단 분지 채널 영역과 유체 소통한다. 후단 분지 영역은 적합하게는 앞서 기재한 전단 분지 영역과 유사하고, 전단 분지 채널 영역으로부터 하류방향에 있는 것을 특징으로 할 수 있다. 주어진 장치 상에서 전단 및 후단 영역은 동일하거나 또는 서로 상이할 수 있다. 장치는 또한 포트 (주입구 또는 배출구), 분지 영역 (도 5(b)), 또는 이들 둘 모두와 유체 소통하는 제2 연결자 채널 (도 5(b))을 포함할 수 있다. 기본 채널은 또한 제2 연결자 채널, 또는 제2 포트 (예를 들어, 배출구)와 유체 소통할 수 있다.
몇몇 실시양태에서, 포트의 단면 치수 대 1개 이상의 나노채널의 단면 치수의 비율은 약 1 내지 약 107 범위이다. 몇몇 경우에는, 상기 비율은 100, 1000, 또는 10,000이다. 상기 비율은 청구된 장치가 마이크로 (또는 보다 더 큰) 범위의 환경으로부터 나노규모의 환경으로 수송되는 표적을 수송하는데 (또한 분석하는데) 적합함을 보여준다.
마크로규모의 환경으로부터 마이크로규모 또는 나노규모의 환경으로 표적을 제어가능하게 전위시키는 능력은, 사용자가 큰 부피의 샘플 (통상적으로는 유체 중에 분산된 분자 또는 그외 다른 표적)을 이용하여 개시한 후에 청구된 발명에 따른 장치를 사용하여 단일 표적을 상기 대용량 샘플로부터 제어가능하게 단리시킬 수 있도록 하기 때문에, 매우 유용하다. 게다가, 청구된 발명은 사용자가 채널과 같은 나노규모의 환경 중의 상기 단일 표적을 단리시킬 수 있도록 한다. 따라서 청구된 발명은 사용자가 수많은 다른 분자들과 함께 큰 부피의 매체에 이미 분산된 개개의 분자에 대하여 단일 분자 분석을 수행할 수 있도록 한다.
몇몇 실시양태에서, 나노채널 분석 영역 및 분지 채널 영역은 동일한 평면에 배치된다. 다르게는, 이들은 상이한 평면에 있다. 나노채널 분석 영역은 제2 나노채널 분석 영역과 유체 소통할 수 있고, 상기 제2 나노채널 분석 영역은 제1 나노채널 분석 영역과 상이한 기판에 배치된다. 이러한 실시양태에서, 적층형 또는 3차원 다중 분석 영역 장치가 조립될 수 있고, 다중 나노채널 분석 영역을 포함하는 메타(meta)-장치가 조립될 수 있다.
또한, 분석 장치의 제작 방법을 제공한다. 상기 방법은, 특히 제1 기판 및 제2 기판을 접합시키는 것을 포함하며, 이때 상기 기판들 중 적어도 하나는 약 10 nm 내지 약 10,000 nm 범위의 폭을 갖는 1개 이상의 채널을 포함하고, 상기 접합에 의해 기판들 사이에 배치된 폐쇄 도관이 제공되고, 상기 폐쇄 도관은 그것을 통해서 유체를 수송할 수 있다.
접합은 양극 접합, 열처리 접합, 또는 이들의 임의의 조합에 의해 달성가능하다. 화학 접합 또한 사용가능하다. Si-유리 장치의 양극 접합을 위한 샘플 공정 조건은 본원의 다른 곳에 기재한다.
상기 방법은 제1 기판, 제2 기판, 또는 이들 둘 모두의 적어도 일부의 최상위에 박막을 배치하는 것을 포함하며, 상기 박막은 기판에 배치된 임의의 채널의 적어도 일부 내에 배치될 수 있다. 막을 사용하여 기판들 사이의 접합을 향상시킬 수 있다.
하나의 비제한적 예로서, 규소 디옥사이드 (또는 규소 니트라이드) 막을 사용하여 규소 기판과 유리 또는 그외 다른 기판 사이의 접합을 향상시킬 수 있다 (또는 가능하게 할 수 있다). 박막은 또한 기판 중 적어도 하나로부터 폐쇄 도관 내부의 적어도 일부를 전기 절연하도록 선택될 수 있다. 본원의 다른 곳에 보다 상세히 기재된 바와 같이, 박막을 사용하여 기판으로부터 도관의 적어도 일부를 차폐시킬 수 있어, 기판이 도관 내에 배치된 형광단을 켄칭시키는 것을 방지할 수 있다.
박막은 폐쇄 도관의 단면적을 소정의 값으로 감소시키도록 배치될 수 있고, 이러한 감소는 도관 내에 유동하는 유체에 이용가능한 단면적을 감소시키도록 채널의 바닥 및 측면을 만들어 달성한다. 박막은 단면적을 약 1% 이상, 약 5% 이상, 또는 약 10% 이상 또는 25% 감소시키도록 배치될 수 있다. 박막은 채널을 완전히 충전하도록 배치될 수도 있다. 채널은 예를 들어 도 4 및 도 16에 도시된 바와 같이, 막에 에칭될 수 있다.
기판은 2개 이상의 채널을 포함할 수 있다. 기판들 중 2개는 각각, 접합에 의해 기판들 사이에 배치된 2개 이상의 폐쇄 도관을 만드는 1개 이상의 채널을 포함할 수 있다. 두 기판이 모두 채널을 포함하는 실시양태에서, 기판은 채널이 서로 적어도 부분적으로 합일되도록 접합될 수 있다 (예를 들면, 도 13).
몇몇 실시양태에서, 생산된 장치의 2개의 도관의 폭의 비율은 약 1 내지 약 107, 또는 약 100 내지 약 10,000 범위이거나, 또는 약 1000이다.
몇몇 실시양태에서, 제1 기판, 제2 기판, 또는 이들 둘 모두는 유전체를 포함한다. 제1 기판, 제2 기판, 또는 이들 둘 모두는 반전도성 물질 또는 전도성 물질을 포함할 수 있다. 기판들 중 하나 또는 둘 모두는 적합하게는 전자기 방사선의 적어도 하나의 파장에 대해 투명하거나, 또는 가시광선에 대해 투명하다.
또한, 분석 방법을 제공한다. 상기 방법은 적합하게는 연속적으로 감소하는 폭을 갖는 2개 이상의 채널을 통해 마크로분자를 전위시켜 마크로분자의 적어도 일부가 신장됨과 동시에 채널의 가장 좁은 곳에 배치되는 것을 포함하며, 이때 가장 넓은 채널과 가장 좁은 채널의 폭의 비율은 약 1 내지 약 107, 또는 약 100 내지 약 105 범위이다. 몇몇 실시양태에서, 마크로분자는 감소하는 폭 또는 단면적의 단일 채널을 통해 전위되고, 채널을 따라 달라지는 폭은 앞서 언급한 비율에 따르는 것이다.
몇몇 실시양태에서, 사용자는 센티미터 범위의 단면 치수를 갖는 주입구를 통해 표적을 전위시킬 수 있고, 상기 표적은 나노미터 범위의 단면 치수를 갖는 채널에 결국 도달한다.
상기 방법은 또한 분자가 10 nm 내지 약 1000 nm, 또는 약 50 nm 내지 약 500 nm, 또는 약 100 nm 내지 약 200 nm의 폭을 갖는 채널의 제1 영역에 있는 동안 분자로부터의 신호를 검출하는 것을 포함한다.
그 후에 사용자는 신호를 마크로분자의 특징과 연관시킬 수 있다. 예를 들어, 샘플을 샘플 상의 특이한 DNA 서열에 결합하는 형광 표지에 노출시킨 후에, 사용자는 형광 표지가 샘플 상에 존재하는지를 (또는 존재하지 않는지를) 판단하기 위해 샘플에서 정보를 얻을 수 있다. 사용자는 또한 신호의 지속기간을 마크로분자의 길이 또는 다른 특징, 또는 마크로분자의 장치를 통과하는 속도와 연관시킬 수 있다.
신호는 형광 분자에 의해 방출되는 것일 필요는 없다; 신호는 자성 또는 방사성을 가질 수 있다. 몇몇 실시양태에서, 사용자는 표적을 그것이 채널 (또는 도관)에 배치되어 있는 동안에 광학 검사할 수 있다. 신호는 표지의 여기로부터 발생한 신호일 수 있거나, 또는 샘플이 조명될 때 초래된 신호 또는 반사일 수 있다. 샘플의 광학 검사를 실시할 수 있는 실시양태, 또는 신호가 전자기 방사선을 포함하는 실시양태에서, 기판 (및 임의의 그 사이에 있는 박막) 중 적어도 하나가 투명한 것이 바람직하지만, 반드시 그럴 필요는 없다.
전위는 전하량 구배, 압력 구배, 자기장, 열 구배, 또는 이들의 임의의 조합을 적용함으로써 달성가능하다. 전위는 일정한 구배, 또는 변화하는 구배를 적용하는 것을 포함할 수 있다.
상기 방법은 또한 마크로분자를 연속적으로 증가하는 폭을 갖는 2개 이상의 채널을 통해 전위시키는 것을 포함한다. 몇몇 실시양태에서, 구배 방향은 마크로분자의 방향을 역전시키도록 역전될 수 있어, 마크로분자의 적어도 일부는 채널의 제1 영역에 다시 들어간다. 따라서 사용자는 표적 마크로분자를 주어진 장치 내에서 전후로 이동시킬 수 있다.
테이프 재생장치에서의 테이프의 감기 및 되감기와 유사한, 이러한 전후 제어는 마크로분자 또는 그외 다른 표적을 분석하는 데에 유용한데, 그 이유는 사용자가 표적을 나노채널 분석 영역을 통해 통과시킨 다음 구배를 역전시켜 마크로분자를 "되감기"한 후에, 동일한 분자를 재분석할 수 있기 때문이다. 이로써 사용자는 주어진 표적을 용이하게 반복 측정할 수 있어, 사용자는 큰 (즉, 통계학적으로 유용한) 세트의 측정치를 신속히 모을 수 있다. 구배를 조정하는 능력은 또한 사용자가 표적을 분석 장치의 한 부분을 통해 신속히 전진 (또는 "고속 감기")시키고, 그 후에 분석을 위해 표적을 늦출 수 있도록 한다.
검출은 적합하게는 광학적으로, 전기적으로, 자기적으로, 전자기적으로, 또는 이들의 조합으로 달성된다. 광자수 측정기 및 현미경이 청구된 방법에 따른 검출을 수행하는데 적합하다.
또다른 측면에서, 본 발명은 분석 장치를 제공한다. 이들 장치는 적합하게는 제1 기판 및 제2 기판; 제1 기판, 제2 기판, 또는 이들 둘 모두의 적어도 일부 위에 놓인 제1 박막을 포함하며, 여기서 상기 제1 및 제2 기판은 기판들 사이에 배치된 채널을 한정하고, 제1 또는 제2 기판 중 적어도 하나는 약 10 nm 내지 약 2500 nm 범위의 하나 이상의 파장을 갖는 것을 특징으로 하는 전자기 방사선의 적어도 부분적인 통과를 허용한다.
박막은 단일층의 물질일 수 있다. 다층막이 기판 위에 놓일 수 있고, 박막 자체가 단일 물질 또는 물질들의 조합물로 구성될 수 있다. 1개, 2개, 3개 또는 그 이상의 분리된 박막이 기판 위에 놓일 수 있다. 몇몇 실시양태에서, 기판 또는 박막은 도파관 또는 조명원으로서 사용될 수 있어, 장치 내에 배치된 표적의 관찰을 향상시킬 수 있다.
제1 박막의 적어도 일부는 적합하게는 제1 기판과 제2 기판 사이에 배치된 채널의 적어도 일부를 한정하고, 제1 박막은 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 상기 제1 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시킨다.
박막은 적합하게는 제1 기판, 제2 기판, 또는 이들 둘 모두에 접합된다. 기판은 적합하게는 서로 접합되고, 접합은 박막 또는 박막들을 통해 이루어질 수 있다. 몇몇 실시양태에서, 박막은 기판에 접합된다. 몇몇 실시양태에서, 박막은 서로 접합될 수 있다.
제1 박막은 적합하게는 규소 니트라이드를 포함한다. 제1 박막은 또한, 예를 들어 규소 옥시니트라이드, SiOxNy, 수소화된 규소 디옥사이드, 수소화된 규소 니트라이드, 수소화된 규소 옥시니트라이드, 고(high) K 유전체, 티타늄 포함 화합물: TiSiO, TiO, TiN, 티타늄 옥사이드, 수소화된 티타늄 옥사이드, 티타늄 니트라이드, 수소화된 티타늄 니트라이드, TaO, TaSiO, TaOxNy, Ta2O5, TaCN, 탄탈륨 옥사이드, 수소화된 탄탈륨 옥사이드, 탄탈륨 니트라이드, 수소화된 탄탈륨 니트라이드를 포함할 수 있다.
하프늄을 포함하는 화합물 또한 적합하고, HfO2, HfSiO2, HfZrOx, HfN, HfON, HfSiN, HfSiON, 하프늄 옥사이드, 수소화된 하프늄 옥사이드, 하프늄 니트라이드, 수소화된 하프늄 니트라이드, ZrO2, ZrSiO2, ZrN, ZrSiN, ZrON, ZrSiON, 지르코늄 옥사이드, 수소화된 지르코늄 옥사이드, 지르코늄 니트라이드, 수소화된 지르코늄 니트라이드, Al2O3, AlN, TiAlN, TaAlN, WAlN, 알루미늄 옥사이드, 수소화된 알루미늄 옥사이드, 알루미늄 니트라이드, 수소화된 알루미늄 니트라이드를 포함한다.
적합한 물질은 또한 WN, 저(low) K 유전체, 불소 도핑된 규소 디옥사이드, 탄소 도핑된 규소 디옥사이드, 다공성 규소 디옥사이드, 다공성 탄소 도핑된 규소 디옥사이드, 스핀-온 유기 중합체 유전체, 흑연, 그래핀, 탄소 나노튜브, 플라스틱, 중합체, 유기 분자, 자가조립 단층, 자가조립 다층, 지질이중층, 수소화된 형태의 앞서 언급한 화합물, 상기의 화학량론적 변이물, 및 이들의 조합물을 포함한다.
제1 기판, 제2 기판, 또는 이들 둘 모두는 유리, 규소, 또는 이들 둘의 조합물을 포함할 수 있다. 몇몇 실시양태에서, 기판들 중 하나 또는 둘 모두는 석영, 용융 실리카, 사파이어, 규소 카바이드, 소다 석회, 게르마늄, 규소 게르마늄, 갈륨, 인듐, 카드뮴, 아연, 알루미늄, 스테인리스강, 캡톤(TM) 중합체 물질, 중합체, 반도체 물질, 금속, 세라믹 등을 포함한다. 기판은 또한 이들 물질의 조합물을 포함할 수 있다.
기판들 중 적어도 하나는 적합하게는 전자기 방사선의 하나 이상의 진동수에 대해 투명하다. 몇몇 실시양태에서, 기판들 중 하나 또는 둘 모두는 가시광선에 대해 본질적으로 투명하다. 이러한 투명성은 장치 내에 배치될 수 있는 표적 (예를 들면, 형광 표지된 마크로분자)의 관찰을 용이하게 한다.
적합한 유리는 쇼트 보로플로트(Schott Borofloat; TM) 33 유리, 파이렉스(Pyrex) 7740(TM) 유리, 호야(Hoya) SD2(TM) 유리, 이들의 조합물 등을 포함한다.
기판은 적합하게는 약 0.01 mm 내지 약 5 mm, 또는 약 0.1 mm 내지 약 1 mm 범위, 또는 약 0.5 mm의 두께를 갖는다.
제1 박막은 약 1 nm 내지 약 5000 nm, 또는 약 10 nm 내지 약 1000 nm, 또는 약 50 nm 내지 약 500 nm, 또는 약 100 nm 내지 약 200 nm 범위의 두께를 가질 수 있다.
청구된 장치의 도관은 적합하게는 약 5 nm 내지 약 5 mm, 또는 약 10 nm 내지 약 1 mm, 또는 50 nm 내지 약 1 마이크로미터, 또는 약 100 nm 내지 약 500 nm 범위의 폭을 갖는다. 채널은 적합하게는 약 5 nm 내지 약 1 mm, 또는 약 100 nm 내지 약 1000 nm 범위의 깊이를 갖는다.
장치는 또한 제2 박막을 포함할 수 있다. 제2 박막은 적합하게는 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 상기 제2 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시키도록 선택된다. 규소 니트라이드가 박막으로서 사용하기에 특별히 적합한 것으로 생각된다.
그외 다른 물질 또한 제2 박막에 사용가능하다. 이들 물질은, 특히 규소 옥시니트라이드, SiOxNy, 수소화된 규소 디옥사이드, 수소화된 규소 니트라이드, 수소화된 규소 옥시니트라이드, 고 K 유전체, 티타늄 포함 화합물: TiSiO, TiO, TiN, 티타늄 옥사이드, 수소화된 티타늄 옥사이드, 티타늄 니트라이드, 수소화된 티타늄 니트라이드, TaO, TaSiO, TaOxNy, Ta2O5, TaCN, 탄탈륨 옥사이드, 수소화된 탄탈륨 옥사이드, 탄탈륨 니트라이드, 수소화된 탄탈륨 니트라이드, 하프늄 함유 화합물: HfO2, HfSiO2, HfZrOx, HfN, HfON, HfSiN, HfSiON, 하프늄 옥사이드, 수소화된 하프늄 옥사이드, 하프늄 니트라이드, 수소화된 하프늄 니트라이드, ZrO2, ZrSiO2, ZrN, ZrSiN, ZrON, ZrSiON, 지르코늄 옥사이드, 수소화된 지르코늄 옥사이드, 지르코늄 니트라이드, 수소화된 지르코늄 니트라이드, Al2O3, AlN, TiAlN, TaAlN, WAlN, 알루미늄 옥사이드, 수소화된 알루미늄 옥사이드, 알루미늄 니트라이드, 수소화된 알루미늄 니트라이드, SiN, WN, 저 K 유전체, 불소 도핑된 규소 디옥사이드, 탄소 도핑된 규소 디옥사이드, 다공성 규소 디옥사이드, 다공성 탄소 도핑된 규소 디옥사이드, 스핀-온 유기 중합체 유전체, 흑연, 그래핀, 탄소 나노튜브, 플라스틱, 중합체, 유기 분자, 자가조립 단층, 자가조립 다층, 지질이중층, 수소화된 형태의 앞서 언급한 화합물, 상기의 화학량론적 변이물, 이들의 조합물 등을 포함한다.
제2 박막은 적합하게는 약 1 nm 내지 약 5000 nm, 또는 약 100 nm 내지 약 1000 nm, 또는 약 300 nm 내지 약 500 nm 범위의 두께를 갖는다. 박막은 제1 기판, 제2 기판, 또는 이들 둘 모두에의 노출에 의한 장치 내에 배치된 형광 분자의 켄칭을 방지하거나 감소시키도록 선택될 수 있다. 박막은 또한 장치로부터 발생하는 바탕 신호를 감소시키도록 선택될 수 있다.
본 발명은 또한 분석 장치를 제공한다. 이들 장치는 적합하게는 기판 내에 폐쇄된 채널을 한정하도록 구조화된 기판을 포함하며, 상기 기판은 약 10 nm 내지 약 2500 nm 범위의 하나 이상의 진동수 구성을 갖는 전자기 방사선에 대해 투명하다.
채널은 적합하게는 도관인 것을 특징으로 하지만, 다른 구조도 본 발명의 범주 내에 포함된다. 채널은 또한 적합하게는 약 5 nm 내지 약 5 mm, 또는 약 50 nm 내지 약 500 nm, 또는 약 75 nm 내지 약 100 nm 범위의 하나 이상의 단면 치수 (예를 들면, 폭, 직경)를 갖는다. 채널은 적합하게는 규소 니트라이드에 형성되지만, 전자기 방사선의 하나 이상의 파장에 대해 본질적으로 투명한 다른 물질이 사용될 수도 있다.
규소 니트라이드가 특별히 적합한 것으로 생각되는데, 그 이유는, 본원의 다른 곳에 기재된 바와 같이, 상기 물질이 가시광선 (및 다른 파장)에 대해 충분히 투명하므로 내부에 배치된 샘플의 관찰을 용이하게 하기 때문이다. 또한, 도 19에 도시된 바와 같이, 규소 니트라이드는 인접하게 배치된 형광단의 켄칭을 초래하지 않고, 이로써 장치 내에 배치된 표지된 표적의 분석을 더욱 용이하게 한다.
또한 분석 장치의 제작 방법을 제공한다. 상기 방법은, 특히 제1 기판, 제2 기판 및 제1 박막층을 제1 기판과 제2 기판 사이에 배치된 채널을 한정하도록 배치시키는 것을 포함한다.
상기 제1 층은 적합하게는, 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 박막이 없는 동일한 장치와 비교하여 층이 장치의 바탕 신호를 감소시키도록 선택된다. 제1 박막층은 적합하게는 제1 기판, 제2 기판, 또는 이들 둘 모두에 접합된다.
몇몇 기판 (예를 들면, 석영 대 석영)은 서로 직접 접합가능하다. 몇몇 실시양태에서, 기판은 박막을 통해 서로에 접합된다; 박막은 1개 이상의 기판에 접합될 수 있고, 또다른 박막에 접합될 수도 있다. 다른 곳에 기재된 바와 같이, 박막 (예를 들면, 산화막)은 두 기판들 사이의 접합을 향상시킬 수 있다 (또는 가능하게 한다).
제2 박막층은 제1 기판, 제2 기판, 제1 박막층, 또는 이들의 조합에 접합될 수 있다. 접합은 양극 접합, 열처리 접합, 화학 접합일 수 있거나, 또는 당업자에게 공지된 다른 방법에 의한 접합일 수 있다.
제1 박막층 (또는 다른 박막층)은 적합하게는 박막층이 장치 내에 배치된 형광단의 켄칭을 감소 (또는 최소화)시키도록 선택된다. 특정한 이론에 구애됨이 없이, 박막은 형광단과 장치의 기판들 중 1개 이상의 사이에서 차폐물로서 사용될 수 있다.
몇몇 실시양태에서, 박막은 형광단과 기판 사이에 물리적 분리를 제공하는 기능을 하고; 박막이 없는 경우, 형광단은 기판 물질에 비교적 근접하게 위치할 것이고, 형광단이 "다크 웰(dark well)"로서 작용하는 채널에 있는 동안에 형광단은 기판 물질에 의해 감소하거나 또는 켄칭될 수 있다. 규소 니트라이드는 켄칭을 감소시키는 적합한 물질이라고 생각된다.
또한 분석 장치의 제작 방법을 제공한다. 상기 방법은 후에 제거될 물질 또는 템플릿을 약 10 nm 내지 약 5000 nm 범위의 파장을 갖는 전자기 방사선에 대해 투명한 물질을 포함하는 작업편 내에 배치시키는 것을 포함한다. 그 후에 사용자는 상기 후에 제거될 템플릿의 적어도 일부를 제거하여 작업편 내에 배치된 채널을 제공하고, 상기 채널의 적어도 일부는 약 5 nm 내지 약 5000 nm 범위의 단면 치수를 갖는다.
한 실시양태에서, 튜브, 코드(cord), 또는 다른 후에 제거될 수 있는 물질이 방사선에 대해 투명한 물질에 매립된다; 이는 리소그래피 공정에 의해, 방사선에 대해 투명한 물질의 연화에 의해, 또는 다른 방법에 의해 달성가능하다. 이어서, 후에 제거될 물질을 가열, 에칭, 증발, 또는 다른 방법에 의해 제거하여, 방사선에 대해 투명한 기판에 채널을 남긴다. 따라서 후에 제거될 물질의 치수 및 배향을 제어함으로써 사용자는 다양한 크기 및 기하형태를 갖는 채널을 달성할 수 있다.
채널은 적합하게는 약 5 nm 내지 약 5000 nm, 또는 약 10 nm 내지 약 1000 nm, 또는 약 50 nm 내지 약 500 nm 범위의 하나 이상의 단면 치수 (예를 들면, 직경, 폭 또는 깊이)를 갖는다. 채널은 일정한 단면 또는 변화하는 단면을 가질 수 있다. 주어진 장치는 2개 이상의 채널을 포함할 수 있고, 이들 채널은 서로 유체 소통할 수 있다.
또한, 형광 표지된 분자의 분석 방법을 제공한다. 상기 방법은 형광 표지된 분자의 적어도 일부를 분석 장치 내의 채널에 넣는 것을 포함하며, 여기서 상기 장치는 적합하게는 적어도 제1 기판, 제2 기판 및 제1 기판과 제2 기판 사이에 배치되는 채널을 제공하도록 구조화된 제1 박막을 갖는다.
상기 장치는 적합하게는 제1 기판, 제2 기판, 또는 이들 둘 모두에 접합된 제1 박막을 포함한다. 형광 표지된 분자는 적합하게는 샘플이 약 10 nm 내지 약 2500 nm 범위의 여기 파장의 전자기 방사선에 의해 조명될 때 방출 파장의 전자기 방사선을 방출할 수 있는 것이고, 제1 박막은 적합하게는 장치가 여기 파장의 전자기 방사선에 의해 조명될 때, 상기 제1 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시킨다. 그 후에, 사용자는 형광 표지된 분자로부터 방출된 방출 파장의 전자기 방사선을 수집한다.
장치의 바탕 신호는 제1 기판, 제2 기판, 또는 이들 둘 모두에 기인하는 것이다. 박막의 추가는, 몇몇 실시양태에서, 장치의 바탕 신호를 증가시킬 수 있다 (예를 들면, 규소 디옥사이드).
청구된 발명에 따른 장치는, 비제한적 도 13에 도시된 바와 같이, 베이스 기판, 투명 기판, 또는 이들 둘 모두로 에칭된 1개 이상의 채널을 갖는, 2개의 기판을 포함할 수 있다. 상기 도면에 도시된 바와 같이, 바탕을 감소시키기 위해 접합 전에 "하층 박막"이 베이스 기판에 제공되고, (몇몇 실시양태에서) 투명 기판에도 또한 "상층 박막"이 제공될 수 있다.
하층 박막 및 상층 박막은 적합하게는, 이 또한 도 13(a), (b) 및 (c)에 도시된 바와 같이, 투명 기판 및 베이스 기판에 정합된다. 박막 중 1개 이상은 적합하게는 기판 중 1개 이상에 접합된다. 몇몇 실시양태에서, 박막은 서로에 접합될 수 있고, 기판 또한 서로에 접합될 수 있다. 몇몇 실시양태에서, 채널은 대향 기판, 코팅, 또는 이들 둘 모두에 형성되고, 채널은 서로와 합일될 수 있어 서로와 합일된 2개의 채널에 의해 한정된 "결합" 채널을 제공할 수 있다 (예를 들면, 도 13(b), 도 14(b), 도 15(b) 및 도 16(b)).
기판, 또는 박막은 채널, 필러(pillar), 램프(ramp), 범프(bump) 또는 그에 형성된 노치(notch)를 가질 수 있다. 몇몇 실시양태에서, 서로에 접합된 기판은 각각 기판 상에 패턴화되고 에칭된 서로 상이한 피쳐를 가지므로, 기판을 서로에 접합시킴으로써 기판의 피쳐들이 조합된 장치를 생산한다. 하나의 비제한적 예를 들면, 상위 기판에 비교적 넓은 채널 세트가 에칭되고, 하위 기판에 마이크로필러 어레이가 패턴화되어, 기판들이 함께 접합되면, 하위 기판의 필러가 상위 기판의 채널 내에 배치되도록 할 수 있다. 이러한 장치는 도 9에 도시된 장치와 유사할 수 있다.
몇몇 실시양태에서, 1개 이상의 밸브가 장치 내의 유체 유동을 조절하는데 사용된다. 하나의 예를 들면, 밸브는 장치의 주입구 또는 배출구에 배치될 수 있다.
도 14 및 도 15는 2개의 기판 및 단일 박막층을 갖는 장치를 나타낸다. 단일 박막층은 적합하게는, 도 14 (베이스/하위 기판 상의 하층 박막) 및 도 15 (상위 투명 기판 상의 상층 박막)에 도시된 바와 같이, 기판들 중 적어도 하나에 정합된다. 단일 기판 및 단일 박막을 가지며, 채널이 상기 단일 기판 및 상기 단일 박막에 의해 한정되는 것인 실시양태도 있을 수 있다 (도시하지 않음).
도 16 및 도 17은 추가 실시양태를 도해한다. 상기 도면들에 도시된 바와 같이, 채널은 박막에 형성될 수 있다 (도 13, 도 14 및 도 15에 도시된 바와 같이, 기판에 형성된 것과 대조됨). 이러한 추가의 구조에서, 평면 기판이 사용가능하고, 박막이 트렌치, 슬롯 또는 다른 채널을 제공하도록 배치 (예를 들면, 증착, 성장 등)될 수 있다. 별법으로, 박막이 배치된 후에, 박막의 일부를 제거하여 (예를 들면, 에칭, 삭마 또는 다른 기법에 의해), 목적하는 치수 및 배향의 채널을 제공할 수 있다.
다른 실시양태에서 (도 14(b)), 채널은 사용자의 필요에 따라, 기판과 박막층 둘다에 형성될 수 있다. 채널은 상위 기판 또는 하위 기판 상의 박막에 형성될 수 있다.
한정된 채널은 적합하게는, 작동 중에, 관심 표지물을 갖는 매체를 함유한다 (예를 들면, 도 17). 적합하게는, 표지물은 투명 기판 (또한, 몇몇 실시양태에서는 박막)을 통해 전자기 방사선을 통과시킴으로써 채널에서 형광 여기되는 형광단을 포함하고, 여기된 표지는 이어서 다시 투명 기판을 통해 전자기 방사선 신호를 방출하고, 이어서 방출을 검출한다 (도 17(a)).
다른 잠재적인 실시양태는 전자기 방사선 신호가 투명 기판을 통해 한정된 채널로부터 방출되기 전에 다중 에너지 전이 단계 (예컨대, 형광 공명 에너지 전이, "FRET")를 사용하는 구조를 포함한다. 도 17은 단지 예시일 뿐이며, 다른 검출 방법을 청구된 발명과 함께 사용할 수 있고; 도 17(b)는 베이스 기판이 신호의 전자기 방사선의 파장에 대해 투명한 실시양태를 도시한다. 사용자는 또한 자성, 방사성 또는 전기 신호를 검출할 수 있다.
투명층
투명 기판 (예를 들면, 도 13에서 상위 기판)은 적합하게는 베이스 기판에 영구 접합될 수 있는 물질이거나, 또는 해당 진동수에서의 전자기 방사선에 대해 투명하거나, 또는 이들 둘 모두에 해당되는 것이다.
적합한 기판 물질은 유리, 또는 가시광선의 적어도 부분적인 통과를 허용하면서, 또한 약 0℃ 내지 약 Tb (여기서, Tb는 접합 온도임)의 온도 범위에서 베이스 기판과 유사한 열팽창 특성을 갖는 다른 물질이다. 유리는 적합하게는 쇼트 보로플로트 33(TM), 파이렉스 7740(TM), 또는 호야 SD2(TM), 및 베이스 기판 규소일 수 있다.
다른 적합한 기판은 석영, 용융 실리카, 유리, 용융 석영, 사파이어, 규소 카바이드, 및 소다 석회 유리를 포함한다. 기판 두께는 적합하게는 0.01 mm 내지 5 mm, 또는 0.01 내지 0.3 mm이다. 기판은 균일한 두께 또는 변화하는 두께를 가질 수 있다.
장치는 칩, 슬라이드, 또는 다른 삽입가능한 형태일 수 있다. 장치는 판독기/검출기 장치에 삽입가능하거나, 또는 장치는 판독기/검출기 장치에 도입가능하다. 장치는 분석을 수행하기 위한 1개 이상의 챔버 또는 채널을 포함할 수 있고, 이러한 분석은 다수의 샘플에 대해서 동시에 수행할 수 있다.
접합 공정은 적합하게는 투명 기판 및 베이스 기판을 영구 접합시킬 수 있는 임의의 공정, 예컨대 양극 접합이다. 다른 접합 공정은 용융 접합, 열처리 접합, 직접 접합, 플라즈마 활성화 접합, 화학적 활성화 접합, 유전성 중합체 접합 및 접착제 접합 방법을 포함하나, 이들로 제한되지는 않는다.
하층 박막
하층 박막 (예를 들면, 도 13에 도시됨)은 적합하게는 베이스 기판과 상이한 조성을 가지고, 채널 및 주위 영역의 바탕 신호를 감소시키는 기능을 한다. 상기 박막 물질은 베이스 기판으로의 성장, 증착, 증발, 스퍼터링, 스핀-박막, 라미네이션 또는 도금에 의해 적용될 수 있다. 상기 물질은 채널 또는 다른 유체 소자의 에칭 후에, 또는 채널 또는 다른 구조가 에칭되기 전에 (이 경우에, 채널 또는 다른 구조 (예를 들면, 유체 소자)는, 도 16에 도시된 바와 같이 박막으로 에칭됨) 적용될 수 있다.
상기 물질이 규소 디옥사이드라면 열처리에 의해 성장할 수 있거나, 또는 상기 물질이 규소 니트라이드라면 저압 화학 증착 (LPCVD) 또는 원자층 증착 (ALD) 공정에 의해 증착될 수 있다.
다양한 증착/적용 방법, 예를 들면 물리 증착 (PVD), 화학 증착 (CVD), 플라즈마 촉진 화학 증착 (PECVD), 대기압 CVD (APCVD), 초고진공 CVD (UHVCVD), 에어로졸 보조 CVD (SSCVD), 직접 액체 주입 CVD (DLICVD), 마이크로파 플라즈마 보조 CVD (MPCVD), 원자층 증착 (ALD), 원자층 CVD, 에피택시, 분자빔 에피택시 (MBE), 금속유기 기상 에피택시 (MOVPE), 유기금속 기상 에피택시 (OMVPE), 금속유기 화학 증착 (MOVCD), 유기금속 화학 증착 (OMCVD), 기상 에피택시 (VPE), 도금, 증발, 열증발, 전자빔 증발, 펄스 레이저 증착, 음극 아크 증착, 스퍼터링, 화학 용액 증착, 스핀 박막, 랭뮤어 블로드젯 막(langmuir blodgett film), 스프레이 박막 등이 하층 박막을 위해 사용가능하다.
하층 박막 물질 두께는 약 1 nm 내지 약 5000 nm, 또는 약 500 nm 내지 약 1000 nm로 다양할 수 있다. 두께는 균일할 필요가 없으며, 적합하게는 약 20 내지 약 500 nm이다. 첨부된 도면에 도시된 바와 같이, 박막은 박막이 접촉하는 기판의 표면 프로파일에 정합될 수 있다.
박막 물질은 적합하게는 적어도 부분적으로 전기 절연성을 갖는 물질이다. 선택되는 물질은 규소 니트라이드 (SiNx 또는 Si3N4)일 수 있다. 다른 가능성은 유전체, 세라믹, 규소 디옥사이드 (SiO2), 규소 옥사이드, 유리, 석영, 용융 실리카, SiOx, 규소 옥시니트라이드, SiNxOy, 수소화된 규소 디옥사이드, 수소화된 규소 니트라이드, 수소화된 규소 옥시니트라이드를 포함하나, 이들로 제한되지는 않는다.
고 K 유전체 및 티타늄 함유 화합물 (TiSiO, TiO, TiN, 티타늄 옥사이드, 수소화된 티타늄 옥사이드, 티타늄 니트라이드, 수소화된 티타늄 니트라이드) 또한 적합하다. 마찬가지로, 탄탈륨 함유 화합물: TaO, TaSiO, TaOxNy, Ta2O5, TaCN, 탄탈륨 옥사이드, 수소화된 탄탈륨 옥사이드, 탄탈륨 니트라이드, 수소화된 탄탈륨 니트라이드가 적합하다.
하프늄 화합물, 예컨대 HfO2, HfSiO2, HfZrOx, HfN, HfON, HfSiN, HfSiON, 하프늄 옥사이드, 수소화된 하프늄 옥사이드, 하프늄 니트라이드, 수소화된 하프늄 니트라이드, 지르코늄 화합물 (ZrO2, ZrSiO2, ZrN, ZrSiN, ZrON, ZrSiON, 지르코늄 옥사이드, 수소화된 지르코늄 옥사이드, 지르코늄 니트라이드, 수소화된 지르코늄 니트라이드) 또한 적합하다. 알루미늄 화합물, 예를 들면 Al2O3, AlN, TiAlN, TaAlN, WAlN, 알루미늄 옥사이드, 수소화된 알루미늄 옥사이드, 알루미늄 니트라이드, 및 수소화된 알루미늄 니트라이드가 유용하다.
SiN, WN, 저 K 유전체, 불소 도핑된 규소 디옥사이드, 탄소 도핑된 규소 디옥사이드, 다공성 규소 디옥사이드, 및 다공성 탄소 도핑된 규소 디옥사이드 또한 적합하다. 몇몇 실시양태는 스핀-온 유기 중합체 유전체, 흑연, 그래핀, 탄소 나노튜브, 플라스틱, 중합체, 유기 분자, 자가조립 단층, 자가조립 다층, 지질이중층, 또는 수소화된 형태의 임의의 앞서 언급한 화합물, 상기 화합물의 화학량론적 변이물 (예를 들면, SiO2 대신 SiOx; Ta2O5 대신 TaxOy), 이들의 조합물 등을 포함할 수 있다.
하층 박막 물질, 적용, 형태학 및 위상기하학은, 이들이 장치의 유효 바탕 신호를 채널 내에 배치된 관심 물질로부터 발생하는 신호에 비해 감소시키고, 적합하게는 또한 분석 샘플을 관찰하는데 사용되는 형광 (또는 다른) 표지의 켄칭을 감소시키거나 또는 최소화하도록 적합하게 선택된다. 이러한 지침을 염두에 두면, 당업자라면 관심 물질을 평가하고 (즉, 여기시키고), 또한 몇몇 실시양태에서 신호 대 바탕 수준을 최적화하는데 사용되는 하나 이상의 파장에서 채널로부터 발생하는 신호를 고려하여 최적의 박막을 선택하는데 있어서 어려움이 거의 없을 것이다.
상층 박막
상층 박막 물질의 조성, 적용 방법, 위상기하학, 형태학 및 두께 범위는 적합하게는, 상층 박막이 하위 기판 대신에 상위 투명 기판에 적용되고, 반드시 특정 칩 실시양태에 존재하지 않을 수도 있다는 것을 제외하고는, 하층 박막과 동일하다.
상층 또는 상위 박막 물질, 적용, 형태학, 및 위상기하학은 장치의 유효 바탕 신호를 채널 내에 배치된 관심 물질로부터 발생하는 신호에 비해 감소시키고, 적합하게는 또한 분석 샘플을 관찰하는데 사용되는 형광 (또는 다른) 표지의 켄칭을 감소시키거나 또는 최소화하도록 적합하게 선택된다. 이러한 지침을 염두에 두면, 당업자라면 관심 물질을 평가하고 (즉, 여기시키고), 또한 몇몇 실시양태에서 신호 대 바탕 수준을 최적화하는데 사용되는 하나 이상의 파장에서 채널로부터 발생하는 신호를 고려하여 최적의 박막을 선택하는데 있어서 어려움이 거의 없을 것이다.
한정된 채널
한정된 채널의 폭은 채널 내에서 약 5 nm 내지 약 5 mm로 다양할 수 있다. 한정된 채널 깊이는 적합하게는 채널 내에서 약 5 nm 내지 약 1 mm로 다양하다. 한정된 채널 폭은 채널 내에서 약 5 nm 내지 약 50 마이크로미터로 다양할 수 있고, 한정된 채널 깊이는 채널 내에서 약 5 nm 내지 약 50 마이크로미터로 다양할 수 있다. 몇몇 실시양태에서, 채널은 균일한 깊이 및 단면의 채널을 한정하지만, 채널은 사용자의 필요에 따라 좌우되어 변화하는 깊이 또는 단면을 가질 수 있다. 한 예를 들면, 채널은 비교적 넓은 주입구에서부터 보다 좁아지는 통로 또는 채널로 좁아질 수 있거나, 또는 좁은 주입구에서부터 넓어질 수 있다. 채널은, 첨부된 도면에 도시된 바와 같이, 채널의 바닥으로부터 천장으로 연장하거나, 또는 채널 높이의 적어도 일부를 따라 연장하는 다양한 장애물 또는 그외 다른 구조물을 포함할 수 있고, 예를 들면 단면이 채널 또는 직사각형인 장애물의 상단을 나타내는 (하향 투시) 도 20 및 도 21에 도시되어 있다. 장애물은 필러, 만곡부 등일 수 있다.
한정된 채널은 적합하게는 유체, 예를 들면 액체일 수 있는 매체 중의 관심 물질을 함유한다. 적합한 매체는 기체, 액체, 고체, 플라즈마, 진공, 증기, 콜로이드, 이들의 조합물 등을 포함한다. 매체는 완충액, 보존제 등일 수 있다.
채널은 1개 또는 여러 개일 수 있고, 2개 이상의 채널은 서로 연결될 수 있고, 또한 몇몇 실시양태에서, 공통의 저장용기와 연결될 수 있다. 채널은 다수의 분석물을 동시에 분석할 수 있도록 배열 또는 복합화될 수 있다. 이러한 채널의 제조 방법은 나노임프린트 리소그래피, 포토리소그래피, 전자빔 리소그래피, 간섭 리소그래피, 섀도우 마스킹, 홀로그램 리소그래피, 이온빔 리소그래피, 및 당업자에게 공지된 다른 방법을 포함한다.
채널은 적합하게는 정사각형 또는 직사각형 단면 (예를 들어, 도 13에 도시된 바와 같음)의 채널이지만, 사용자의 필요에 따라 또는 공정 제약에 따라 좌우되어, 원형, 타원형 또는 불규칙적인 단면의 채널일 수 있다. 채널의 단면은 하나 이상의 치수에서 다양할 수 있다.
나노입자, 형광단 등이 또한 채널 내에 배치될 수 있다. 나노채널 내에 배치된 (또는 나노채널을 통해 전위된) 마크로분자와 상호작용할 수 있는 성분이 채널 내에 배치되어, 마크로분자의 일부와 채널 내에 배치된 아이템의 상호작용을 기반으로 하는 신호를 발생시킬 수 있는 장치를 제공할 수 있다.
채널은 또한 1개 이상의 주입구 또는 배출구를 포함할 수 있다. 이러한 피쳐는 측면으로부터, 위에서부터, 아래로부터, 또는 본질적으로 어느 배향으로도 채널에의 접근을 가능하게 할 수 있다. 2차원 또는 3차원 배치된 채널 및 다른 유체 소자를 갖는 장치는 청구된 발명의 범주에 포함되고, 채널은 적합하게는 1개 이상의 주입구, 배출구, 또는 이들 둘 모두와 유체 소통한다.
베이스 기판
베이스 기판은 반전도성, 절연성, 또는 전도성을 가지며, 적합하게는 하층 박막, 상층 박막, 또는 이들 둘 모두를 통해 투명 기판에 접합될 수 있는 임의의 기판 물질로 구성된다.
베이스 기판은 해당 전자기 진동수에 대해 투명할 필요는 없다. 규소가 특히 적합하지만, 다른 선택되는 물질은 SiGe, Ge, 스트레인드 실리콘, GeSbTe, AlGaAs, AlGaInP, AlGaN, AlGaP, GaAsP, GaAs, GaN, GaP, InAlAs, InAlP, InSb, GaInAlAs, GaInAlN, GaInAsN, GaInAsP, GaInAs, GaInN, GaInP, GaSb, InN, InP, CdSe, CdTe, 아연 셀레나이드 (ZnSe), HgCdTe, ZnO, ZnTe, 아연 술파이드 (ZnS), 알루미늄, 알루미늄 옥사이드, 스테인리스강, 캡톤(TM), 금속, 세라믹, 플라스틱, 중합체, 사파이어, 규소 카바이드, SOI (실리콘 온 인슐레이터), 아스트로시탈, 바륨 보레이트, 바륨 플루오라이드, 실레나이트 결정 BGO/BSO/BTO, 비스무트 게르마네이트, 방해석, 칼슘 플루오라이드, 세슘 요오다이드, Fe:LiNbO3, 용융 석영, 석영, 용융 실리카, 유리, SiO2, 갈륨, 가돌리늄 가넷, 칼륨 디히드로겐 포스페이트 (KDP), KRS-5, 칼륨 티타닐 포스페이트, 납 몰리브데이트, 리튬 플루오라이드, 리튬 요오데이트, 리튬 니오베이트, 리튬 탄탈레이트, 마그네슘 플루오라이드, 칼륨 브로마이드, 티타늄 디옥사이드, 나트륨 클로라이드, 텔루륨 디옥사이드, 아연 셀레나이드, 스핀-온 글래스, UV 경화성 물질, 소다 석회 유리, 수소화된 형태의 임의의 상기 화합물, 상기 화합물의 화학량론적 변이물 등, 및 이들의 임의의 조합물을 포함한다.
기판의 두께는 적합하게는 약 0.01 mm 내지 약 5 mm이다. 두께는 또한 약 0.1 mm 내지 약 1 mm일 수 있다.
다양한 표지가 관심 물질을 분석하는데 사용가능하지만, 발광 표지가 당업계에 널리 공지되어 있고 청구된 발명과 함께 사용하기에 특히 적합한 것으로 생각된다. 관심 물질을 분석하는데 사용되는 발광 표지는 통상적으로 형광, 루미네센스, 화학-루미네센스, 인광 등에 의해 여기되고; 형광이 통상 사용되는 방법이다. 적합한 표지는 유기 형광단, 양자점, 금속점, 중합체 비드, 란타나이드 킬레이트, 나노입자, 형광 비드, 인광 비드, 반도체 나노입자, 덴드리머, 분자 안테나 등, 및 이들의 임의의 조합을 포함한다. TOTO-3은 형광단의 예이고; 다른 형광단도 사용가능하다.
분석 표적은 적합하게는 분자, 마크로분자, 단일 가닥 DNA, 이중 가닥 DNA, 단일 가닥 핵산 중합체, 이중 가닥 핵산 중합체, RNA, 중합체, 단량체, 효소, 단백질, 펩티드, 콘쥬게이트 마크로분자, 자가조립 마크로분자, 세포 구성성분의 일부, 세포소기관, 바이러스 등, 및 이들의 임의의 조합을 포함한다. 본 발명은 DNA 분석에 사용하기에 특히 적합한 것으로 생각된다.
본 발명은 또한 분석 장치의 바탕 신호를 감소시키는 방법을 제공하며, 상기 방법은 하층 박막을 베이스 기판, 투명 기판, 또는 이들 둘 모두에 배치하는 것을 포함하고, 베이스 기판은 채널의 하나 이상의 경계를 추가로 한정하는 것이고; 하층 박막은 전자기 방사선의 특정 파장에서 방출되는 채널의 신호를 감소시킬 수 있다.
여기 광의 파장은 약 1000 nm 내지 약 300 nm 범위이다. 형광 표지의 사용에 따라, 여기 파장은 표지의 최적의 여기를 위해 선택될 수 있다. 예를 들어, TOTO-3 표지는 적합하게는 적색광 (예를 들면, 635 nm) 범위에 의해 여기되고, 이러한 여기된 표지로부터 검출가능한 신호는 대역 통과 필터 (665-705 nm)를 통해 보내져서 반사된 여기 광을 제거할 수 있다.
접합
접합 공정은 투명 기판 및 베이스 기판을 접합시키는 임의의 적합한 공정일 수 있다. 몇몇 실시양태에서, 접합 공정은 양극 접합이다. 다른 접합 공정은 용융 접합, 열처리 접합, 직접 접촉 접합, 플라즈마 활성화 접합, 직접 산화막 접합, 중합체 접합, 금속-금속 접합, 열압착 접합, 공융 접합, 화학적 활성화 접합, 초음파 접합, 유전성 중합체 접합, 접착제 접합, 반 데르 발스(van der Waals) 접합, 및 이들의 임의의 조합을 포함하나, 이들로 제한되지는 않는다.
발명의 내용 및 하기 상세한 설명은 첨부된 도면과 함께 정독시 더욱 잘 이해될 것이다. 본 발명을 설명하기 위한 목적으로, 본 발명의 예시적 실시양태가 도면에 도시되었지만; 본 발명은 기재된 특정한 방법, 조성물 및 장치로 제한되지 않는다. 또한, 도면이 반드시 일정한 비율로 도시된 것은 아니다. 도면에서:
도 1은 청구된 발명에 따른 장치의 개략도를 나타내고;
도 2는 청구된 발명에 따른 예시적 장치를 나타내고;
도 3은 청구된 발명에 따른 예시적 제작 방법을 나타내고;
도 4는 2개의 기판 (기판 A 및 B; 기판 중 하나는 적합하게는 투명함)으로 에칭된 채널 소자를 갖는, 2개의 기판에 대한 예시적 제작 방법을 나타내고;
도 5는 2개 및 4개의 포트를 갖는 예시적 나노장치를 나타내고;
도 6은 멀티포트 장치 디자인의 예시적 실시양태를 나타내고;
도 7은 청구된 발명에 따른 다단식 분지 채널 어레이를 나타내고;
도 8은 다단식 분지화되고, 서로 연결된 채널 어레이를 도해하고;
도 9는 분지 채널과 기둥 어레이가 조합된 장치 디자인을 도해하고;
도 10은 S자형 구조의 연속적으로 연결된, 평행한 나노채널의 일련의 세트에 배열된 단일의 연장된 나노채널을 갖는 디자인을 나타내고;
도 11은 연속적으로 연결된, 평행한 나노채널의 일련의 세트에 배열된 여러 개의 연장된 나노채널을 나타내고;
도 12는 청구된 발명에 따른 채널 장치의 다양한 비제한적 실시양태를 도해하고;
도 13은 (a) 하위 기판에 형성된 채널, (b) 하위 기판과 상위 기판 둘다에 형성된 채널, 및 (c) 상위 기판에만 형성된 채널을 갖는, 청구된 발명에 따른 장치의 단면도를 나타내고 (이들 세 실시양태는 각각 상위 및 하위 박막을 나타냄);
도 14는 (a) 하위 기판에 형성된 채널, (b) 상위 기판 및 하위 기판에 형성된 채널, 및 (c) 상위 기판에만 형성된 채널을 갖는, 청구된 발명에 따른 장치의 단면도를 나타내고 (이들 실시양태는 각각 주로 하위 기판에 정합된 단일 박막만을 나타냄);
도 15는 (a) 하위 기판에 형성된 채널, (b) 상위 기판 및 하위 기판에 형성된 채널, 및 (c) 상위 기판에만 형성된 채널을 갖는, 청구된 발명에 따른 장치의 단면도를 나타내고 (이들 실시양태는 각각 주로 상위 기판에 정합된 단일 박막만을 나타냄);
도 16은 (a) 두 박막 중 하위 박막에 형성된 채널, (b) 상위 박막 및 하위 박막에 형성된 채널, 및 (c) 상위 박막에만 형성된 채널을 갖는, 청구된 발명에 따른 장치의 단면도를 나타내고;
도 17은 (a) 청구된 발명에 따라 제조된 장치에 배치된 형광 표지된 샘플의 여기 및 여기 방사선이 통과한 것과 동일한 기판 및 박막층을 통해 전달된 여기 샘플로부터 방출된 방사선의 수집, 및 (b) 청구된 발명에 따라 제조된 장치에 배치된 형광 표지된 샘플의 여기 및 여기 방사선이 통과한 것과 상이한 기판 및 박막층을 통해 전달된 여기 샘플로부터 방출된 방사선의 수집에서 보여지는, 청구된 발명에 따른 장치의 작동을 나타내고;
도 18은 채널의 바닥에 배치된 SiOx 박막을 갖는 한정된 채널의 약 0 nm 내지 약 217 nm의 방사선 파장에서의 바탕 측정을 도해하고;
도 19는 채널의 바닥에 배치된 SiNx 박막을 갖는 한정된 채널의 약 0 nm 내지 약 217 nm의 방사선 파장에서의 바탕 측정을 도해하고;
도 20은 어레이의 바닥에 배치된 SiOx 박막을 갖는 나노채널 어레이 및 상기 어레이 내에 있는 TOTO-3 표지된 DNA의 약 653 nm의 여기 방사선 파장에서 찍은 영상을 보여주고;
도 21은 어레이의 바닥에 배치된 SiNx 박막을 갖는 나노채널 어레이 및 상기 어레이 내에 있는 TOTO-3 (형광단) 표지된 DNA의 약 653 nm의 여기 방사선 파장에서 찍은 영상을 보여준다.
실시예 비제한적 실시양태
실시예 1
도 18은 채널과 접합 영역을 둘다 나타내는, 한정된 채널의 연부를 찍은 일련의 형광 영상을 보여준다. 여기 파장은 적색광 (635 nm)이고, 검출된 신호는 대역 통과 필터 (665-705 nm)를 통해 통과하여 임의의 반사된 여기 광을 제거한다. 규소 옥사이드 두께가 증가함에 따라, 투명 기판 및 베이스 기판이 박막을 통해 접합된 영역에서의 바탕은 635 nm 초과의 파장 영역에서 증가한 수준의 바탕을 초래하고, 반면 채널 영역은 낮은 바탕을 유지한다. 녹색광 (532 nm) 및 청색광 (473 nm)으로 측정된 바탕 수준은 규소 옥사이드 두께에 따라서 변화하지 않음을 주목해야 한다. 본 실시예에서, 규소 옥사이드는 PECVD를 사용하여 증착되고 채널은 공기로 충전되었다. 영상은 EMCCD 카메라로 찍은 것이다.
따라서 도 18은 특정 표지로부터의 방출을 도출하는데 사용될 수 있는 방사선에 노출시에 바탕 신호도 발생시키는 박막층을 사용함으로써 초래되는 과제를 설명한다. 도 18에 도시된 바와 같이, SiOx 박막을 갖는 장치는 일정 범위의 파장에서 비교적 높은 바탕 수준을 발생시키고, 이는 장치로부터의 바탕 신호와 동일한 파장에서 방사선을 방출 (여기 방사선에 노출시)하는 표지된 샘플로부터의 신호를 분석하고자 하는 사용자에게 문제가 된다. 바꾸어 말하면, 상기 도면에 도해된 SiOx 장치는 비교적 낮은 신호/노이즈 비율을 가지며, 이는 장치로부터의 비교적 높은 바탕 신호에 대하여 표지된 샘플을 선별하여 분석하고자 하는 사용자에게 과제를 제시할 것이다.
보다 높은 바탕 수준은 채널내 연부에 근접한 관심 물질로부터의 약한 신호의 검출을 어렵게 하거나 또는 불가능하게 한다. 이는 특히 채널 폭이 매우 좁을 때 (채널이 나노채널인 경우와 마찬가지로, 여기 방사선의 파장 이하에 근접할 때) 문제가 되고, 이 경우에 관심 표지물은 바탕을 압도하기에 충분한 신호 강도를 가져야 한다. 그러나, 앞서 설명한 바와 같이, 바탕을 감소시키기 위해 규소 옥사이드 박막을 제거하는 것은 표지물의 켄칭을 초래할 것이다.
실시예 2
도 19는 규소 옥사이드 박막이 규소 니트라이드 박막으로 대체된 것을 제외하고는, 도 18과 동일한 실험을 나타낸다. 규소 니트라이드는 반도체 산업에서 통상 사용되는 유전성 물질이고, 따라서 대부분의 반도체 제조업체에서 널리 이용가능하기 때문에 선택되었다. 본 실시예에서, 니트라이드 두께와 관련된 바탕 증가는 없다.
도 19는 채널과 접합 영역을 둘다 나타내는, 한정된 채널의 연부를 찍은 일련의 형광 영상을 보여준다. 여기 파장은 적색광 (635 nm)이고, 검출된 신호는 대역 통과 필터 (665-705 nm)를 통해 통과하여 임의의 반사된 여기 광을 제거한다. 규소 니트라이드 두께가 증가함에 따라, 투명 기판 및 베이스 기판이 박막을 통해 접합된 영역에서의 바탕은 두드러진 증가 또는 감소를 나타내지 않는다. 녹색광 (532 nm) 및 청색광 (473 nm)으로 측정된 바탕 수준은 규소 니트라이드 두께에 따라서 변화하지 않았다. 본 실시예에서, 규소 니트라이드는 PECVD를 사용하여 증착되고 한정된 채널은 공기로 충전되었다. 영상은 EMCCD 카메라로 찍은 것이다.
실시예 3
도 8에 도해된, 본 실시예에서는, DNA 삽입(intercalating) 염료 (TOTO-3)로 표지된 이중 가닥 인간 유전자 DNA를 58 nm SiOx 박막을 갖는 다양한 폭의 한정된 채널을 통해 유체 유동시켰다. 채널의 폭이 감소함에 따라, 베이스 기판이 SiOx 박막을 통해 투명 기판에 접합된 영역으로부터의 높은 바탕 수준으로 인해 DNA는 잘 보이지 않았다.
도 20은 다양한 폭의 한정된 채널에서의 DNA의 형광 영상을 도시한다 (a). 채널과 접합 영역 사이의 경계는 접합 영역에서 발생된 높은 바탕으로 인해 명확하게 보이고, (b)는 100 nm 폭의 채널에서의 DNA의 형광 영상이다. 상기 폭에서, DNA는 접합 영역 (즉, 한 기판이 다른 기판에 접합된 영역)으로부터 발생하는 바탕으로 인해 거의 보이지 않는다. 바탕은 나노채널의 매우 좁은 폭 때문에 균일하게 높은 것으로 생각된다. 도면의 섹션 (c)는 영상 (a) 및 (b)를 얻은 유체 칩의 도식을 나타낸다. SiOx는 PECVD를 사용하여 에칭된 규소 기판 상에 58 nm의 두께로 증착되고, 쇼트 보로플로트 33(TM)으로 구성된 투명 유리 기판이 SiOx 피복된 규소에 양극 접합되었다. TOTO-3 표지된 DNA는 적색광 (635 nm)으로 여기되고, 검출된 신호는 대역 통과 필터 (665-705 nm)를 통해 통과하여 임의의 반사된 여기 광을 제거하였다.
도면 (예를 들면, 도 20(b))에 도시된 바와 같이, SiOx 박막은 표지된 샘플에 비해 (관련 파장에서) 비교적 높은 바탕 신호를 갖는 장치를 생산한다. 이러한 비교적 높은 바탕은 채널내 연부에 근접한 관심 물질 (예를 들면, 표지된 DNA)로부터의 약한 신호 검출을 어렵게 한다. 이러한 현상은, 채널이 나노규모 폭을 갖는 채널인 경우와 마찬가지로, 폭이 여기 방사선의 파장 이하에 근접할 때와 같이 채널 폭이 매우 좁을 때, 특히 심각하다. 이러한 경우에, 관심 표지물은 바탕을 압도하기에 충분한 신호 강도를 가져야 하지만, 관심 물질 상에 가능한 표지의 개수 및 휘도 뿐만 아니라, 표지물을 여기시키는데 사용가능한 방사선의 세기에도 제한이 있을 수 있다. 또한, 본원의 다른 곳에서 설명한 바와 같이, 바탕을 감소시키기 위해 규소 옥사이드 박막을 제거하는 것은 표지물의 켄칭을 초래할 수 있고, 이는 분석을 더욱 어렵게 한다.
실시예 4
도 21에 도시된, 본 실시예에서는, DNA 삽입 염료 (TOTO-3)로 표지된 DNA를 58 nm SiNx 박막을 갖는 다양한 폭의 한정된 채널을 통해 유체 유동시켰다. 채널의 폭이 감소함에 따라, 도 20에서의 SiOx 박막과 달리, 베이스 기판이 SiNx 박막을 통해 투명 기판에 접합된 영역에서 바탕 수준이 증가하지 않았기 때문에, DNA는 잘 보였다.
도 21은 섹션 (a)에서 다양한 폭의 한정된 채널에서의 DNA의 형광 영상을 도시한다. 도 20(a)와 달리, 채널 경계는 낮은 바탕으로 인해 보이지 않는다. 도 21(b)는 100 nm 폭의 채널에서의 DNA의 형광 영상을 도해한다. 표지된 DNA의 SBR은 도 20(b)에 도시된 것보다 상당히 높다. 도 21(c)는 영상 (a) 및 (b)를 얻은 폐쇄 채널 칩의 도식이다.
이러한 비제한적 실시양태에서, SiNx는 PECVD를 사용하여 에칭된 규소 기판 상에 58 nm의 두께로 증착되었다. 쇼트 보로플로트 33(TM)으로 구성된 투명 유리 기판이 SiOx 피복된 규소 기판에 양극 접합되었다. TOTO-3 표지된 DNA는 적색광 (635 nm)으로 여기되고, 검출된 신호는 대역 통과 필터 (665-705 nm)를 통해 통과하여 임의의 반사된 여기 광을 제거하였다.
SiNx 박막 (도 21)을 SiOx 박막 (도 20)과 비교하는 것은 또한 청구된 발명의 또다른 측면을 강조한다. 도 20 및 도 21에 도시된 바와 같이, SiNx 박막 (SiOx 박막과 비교하여)은 연구 중인 형광 표지된 분자가 여기 방사선에 의해 조명될 때, 분자가 켄칭되어 방출 파장의 방사선을 방출하는 그의 능력을 적어도 부분적으로 상실하기 보다는, 형광의 발생을 가능하게 한다.
따라서, 몇몇 실시양태에서, 박막 중 1개 이상은 분석 장치의 바탕 신호를 감소시키는 능력 때문에 선택된다 (박막으로서 SiOx를 사용하는 샘플 장치의 바탕 신호를 도해하는 도 18을 박막으로서 SiNx를 사용하는 샘플 장치의 바탕 신호를 도시하는 도 19와 비교함). 박막은 또한 형광 표지된 표적이 여기되었을 때 표지 형광의 켄칭 없이 형광의 발생을 가능하게 하는 능력 때문에 선택된다 (기판이 형광 표지된 샘플 상에 영향을 미칠 수 있는 켄칭 효과를 도해하는 도 20(b)를 SiNx 박막을 이용하는 경우 초래되는 켄칭의 부재를 도해하는 도 21(b)와 비교함).
특정한 이론에 구애됨이 없이, 특정 박막 물질은 형광 분자의 여기 방사선에의 노출 동안 형광 분자를 기판 (또는 다른 요인)으로부터 반사될 수 있는 방사선으로부터 차폐시킬 수 있다. 또한 특정한 이론 설명에 구애됨이 없이, 박막 물질은 형광 분자의 여기 방사선에의 노출 동안 기판으로부터 반사될 수 있는 특정한 파장의 방사선을 차폐 또는 흡수함으로써 장치로부터의 바탕 신호의 감소를 달성할 수 있다.
기재된 비제한적 실시양태가 SiNx 박막, 및 Si 및 보로플로트 33(TM) 기판을 갖는 장치 내에 배치된, 적색광 (635 nm)으로 여기된 TOTO-3 표지된 DNA의 분석 동안 청구된 발명의 이점을 강조하지만, 본 발명은 이러한 샘플 실시양태로 제한되지 않는다. 본원의 다른 곳에 기재된 바와 같이, 청구된 발명의 기판 및 박막은 수많은 다양한 물질을 포함할 수 있고, 특정한 분석 방법을 위한 박막, 표지/형광 및 기판의 최적의 조합은 숙련된 사용자라면 용이하게 알 것이다. 몇몇 실시양태에서, 본 발명은 사용자가 적절한 박막을 선택함으로써 장치의 바탕 신호를 감소시키고, 장치가 장치 내에 배치된 형광단에 영향을 미칠 수 있는 켄칭을 감소시킬 수 있도록 한다.
본원의 다른 곳에 설명된 바와 같이, 켄칭, 또는 형광단 또는 다른 표지가 방사선을 반사 또는 방출하는 능력을 제한하는 것은, 켄칭이 표적을 바탕에 대해 분석하는 사용자의 능력을 제한하기 때문에 바람직하지 않을 수 있다. 이러한 켄칭을 피함으로써 (또는 적어도 감소시킴으로써), 본 발명은 이러한 표지의 존재 또는 위치를 바탕에 대해 분석하는 사용자의 능력을 향상시킨다. SiNx는 형광단의 형광 발생 능력을 켄칭시키지 않는 물질이다 (또한 도 7 및 도 21에 도시된 바와 같이, 분석 장치의 바탕을 감소시킴). 바탕을 감소시키고 또한 켄칭을 최소화하는 다른 물질은 당업계의 숙련된 사용자에 의해 용이하게 확인될 것이다.
몇몇 실시양태에서, 장치는 챔버 내에 배치되어 있는 동안 여기 방사선에 노출된 형광단의 켄칭을 최소화하는 비교적 낮은 바탕 물질인 챔버 물질 (예를 들면, SiNx)에 배치된 채널 또는 챔버를 포함한다. 이러한 챔버는, 예를 들어 후에 제거될 물질을 챔버 물질 내에 배치하고 제거된 상기 후에 제거될 물질에 실질적으로 정합된 채널을 남기도록 후에 제거될 물질을 선택적으로 제거함으로써 물질에 형성될 수 있다.
예시적 실시양태
도 1은 청구된 발명에 따른 장치의 개략도를 나타낸다. 상기 도면에서 장치는 서로에 접합된, 2개의 기판 A 및 B를 포함한다. 기판 A는 DA의 두께를 갖고, 기판 B (두 기판 중 상위 기판)는 DB의 두께를 갖는다.
도면에 도시된 바와 같이, 포트 (주입구 또는 배출구일 수 있음)는 기판 A 또는 B를 통해 연장하여 장치 상의 나노규모 구조가 장치의 외부 환경과 유체 소통하도록 한다. 몇몇 실시양태에서, 포트는 장치 전체를 통해 연장하고, 또한 몇몇 실시양태에서 유체의 도입 (또는 제거)을 가능하게 한다.
마이크로규모 채널 또는 도관일 수 있는 연결자는 포트가 장치 상에 위치하는 전단 (FE) 구조와 유체 소통하도록 한다. 포트는 기판의 전체 두께를 통해 또는 기판 두께를 통해 부분적으로 연장할 수 있다.
FE 구조는 장치에서 분석할 수 있는 마크로분자 (예컨대, DNA)를 부분적으로 연장 또는 신장시키는 기능을 할 수 있다. 마크로분자 신장은, 그 전문이 본원에 참조로 인용되는 미국 출원 제10/484,293호에 자세히 설명되어 있다. 적합한 FE 구조는 본원의 다른 곳에 기재되어 있고, 크로우(crow)형 채널, 이글(eagle)형 채널, 필러, 기둥, 및 구조에 반하여 또는 구조를 통하여 유동하는 뒤얽힌 또는 접힌 물질을 신장시키는 기능을 할 수 있는 다른 구조를 포함할 수 있다. 이러한 구조는 적합하게는 기판들 중 하나 또는 둘 모두에 패턴화된다.
또한, 기판 A, 기판 B, 또는 이들의 임의의 조합물 (예를 들면, 어레이의 임의의 부분이 기판 A 상에 제작되고, 다른 부분이 기판 B 상에 제작됨) 상에 제작될 수 있는, 나노채널 어레이 장치가 도 1에 도시되어 있다. 적합한 나노채널 및 나노채널에 배치된 마크로분자의 분석 방법은 모두, 그 전문이 본원에 참조로 인용되는 미국 출원 제10/484,293호에 기재되어 있다.
몇몇 실시양태에서, 분석 방법은 DNA 표적을 1개 이상의 표지에 노출시키고, DNA 표적을 본 출원에 따른 장치를 통해 전위시키고, 표지의 존재 (또는 부재)에 대하여 DNA 표적으로부터 (예를 들면, 광학적으로) 정보를 얻는 것을 포함한다. 형광 염료 및 관련 기구가 이러한 분석에 적합한 것으로 생각된다.
나노채널 어레이는 첨부된 도면에 도시된 바와 같이, 평행하게, S자형으로, 수렴형으로, 방사형으로, 지그재그형으로, 만곡형으로, 또는 다른 그러한 패턴으로 배열될 수 있는, 1개 이상의 나노채널을 포함할 수 있다.
하나의 비제한적 실시양태에서, 나노채널 어레이는 도 10에 도시된 바와 같이, 그 자체로 다시 접히는 단일 나노채널을 포함한다. 나노채널은 일정하거나 또는 변화하는 단면의 나노채널일 수 있고, 동일한 장치 상에 존재하는 다수의 나노채널은 상이한 크기의 나노채널일 수 있다.
도 1에 도시된 장치는 또한, 몇몇 실시양태에서, 나노채널 어레이와 포트, 배출구, 또는 다른 도관 사이에 배치될 수 있는 후단 (BE) 구조를 포함한다. BE 구조는 적합하게는 FE 구조 (본원의 다른 곳에 기재됨)에 적합한 구조를 가질 수 있고, 1개 이상의 채널, 필러, 장애물 등을 포함할 수 있다. 이러한 BE 구조는 적합하게는 표적 (예를 들면, 마크로분자)을 나노채널 분석 영역으로부터 연결자 또는 다른 도관으로 수송하는 것을 보조한다. BE는 표적을 나노규모 (예를 들면, 나노채널) 환경으로부터 보다 큰 (마이크로미터 크기 또는 그 이상) 구조를 함유하는 환경으로 수송하는 것을 보조할 수 있다.
도 1에 따른 장치는 다양한 치수의 장치일 수 있다. 장치는 적합하게는 약 0.1 mm 내지 약 100 mm의 길이 (L), 약 0.1 mm 내지 약 100 mm의 폭 (W)을 갖고, 기판 (A 및 B로 도시됨)은 적합하게는 약 10 nm 내지 약 10 mm 범위의 두께를 갖는다. 주어진 장치는 1 내지 약 1000개의 독립된 나노채널 어레이 장치를 가질 수 있고, 장치는 또한 약 2 내지 500개의 개별 포트를 가질 수 있다. 어레이 및 포트의 최적의 개수는 사용자의 필요에 따라 달라질 것이다.
도 2(a)는 나노장치 칩의 예를 나타내며, 적색 화살표는 도 2 내지 도 5에 도해된 장치의 단면의 관측 방향을 나타낸다. 도 2(b)는 청구된 발명에 따른 제작 방법의 비제한적 예를 나타낸다. 이 실시양태에서, 유체 소자는 최하위 기판 상에 형성되고 이어서 최하위 기판은 상위 기판에 접합 (예를 들면, 양극 접합)되고, 상기 상위 기판은 유리 또는 적합한 투명 물질일 수 있다.
도 3(a)는 기판 상으로 에칭된 채널 소자를 갖는 한 기판 (기판 A 또는 B)을 기판의 표면 상에서 열 산화막 성장 또는 정합 증착 방법, 예컨대 원자층 증착 (ALD)에 의해 코팅하고, 이어서 상기 기판을 용융 또는 양극 접합을 통해 제2 기판에 접합시키는, 제작 방법의 예를 나타낸다. 도 3(b)는 상위 기판에 에칭된 채널 소자를 갖는 기판을 위한 비제한적 제작 방법을 나타내고, 상기 상위 기판은 적합하게는 투명 유리이고, 전체 표면에 또는 접합된 표면에만 열 성장 또는 증착된 막 (예를 들면, 규소 디옥사이드)을 갖는 하위 (예를 들면, 규소) 기판에 양극 접합될 수 있다. 채널은 두 기판 모두에 에칭될 수 있고; 기판이 서로에 접합된 경우, 다수의 채널이 초래되거나, 또는 기판 상의 채널이 서로 합일된다면, 단일 채널이 형성될 수 있다 (도 13).
도 4(a)는 채널 소자가 두 기판 모두에 에칭된 후, 열 산화막 성장 또는 정합 증착 방법, 예컨대 ALD에 의한 하층 기판의 후속 코팅 단계를 포함하는, 2개의 기판 (기판 A 또는 B)의 제작 방법의 예를 나타낸다. 기판은 이어서 용융 또는 양극 접합을 통해 함께 접합되고, 대향하는 기판 표면 상의 채널의 적어도 일부는 중첩된다. 도 4(b)는 코팅층이 두 기판 모두에 증착된 후, 채널 소자가 코팅층 및 하위 기판으로 에칭되고, 그 후에 기판이 용융 또는 양극 접합을 통해 함께 접합되며, 대향하는 접합 표면 상의 채널의 적어도 일부가 중첩되는 또다른 비제한적 제작 방법을 나타낸다.
도 5(a)는 나노장치 칩의 예를 나타내며, 화살표는 도 5 내지 도 11에 도해된 장치 상의 채널 패턴의 조감 방향을 나타낸다.
도 5(b)는 4포트 예시적 실시양태 및 2포트 예시적 실시양태 구조의 비제한적 레이아웃을 나타낸다. 화살표는 샘플 (예를 들면, DNA) 유동 방향을 나타낸다. 샘플이 도시된 방향으로 유동할 필요는 없으며, 유동 방향은 필요에 따라 중단되거나 또는 역전될 수 있다.
이 실시양태는 포트, 연결자 영역, FE 및 BE 영역, 및 나노채널 어레이 사이의 적합한 관계를 나타낸다. 이들 성분을 상기와 같은 방식으로 배열함으로써, 장치는 센티미터 범위 (10-2 m)의 주입 포트에서부터 밀리미터 (10-3 m) 범위의 연결자 및 FE/BE 영역에 이르기까지, 또한 나노미터 (10-9 m) 범위의 나노채널 분석 영역에서의 나노채널에 이르기까지, 광범위한 길이 범위에서 표적 (예를 들면, DNA 또는 또다른 마크로분자)의 조작을 가능하게 한다. 분석 영역이 도 5에서 "나노채널 어레이 영역"으로 표시되지만, 분석 영역은 단일 나노채널, 또는 어레이 유사 형태로 배열되지 않은 나노채널을 포함할 수 있다.
도 6(a)는 멀티포트 장치 디자인의 예시적 실시양태를 나타낸다. 도 6(a)에서의 디자인은 2개씩 8개의 포트를 포함하는, 16개의 포트를 갖는 장치이다. 도 6(b)는 4개씩 4개의 포트를 포함하는, 16개의 포트를 갖는 장치 디자인을 나타낸다. 이러한 실시양태는 사용자가 다수의 상이한 표적을 동시에 분석할 수 있도록 한다.
도 7(a)는 다단식 분지 채널 어레이를 나타낸다. 이 예에서, 5개의 적층형 채널 어레이가 있고, 채널은 점점 작아지는 단면 치수를 가지며, 채널은 도 7(a)의 하단에 위치하는 나노채널 분석 영역 및 마이크로유체 주입 채널을 가교하는 5 수준의 포크(fork)에 의해 연결된다. 포크들 사이의 거리는 적합하게는 약 50 마이크로미터이고, 2개의 보다 작은 채널은 적합하게는 각각의 분지에서 원래 채널의 단면적의 절반이다.
도시된 바와 같이, 각각의 포크에서, 채널은 2개의 보다 작은 채널로 나누어진다. 분지각은 적합하게는 약 30 내지 약 60도이지만, 약 0 내지 약 90도의 범위일 수도 있고, M은 적합하게는 약 0.4 내지 약 0.6 W이다. 명명법에 있어서, 도 7에 도시된 장치와 같이, 첨단형 또는 삼각형 포크 구조에 의한 채널 스플릿(split)을 갖는 실시양태는 "크로우" 장치 또는 "크로우" 채널로서 공지되어 있고, 이들은 본원의 다른 곳에서 더욱 상세히 기재한다.
표적 (예를 들면, 유체 기원의 마크로분자)은 분석 동안 1 내지 15개 또는 그 이상으로 나누어진 채널을 통해 통과할 수 있고, 각각의 분지 채널의 길이 (L)는 약 5 내지 약 80 마이크로미터로 다양할 수 있다. 사용자는 포크의 개수 및 2차 채널 대 기본 채널의 상대적 크기를 변경할 수 있어 청구된 장치의 비교적 큰 주입 포트로부터 나노규모 나노채널 분석 영역으로 이동하는 표적의 제어가능한 이동을 가능하게 한다. 다단식으로 나누어진 채널 구조 (도 7)가 사용가능하다.
도 7(b)는 상이한 크기를 갖는 2개의 채널 어레이를 서로 연결하는 분지 포크의 주사 전자 현미경 (SEM) 영상을 보여준다. 도 7(c)는 포크에서 비교적 뾰족한 스플릿을 갖는 분지 포크 디자인의 밑그림을 도시하지만, 포크에서의 각도는 약 0 내지 약 90도일 수 있다.
도 7(d)는 채널 및 연결 포크에 하이라이트를 주어, 채널 내부에서 이동하는 형광 표지된 분자를 비디오로 찍은 영상이다. 도 7(e)는 큰 채널에서부터 분지된 보다 좁은 채널로 이동하는 단일의 비교적 긴 게놈 DNA 분자의 형광 영상이고, 여기서 분자는 신장된다. 단일 DNA 분자에 의해 윤곽이 나타난, 포크에서의 뾰족한 스플릿이 보인다.
도 7에서, 다중 "크로우" 구조가 사용되어, 도면의 상단에 도시된 연결자 영역에 들어가는 마크로분자 또는 다른 표적은 표적이 도면의 하단에 도시된 나노채널 어레이 영역에 들어가기 전에 5개 (이상)의 포크/스플릿을 통해 통과할 것이다.
논의된 바와 같이, 포크들 사이의 거리는 약 50 마이크로미터일 수 있고 (분리 거리는 50 마이크로미터보다 더 크거나 작을 수 있음), 각각의 포크에서부터 나오는 보다 작은 채널 각각은 각각의 분지에서 원래 채널 크기의 대략 절반이다. 따라서, 2차 (또는 "분지") 채널 내에 함유된 유체에 이용가능한 총 단면적은 기본 (또는 "본체") 채널의 단면적과 거의 동일하다. 분지 채널 장치의 길이를 따라 유체 유동을 위해 이용가능한 본질적으로 일정한 단면적을 유지함으로써, 기재된 장치는 좁아지거나 또는 넓어지는 단면적의 채널로부터 초래될 수 있는 유동장에서의 변화 및 중단을 최소화한다.
도 8(a)는 별법의 다단식 분지화되고, 서로 연결된 채널 어레이의 제2 디자인의 개략도를 나타낸다. 도 8(b)는 상이한 크기의 2개의 채널 어레이를 서로 연결하는 분지 포크 중 하나의 주사 전자 현미경 (SEM) 영상을 보여준다.
도 8(c)는 포크 주변의 보다 라운드형 또는 곡면형인 굴곡부를 갖는 분지 포크 디자인을 도시한다. 도 8(d)는 채널 및 연결 포크에 하이라이트를 주어, 채널 내부에서 이동하는 형광 표지된 분자를 비디오로 찍은 영상을 보여주고, 도 8(e)는 큰 채널에서부터 분지된 보다 좁은 채널로 이동하며 신장되는 단일의 긴 게놈 DNA 분자의 형광 영상을 보여준다. 포크에서의 2개의 상이한 수준의 곡면형 굴곡부는 단일 DNA 분자에 의해 윤곽이 나타날 수 있다.
형광 영상을 위해, DNA 샘플은 5개의 염기쌍/염료 분자의 비율로 DNA 삽입 염료 (YOYO-1)로 염색된 남성 인간 게놈 DNA로 구성된다. DNA를 5 ng/uL의 농도로 0.5X TBE 완충액 중에 현탁시켰다. DNA를 모세관 유동을 사용하거나 또는 0-50 V 범위의 전압이 인가된 전기장을 통해 나노채널로 유동시켰다. 샘플의 여기는 발광 다이오드를 사용하여 수행하였고 형광 방출은 60X 대물렌즈를 통해 수집하고 전자 증폭형 CCD 카메라를 사용하여 검출하였다.
따라서 도 8은 "이글" 구조에 따르는 채널을 나타낸다. 도시된 바와 같이, 기본 채널을 분지 채널로 분할하는 포크는 적합하게는 라운드형 필러와 같은 라운드형 구조를 갖는다. 포크의 직경 또는 유효 단면은 적합하게는 포크의 연부가 포크보다 선행하는 채널로 연장하도록 하는 것이다,
특정 이론에 구애됨이 없이, 이러한 구조에서, 채널에서 전기장의 경로 (예를 들면, 인가된 구배로부터의)를 따르는 마크로분자 (또는 다른 표적)는, 도면에 도시된 바와 같이, 이어지는 채널의 연부보다는 중앙으로 들어갈 가능성이 클 것이다. 따라서, 표적은 분지 네트워크에서 다른 채널에 비해 특정한 채널로 들어갈 가능성이 더 작을 것이고, 그 결과 나노채널 어레이에서 나노채널의 보다 균일한 로딩이 초래된다.
한 예시적 실시양태에서, M은 0.3 내지 0.7 X W이고, X는 0.2 내지 0.5 X W이다. 나노채널 어레이에 도달하기 전에 표적이 지나갈 수 있는 포크의 개수는 2 내지 15개일 수 있고, 각각의 분지 채널의 길이 (L)는 5 내지 80 마이크로미터로 다양할 수 있다.
몇몇 실시양태에서, 다중 "이글" 구조가 사용되고, 표적이 나노채널 어레이 영역에 들어가기 전에 각각의 이글 구조에서의 포크의 개수는 5개이다. 이러한 비제한적 실시양태에서 포크들 사이의 거리는 50 마이크로미터이고 (그러나, 이 거리는 50 마이크로미터보다 더 크거나 작을 수 있음), 2개의 보다 작은 (분지) 채널은 원래 채널의 절반이어서, 유체 유동을 위해 이용가능한 총 단면적은 장치의 길이를 따라 임의의 평면에서 동일하다.
도 9(a)는 분지 채널과 기둥 어레이의 조합을 보여주는 또다른 디자인의 개략도를 도시한다. 한 실시양태에서, 분지 채널 어레이는 서로 연결되고, 채널 내에 기둥 어레이가 있다. 도 9(b)는 채널 내에 매립되어 있는 밀집된 라운드형 기둥 어레이를 나타내는 주사 전자 현미경 (SEM) 영상을 보여준다.
도 9(c)는 분지 채널 및 기둥 어레이를 갖는 디자인의 개략도를 도시한다. 한 실시양태에서, 서로 연결된 다단식 분지 채널에 의해, 점점 감소하는 크기 및 증가하는 밀도의 다이아몬드형 기둥의 어레이가 있다. 도 9(d)는 보다 작은 크기의 하류방향 채널과 연결된 채널 내에 매립되어 있는 밀집된 기둥 어레이를 나타내는 주사 전자 현미경 (SEM) 영상을 보여준다. 도 9(e)는 채널을 갖는 기둥 어레이 내에서 이동하는 비교적 긴 게놈 DNA 분자의 형광 영상을 보여준다.
도 10(a)는 S자형 구조의 연속적으로 연결된, 일련의 평행 나노채널 세트에 배열된 단일의 연장된 나노채널을 갖는 디자인을 나타내고; 이러한 구조의 어레이로부터 한 세트만을 여기에 도시하였다. 도 10(b)는 채널의 방향전환을 보이는, 규소 기판으로 에칭된 S자형 구조화 나노채널의 박스 안에 있는 구역을 나타내는 주사 전자 현미경 (SEM) 영상을 보여준다. 도 10(c)는 나노채널 내에서 이동하고 180도 방향전환하는 게놈 DNA 분자의 형광 영상을 보여준다.
이러한 구조는 특히, 신장된 또는 신장하는 마크로분자를 단일 시계에서 시각화하려는 과제를 해결한다. 마크로분자는 매우 길 수 있기 때문에, 마크로분자를 신장시키기에 충분한 길이의 채널은 고배율 현미경의 시계 폭보다 더 길 수 있다. 그에 따라 사용자는 단일 시계에서 전체 마크로분자를 시각화할 수 없다.
그러나, 도 10에 도시된 S자형 또는 스위치백(switch-back) 패턴의 나노채널을 갖는 장치는 단일 시계 내에 맞는 채널의 길이를 연장시키고, 따라서 사용자가 단일 시계에서 신장된 마크로분자를 볼 수 있도록 한다. 별법으로, 이러한 장치는 단일 시계가 신장된 마크로분자의 상당 부분을 포괄하도록 한다. S자형, 스위치백 채널은 또한 전위 마크로분자의 단일 시계 내에서의 체류 시간을 연장시킨다.
도 11(a)는 연속적으로 연결된, 일련의 평행 나노채널 세트에 배열된 여러 개의 연장된 나노채널을 나타내고, 이전 도면과의 차이점은 각각의 채널 단계가 그의 채널 폭에 있어서 1000 nm에서 100 nm로 점점 감소한다는 것이다. 도 11(b)는 채널의 폭이 하층에서부터 상층으로 점점 감소하여 비교적 넓은 채널 배출구를 보이는, 규소 기판으로 에칭된 이러한 S자형 구조화 나노채널의 한 세트의 박스 안에 있는 구역의 주사 전자 현미경 (SEM) 영상을 보여준다.
도 11(c)는 도 11에 설명된 채널 내에서 이동하는 단일 게놈 DNA 분자의 형광 영상을 기록하는 타임랩스(time-lapse) 비디오 프레임 (각각의 패널은 상이한 시점을 나타냄) 세트를 보여주고, 상기 분자는 점점 작아지는 크기의 나노채널 영역에 들어갈 때 점점 신장하는 길이를 갖는다. 대조군 또는 참조 표준으로서 이용하도록, 정지상 분자의 영상을 나타내고, 정지상 분자의 길이는 수집한 프레임의 모든 패널에 걸쳐서 그린 2개의 점선 사이에 나타난 바와 같이 도시된다. 여러 영상 패널과 관련하여, 최상위 패널은 실제 칩 패턴의 명시야 광학 영상을 나타내고, 제5 패널은 코너를 회전하는 DNA 분자의 형광 영상을 나타낸다.
도 12(a)는 지그재그 패턴으로 배열된 일자형이 아닌 평행 나노채널의 어레이를 포함하는, 또다른 비제한적 디자인을 나타내는 주사 전자 현미경 (SEM) 영상을 보여준다. 도 12(b)는 지그재그형 채널 내부에서 신장된 형광 표지된 DNA 분자의 영상을 나타낸다. 도 12(c)는 임의적인 나노채널 패턴 (문자 "BNM")의 주사 전자 현미경 (SEM) 영상을 보여주고, 패턴에서의 채널은 모두 본질적으로 동일한 채널 폭을 갖는다. 도 12(d)는 서로 교차하는 수직 나노채널의 두 세트를 나타내는 주사 전자 현미경 (SEM) 영상을 보여주고, 중첩 영역은 밀집된 라운드형 기둥 어레이로서 나타난다.
제작
제작 방법은 기판 표면 상에 유체 피쳐를 제작한 후에, 기판 표면을 제2 기판에 접합시켜 포트에 의해 접근가능한 폐쇄된 유체 장치를 형성하는 것을 포함할 수 있다. 별법으로, 제작 방법은 기판 표면 상에 유체 피쳐를 제작하고, 제2 기판 표면 상에 유체 피쳐를 제작한 후에, 상기 두 기판 표면을 함께 접합시켜 포트에 의해 접근가능한 폐쇄된 유체 장치를 형성하는 것을 포함할 수 있다.
기판 물질은 규소, 규소 디옥사이드, 규소 니트라이드, 하프늄 옥사이드, 석영, 유리, 용융 실리카, 금속, 알루미늄 옥사이드, 금속, 세라믹, 중합체, 플라스틱, 유전체, SiGe, GaAs, GaAlAs, ITO 등을 포함할 수 있으나, 이들로 제한되지는 않는다. 한 예시적 실시양태에서, 기판 중 적어도 하나는 UV선, 가시선, 및 적외선 전자기 방사선에 대해 투명해야 한다.
한 예시적 실시양태에서, 기판은 유리, 규소 및/또는 석영 웨이퍼이고, 접합 후에, 접합된 웨이퍼를 다이싱(dicing)함으로써 칩을 얻는다. 한 예시적 실시양태에서, 유체 소자는 반도체, MEMS 및 마이크로유체 산업에 공지된 방법을 사용하여 제작하고, 예를 들면 포토리소그래피, 플라즈마 에칭, 물질 증착, 습식 에칭, 접합, 및 이들의 임의의 조합을 포함하나, 이들로 제한되지는 않는다.
한 예시적 실시양태에서, 나노채널 어레이, 전단/후단, 및 연결자는 기판 (예컨대, 규소) 상으로 (예를 들면, 포토리소그래피를 통해) 패턴화되고, 그 후에 패턴은 에칭에 의해 규소로 전사된다. 다양한 패턴화 및 에칭 옵션이 가능하다.
패턴화는 예를 들어 포토리소그래피, 나노임프린트 리소그래피, 엠보싱(embossing), 간섭 리소그래피, 근거리 홀로그래피, 밀착 프린팅, 극자외선 리소그래피, 전자빔 리소그래피 또는 이들의 임의의 조합에 의해 달성가능하다.
이러한 패턴화 옵션을 위해, 경화 또는 연화 마스크의 사용이 기판으로의 패턴 전사를 용이하게 할 수 있다. 이들 마스크는 반사 방지 코팅, 규소 옥사이드, 규소 니트라이드, 유전체, 금속, 유기막, 이들의 조합 등을 포함하나, 이들로 제한되지는 않는다. 모든 이러한 패턴화 옵션을 위해, 다양한 중간 패턴 전사 방법이 사용가능하며, 예를 들면 리프트-오프(lift-off) 공정, 섀도우 증발, 성장, 증착, 이들의 조합 등을 포함하나, 이들로 제한되지는 않는다.
에칭 옵션은 화학 에칭, 습식 에칭, KOH를 이용한 에칭, TMAH를 이용한 에칭, HF를 이용한 에칭, BOE를 이용한 에칭, 이온 에칭, 반응성 이온 에칭 (RIE), 플라즈마 에칭, 플라즈마 보조 에칭, 유도 결합 플라즈마 (ICP) 에칭, 보쉬(bosch) 에칭, 규소에서의 패턴화 산화막 성장 (예컨대, LOCOS) 및 세정액(wet etch)으로의 제거, 이들의 조합 등을 포함하나, 이들로 제한되지는 않는다.
패턴화 순서
한 예시적 실시양태에서, 나노채널 어레이와 전단/후단 (FE/BE)은 동시에 패턴화 및 에칭되고, 연결자는 그 후에 패턴화된다. 그러나, 반드시 그럴 필요는 없으며, 이들 유체 소자의 패턴화 순서는 다양할 수 있다.
나노채널 어레이는 간섭 리소그래피에 의해 패턴화될 수 있고, 전단/후단은 독립된 단계에서 포토리소그래피에 의해 패턴화될 수 있다. 또다른 실시양태에서, 나노채널 어레이, 전단/후단, 및 연결자는 적합하게는 포토리소그래피 또는 나노임프린트 리소그래피에 의해 단일 단계에서 패턴화된다. 또다른 실시양태에서, 다양한 깊이의 피쳐들을 기판으로 전사할 수 있는 패턴화 기술, 예컨대 나노임프린트 또는 엠보싱을 사용하여 연결자, 전단/후단, 및 나노채널 어레이가 모두 단일 패턴화 단계에서 상이한 깊이를 갖도록 할 수 있다.
포트
포트는 적합하게는 포토리소그래피에 의해 패턴화되고, 그 후에 깊은 규소 에칭 ("보쉬 에칭")과 같은 에칭 공정에 의해 에칭된다. 그러나, 다양한 제작 옵션이 포트를 제작하는데 이용가능하다. 이러한 옵션의 비제한적 목록은 RIE, ICP 에칭, 플라즈마 에칭, 레이저 드릴링(drilling), 레이저 삭마, 샌드 블라스팅(sand blasting), 드릴링, 습식 에칭, 화학 에칭, 워터 드릴링, 초음파 드릴링, 및 이들의 임의의 조합을 포함한다.
포트는 적합하게는 5 내지 5000 마이크로미터의 폭 (직경)을 가지고, 깊이는 포트가 관통하는 기판의 두께이다. 한 예시적 실시양태에서, 포트는 50 내지 2000 마이크로미터 범위의 폭 (직경)을 갖는다.
접합
한 예시적 실시양태에서, 장치의 유체 소자는 패턴화된 규소 기판을 패턴화되지 않은 유리 웨이퍼와 양극 접합시킴으로써 완성된다.
한 예시적 실시양태에서, 양극 접합될 유리 웨이퍼는 파이렉스 7740, 쇼트 보로플로트 33(TM), 호야 SD2(TM), 또는 유사한 열팽창 특성을 갖는 임의의 유리일 수 있다. 용융 접합, 열처리 접합, 화학 접합, 석영-석영 접합, 유리-유리 접합, 중합체 접합, 용매 접합, 접착제 접합, 이들의 조합 등을 포함하는 (이들로 제한되지는 않음) 다른 옵션도 적합하다.
접합 조건, 즉 양극 및 그외 기타는 당업계의 숙련된 사용자에 의해 용이하게 최적화될 것이다. 한 비제한적 예를 들면, 규소 및 보로플로트(TM) 유리를 400 V의 전압, 약 350℃의 온도, 5분의 적용 시간을 사용하여 함께 양극 접합시킬 수 있다. 양극 접합 전압은 예를 들어 약 200 V 내지 약 800 V 범위일 수 있고, 온도는 적합하게는 약 200℃ 내지 약 400℃ 범위이고, 적용 시간은 약 1 내지 약 100분 범위이다.
유체 소자 표면
다양한 물질이 유체 소자의 표면을 구성할 수 있으며, 예를 들면 규소, 규소 디옥사이드, 규소 니트라이드, 하프늄 옥사이드, 석영, 유리, 용융 실리카, 금속, 알루미늄 옥사이드, 금속, 세라믹, 중합체, 플라스틱, 유전체, SiGe, GaAs, GaAlAs, ITO, 유기 분자, 자가조립 단층, 자가조립 다층, 이들의 조합 등을 포함하나, 이들로 제한되지는 않는다. 한 예시적 실시양태에서, 유체 소자는 유전성 표면을 가질 것이고; 몇몇 실시양태에서, 유체 소자는 규소 디옥사이드 및/또는 유리 표면을 가질 것이다.
제작예
한 비제한적 실시양태에서, 유체 소자 (나노채널 어레이, 전단/후단, 연결자, 및 포트)는 접합 후에 규소 디옥사이드 및/또는 유리 표면을 가지므로, 생산된 장치 내에 배치된 유체는 규소 디옥사이드 및/또는 유리에만 접촉한다. 이러한 표면은 나노채널, 전단/후단, 연결자, 및 포트의 패턴화 및 에칭 후에 에칭된 규소 표면 상에 규소 디옥사이드 막을 증착시킴으로써 형성된다.
산화막은 패턴화되고 에칭된 규소 기판 상에 원자층 증착 (ALD)에 의해 증착되고, 약 1 nm 내지 약 5000 nm의 두께를 갖는다. 이어서 이러한 규소 웨이퍼는 유리 기판에 양극 접합된다.
규소 디옥사이드 표면은 여러 유용한 목적으로 사용된다. 첫째, 규소 디옥사이드는 전기장이 유체 소자 중 DNA의 이동을 유도하기 위해 사용되고, 기판 중 하나가 규소인 경우에 유용한 절연막을 제공한다.
규소 디옥사이드는 또한 적용시 필요에 따라 관능화 및/또는 부동태화될 수 있는 표면을 제공한다. 층은 또한, 산화막이 기존의 에칭된 나노채널 상에서 성장하거나 또는 증착되는 경우에, 나노채널 단면을 목적하는 크기에 따라 조절 (맞추어 조정)할 수 있도록 한다.
한 예를 들면, 200 nm의 폭 및 150 nm의 깊이를 갖는 나노채널은 50 nm의 정합 산화막이 나노채널 상에 증착되는 경우에 100 nm의 폭 및 100 nm의 깊이로 축소될 수 있다. 이러한 방식으로, 이미 형성된 유체 소자 (예를 들면, 홈 또는 트렌치)에 코팅을 적용함으로써 사용자는 유동하는 유체에 이용가능한 소자의 단면을 감소시키도록 상기 소자의 경계를 제어가능하게 구성할 수 있다.
규소 디옥사이드는 또한 UV선, 가시선 및 적외선을 포함하는 광범위한 스펙트럼의 전자기 방사선에 대해 투명하다.
규소 디옥사이드 및/또는 유리 표면을 갖는 유체 채널을 형성하는 다양한 제작 옵션이 있다. 이들은 하기를 포함한다 (이들로 제한되지는 않음).
규소 상의 열 산화막 성장
사용할 기판 중 하나가 규소라면, 규소 디옥사이드 표면은 규소원으로서 규소 표면을 사용하여 산화막을 성장시킴으로써 달성가능하다. 예를 들면 건식 열 산화막 성장, 습식 열 산화막 성장을 포함하나, 이들로 제한되지는 않는다. 이는 유체 소자 모두가 또는 그 중 일부가 규소에서 패턴화 및 에칭되어야 하는지 또는 유체 소자 어느 것도 규소에서 패턴화 및 에칭되지 않는지에 상관없이 적용된다. 비제한적 규소 기반 실시양태가 첨부된 도면에 상술된다.
규소, 유리 또는 석영 상에 증착된 산화막
산화막은 기판들 중 하나 또는 둘 모두에 증착될 수 있다. 예를 들면, PECVD, CVD, LPCVD, 열 증발, 스핀-온 글래스, e빔 증발, 스퍼터링, ALD 및 이들의 임의의 조합을 포함하나, 이들로 제한되지는 않는다. 대표예가 예를 들어 도 2 내지 5에 도시된다.
규소 디옥사이드 , 석영 또는 유리로의 직접 에칭
추가로, 규소 디옥사이드 또는 유리 표면은 유체 소자를 규소 디옥사이드 또는 유리로 직접 에칭함으로써 달성가능하다. 이는 규소 디옥사이드/석영/유리 기판으로의 직접 에칭 또는 규소 기판 상의 규소 디옥사이드 막으로의 에칭에 의해 수행가능하다. 예를 들어 도 2 내지 5를 참조한다.
장치 구조
도 5에서, 기본 투입 및 방출 포트는 서로 대향하고 있으므로, 전기장이 인가되면, 나노채널 어레이의 모든 나노채널에서 전기장 강도가 거의 동일할 것이다. 한 예시적 실시양태에서, 장치의 3 유체 소자: 나노채널 어레이, 전단/후단, 및 연결자 모두 포함된다.
또다른 예시적 실시양태에서, 전단 및/또는 후단을 생략할 수 있고, 연결자는 나노채널 어레이에 직접 연결된다. 또다른 예시적 실시양태에서, 연결자를 생략할 수 있고, 전단 및/또는 후단은 포트에 직접 연결된다.
또다른 예시적 실시양태에서, 전단 및 후단과 연결자를 모두 생략할 수 있고, 따라서 나노채널 어레이는 포트에 직접 연결된다. 예시적 실시양태에서, 장치는 대칭적이어서, 전기장이 주입 포트와 배출 포트 사이에 인가될 때 나노채널 어레이의 나노채널에서의 전기장 강도의 균일성을 최대화한다.
또다른 예시적 실시양태에서, 나노채널 어레이의 배출구는, 도 5(b)와 같이, 도치된 전단 구조 (후단 또는 BE라고 함)에 이르고, 이어서 연결자 채널로 통한다. 또다른 예시적 실시양태에서, 나노채널 어레이의 배출구는 배출 포트로 직접 통한다 (후단 및 연결자 생략).
또다른 예시적 실시양태에서, 나노채널 어레이의 배출구는 배출 포트에 이르는 연결자로 직접 통할 수 있다 (후단 생략). 또다른 예시적 실시양태에서, 후단은 배출 포트로 직접 통할 수 있다 (연결자 생략).
2포트 장치
2포트 칩은 샘플을 로딩하는 하나의 투입구, 및 샘플을 후에 제거하는 하나의 방출구를 갖는다. 샘플 이동은 전기삼투력, 동전기력, 전기영동력, 압력, 모세관력, 또는 이들의 임의의 조합과 같은 힘을 사용하여 이들 2개의 포트를 통해 직접 제어된다. 이러한 디자인은 직접적인 모세관력 샘플 로딩을 위한 취급의 단순한 용이성을 비롯한 상당한 이점을 갖는다. 상기 디자인은 또한 포트 개수를 최소화하여, 칩 1개당 허용되는 독립 장치의 개수를 최대화한다.
4포트 장치
4포트 장치는 2개의 투입 (기본/2차) 포트, 및 2개의 방출 (기본/2차) 포트를 갖는다. 2포트 칩과 비교하여 이러한 디자인의 주요 이점은 나노채널 어레이를 통한 샘플 이동을 제어하는데 있어서 보다 자유로운 칩 오퍼레이터를 제공한다는 것이다. 샘플 이동은 전기삼투력, 동전기력, 전기영동력, 압력, 모세관력, 이들의 조합 등과 같은 힘을 사용하여 이들 4개의 포트를 통해 직접 제어할 수 있다. 이 적용에서, 샘플은 제어된 방식으로 기본 주입 포트에서부터 2차 주입 포트로 유동하고, 관심 아이템이 확인되면, 샘플 유동을 조절함으로써 나노유체 FE 영역으로 전위시킬 수 있다.
구배 전단 및 후단
전단 및 후단은 마이크로유체 영역과 나노유체 영역 사이의 계면인 것을 특징으로 한다. 전단 (FE)은 적합하게는 마이크로유체 범위의 연결자 영역으로부터 보다 작은 범위의 나노채널 어레이로의 DNA의 풀림, 신장, 및 전이를 용이하게 한다. 이는 적합하게는 DNA를 밀집하여 패턴화된, 점점 작아지는 (또한 더욱 근접하게 이격된) 구조의 네트워크/어레이를 통해 유동시킴으로써 달성되고, 그에 따라 DNA가 나노채널 또는 나노채널들에 근접하고 이어서 여기로 들어갈 때 DNA 신장이 일어난다. FE 디자인은 적합하게는 다양한 특징을 갖는, "분지 채널 네트워크" 구조의 변이형이다.
첫째, 각각의 분지에 의해, 채널은 2개 이상의 채널로 분할된다. 한 실시양태에서, 분지 채널의 총 폭은 원래 채널과 거의 동일하여, 총 단면적이 거의 동일하게 유지되도록 한다. 이러한 방식으로, 분지 채널 네트워크를 통한 유속은 거의 일정하게 유지되어야 한다.
둘째, 점점 분할됨으로써, 분지 네트워크는 DNA의 나노채널 어레이로의 균일한 로딩을 촉진한다. 즉, 나노채널 어레이 내의 특정한 나노채널, 또는 나노채널 세트로의 편향이 일어나지 않는다.
추가로, 분지 채널 네트워크는 DNA의 매우 긴 세그먼트를 효과적으로 풀고 신장시키는 점점 작아지는 유체 채널을 제공한다.
주어진 분지점에서, 분지 채널들은 동일한 폭, 길이, 또는 깊이를 가질 필요는 없다. 또한 분지 채널들은 서로 평행하거나, 또는 균일하게 분포될 필요도 없다. 또한 분지 채널들은 일자형 또는 선형 구조일 필요도 없다. 몇몇 실시양태에서 (예를 들면, 도 9), DNA를 푸는 능력을 더욱 증대시키기 위해, 분지 채널은 필러 구조를 함유할 수 있다.
FE 유체 구조는 대략 10 내지 1000 nm의 깊이, 및 10000 nm 이하의 폭을 갖는다. FE 구조에서 채널 (또는 필러 또는 다른 장애물)은 또한 약 100 내지 약 500 nm, 또는 약 200 nm 내지 약 300 nm의 깊이를 가질 수 있다. 구조 (예를 들면, 채널, 필러 등)는 또한 약 1 내지 약 10,000 nm, 또는 약 20 nm 내지 약 5000 nm, 또는 약 50 nm 내지 약 1000 nm, 또는 약 100 nm 내지 약 500 nm 범위의 폭을 가질 수 있다.
이러한 구조의 목적이 DNA 샘플을 마이크로유체 환경으로부터 나노유체 환경으로 점진적으로 한정하는 것이기 때문에, 한 예시적 실시양태에서, 이들 유체 구조는 1000 nm 내지 최종 나노채널의 깊이 범위인 깊이, 및 10000 nm 내지 최종 나노채널의 폭 범위인 폭을 갖는다. 그러나, FE 구조에서의 피쳐 크기의 감소는 단조 감소할 필요는 없고, 또한 피쳐 크기의 연속적인 변화를 필요로 하지 않을 수도 있다. 예를 들면, FE의 피쳐 크기 (깊이 및 폭)의 변화는 단계식으로 수행가능하다.
" 크로우 " 구조
도 7에 도시된 "크로우" 실시양태에서, 분지 채널 FE 디자인은 채널을 2개의 새로운 채널로 분할하는 비교적 뾰족한 포크 (스플리터)를 포함한다. 새로운 채널은 동일한 크기일 수 있거나, 또는 원래 채널보다 작을 수 있다. 분지각은 0 내지 90도로 다양할 수 있다. 분지 채널의 길이는 5 내지 500 마이크로미터로 다양할 수 있다. 각각의 분지 단계는 동일한 길이일 필요는 없다.
"이글" 구조
"이글" 디자인은 "크로우" 디자인과 상이하다. 첫째, 포크는 라운드형 필러 형상이다. 둘째, 필러 포크의 직경은 필러의 연부가 그에 선행하는 채널로 돌출하도록 하는 것이다. 이 디자인의 목적은 전기장 경로 (또는 다른 구배)를 따르는 마크로분자 (또는 다른 표적)가 계속되는 채널의 (연부를 따르기 보다는) 중앙으로 들어가려고 하는 가능성을 증가시키는 것이다. 이러한 방식으로, 표적은 분지 네트워크에서 다른 채널과 비교하여 특정 채널로 편향될 가능성이 작고, 대신 나노채널 어레이에서 나노채널의 보다 균일한 로딩을 초래할 것이다. "이글" 구조 ("크로우" 구조와 같이)는 적합하게는 채널로부터 상류방향에, 채널 내에, 또는 채널로부터 하류방향에 배치된 필러를 포함할 수 있다.
추가 실시양태
나노채널 어레이는 장치의 활성 영역을 형성한다. 여기서 DNA를 분석한다. 어레이의 패턴화, 폭, 깊이, 피치, 밀도, 길이, 및 면적은 매우 다양할 수 있다. 나노채널은 약 10 nm 내지 약 500 nm의 깊이, 약 10 내지 약 1000 nm의 폭을 가질 수 있다. 나노채널 폭 및 깊이는 장치 전체에 걸쳐서 일정하게 유지될 수 있거나, 또는 채널을 따라, 채널 중에서, 또는 이들 두 경우 모두에 해당하여 다양할 수 있다. 나노채널은 10 nm 내지 10 cm의 거리에 의해 분리될 수 있고, 0.1 마이크로미터 내지 50 cm의 길이를 가질 수 있고, 어레이는 0.1 마이크로미터 내지 50 cm의 전폭을 가질 수 있다. 채널은 평행하거나, 또는 평행하지 않을 수 있다. 이들은 균일하게 분포될 필요는 없다. 이들은 동일한 길이를 갖거나, 또는 상이한 길이를 가질 수 있다. 이들은 일자형일 수 있거나, 또는 방향전환부 및 만곡부를 가질 수 있다. 이들은 서로 단리되거나, 또는 교차할 수 있다.
분지 구조의 기본 채널은 약 1 마이크로미터 내지 50 마이크로미터, 100 마이크로미터, 1000 마이크로미터, 또는 10 cm의 거리에 의해 분리될 수 있다. 채널들 사이의 최적의 피치 (간극)는 사용자의 필요에 따라 달라질 것이고, 당업자라면 어렵지 않게 확인할 수 있다.
한 예시적 실시양태에서, 나노채널은 평행 어레이로 패턴화되고, 20 내지 500 nm의 깊이 및 20 내지 800 nm의 폭을 갖는다. 특정 장치의 경우, 나노채널의 폭 및 깊이는 일정하다. 나노채널은 100 내지 2000 nm의 이격 거리를 갖고, 일자형이다. 나노채널의 길이는 50 마이크로미터 내지 5000 마이크로미터로 다양하다. 그러나, 나노채널의 폭, 깊이, 또는 이들 둘 모두가 나노채널의 길이를 따라 달라질 수 있는 실시양태를 포함하여, 매우 다양한 나노채널 어레이 실시양태도 실현가능하다.
연결자
연결자 유체 소자는 100 nm 내지 100 마이크로미터의 깊이, 및 0.5 마이크로미터 내지 1000 마이크로미터의 폭을 가질 수 있다. 한 예시적 실시양태에서, 깊이는 200 nm 내지 20 마이크로미터 범위이고, 폭은 1 마이크로미터 내지 50 마이크로미터 범위이다.
추가 설명
몇몇 실시양태에서, 본 발명은 제2 기판 (B)에 접합된 기판 (A)를 포함하는 유체 장치를 기재하고, 상기 기판들 중 하나 또는 둘 모두는 패턴화될 수 있다. 제작 방법은 규소 기판과 유리 기판 사이의 접합 공정, 예컨대 양극 접합에 의해 한정된 마이크로유체 및 나노유체 소자를 설명한다.
칩의 활성 영역은 적합하게는 단일 또는 다수의 독립된 나노채널 어레이 장치가 기판 표면 중 하나 또는 둘 모두에서 제작된, 2개의 기판의 계면에 위치한다. 이들 장치는 적합하게는 하나의 기판 또는 두 기판 모두를 통해 연장하는 도관 포트를 통해 칩의 외부 환경과 유체 소통한다.
기재된 장치는 적합하게는 하기를 포함한다.
● 나노채널 영역 - 핵심 장치 영역: 여기서 관심 마크로분자 (예를 들면, DNA)가 신장되고, 선상화되고, 영상화되고, 분석된다.
● 구배 전단 (FE) 및 후단 (BE) - 마이크로미터, 1 마이크로미터 미만, 또는 나노미터 범위의 단면 치수를 갖는 서로 연결된 분지 채널의 어레이. FE 또는 BE는 또한 반복된 마이크로규모 내지 나노규모 크기의 구조, 예컨대 기둥, 필러, 웰, 홈, 및 이들의 조합을 포함할 수 있고, 이들 구조는 장치의 마이크로유체 및 나노유체 영역과 연계된다.
● 연결자 - 마이크로유체 영역: 관심 샘플을 투입 포트에서부터 FE 영역으로 가져오고, 샘플이 BE 영역에서부터 방출 포트로 이동하는 도관을 제공하는 마이크로유체 채널의 네트워크.
● 포트: 기판(들)을 통해 적합하게 에칭된 구멍이며, 3차원 유체 연결을 통해 장치의 외부 환경으로부터 칩 내부의 나노유체 장치 (적합하게는 기판 A와 기판 B 사이에 배치됨)로의 유체 소통을 가능하게 함.
다양한 물질이 유체 소자의 표면을 구성할 수 있으며, 예를 들면 규소, 규소 디옥사이드, 규소 니트라이드, 하프늄 옥사이드, 석영, 유리, 용융 실리카, 금속, 알루미늄 옥사이드, 금속, 세라믹, 중합체, 플라스틱, 유전체, SiGe, GaAs, GaAlAs, ITO, 유기 분자, 자가조립 단층, 자가조립 다층, 또는 이들의 임의의 조합을 포함하나, 이들로 제한되지는 않는다.
본 발명은 모든 유체 소자가 원자층 증착 (ALD), 플라즈마 촉진 화학 증착 (PECVD), 스퍼터링, 열 성장, 또는 다른 엔트로픽(entropic) 또는 아니소트로픽(anisotropic) 물질 증착 방법에 의해 유전성 표면을 갖는 것인, 유체 소자를 갖는 장치를 기재한다. 이 단계는 유체 소자에서 생물학적 분자의 전기장 조작을 위한 절연 뿐만 아니라, 또한 통상의 제작 방법에 의해 제조되는 유체 채널의 감소를 제공한다.
본 발명은 또한 적용시 필요에 따라 관능화 및/또는 부동태화될 수 있는 나노유체 소자 표면을 기재하고, 상기 표면은 UV선, 가시선 및 적외선을 포함하는, 광범위한 스펙트럼의 전자기 방사선에 대해 투명할 수 있다.
나노유체 장치는 또한 다수의 포트를 가질 수 있고, 포함된 도면에 도시된 바와 같이, 다양한 명세 및 각도를 가지며 서로 연계되어 있는, 점진적으로 분지된 채널 패턴 디자인을 포함할 수 있다.
본 발명은 다양한 분지 포크 명세 및 각도를 가지며, 서로 연계되어 있는 점진적으로 분지된 채널 패턴을 갖는 장치를 기재한다. 분지 채널 및 기둥 또는 필러 어레이의 다양한 조합 또한 기재된 장치의 상이한 영역들을 연계시키는데 사용될 수 있고, 또한 상이한 폭을 갖는 채널들을 연계시키는데도 사용될 수 있다.

Claims (32)

  1. 제1 기판 및 제2 기판;
    제1 기판, 제2 기판, 또는 이들 둘 모두의 적어도 일부 위에 놓인 제1 박막
    을 포함하며,
    상기 제1 및 제2 기판은 기판들 사이에 배치된 채널을 한정하고,
    제1 또는 제2 기판 중 적어도 하나는 약 10 nm 내지 약 2500 nm 범위의 하나 이상의 파장을 갖는 것을 특징으로 하는 전자기 방사선의 적어도 부분적인 통과를 허용하고,
    상기 제1 박막의 적어도 일부는 제1 기판과 제2 기판 사이에 배치된 채널의 적어도 일부를 한정하고,
    제1 박막은 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 상기 제1 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시키는 것인, 분석 장치.
  2. 제1항에 있어서, 제1 박막이 제1 기판, 제2 기판, 또는 이들 둘 모두에 접합된 것인 분석 장치.
  3. 제1항에 있어서, 제1 기판이 제2 기판에 접합된 것인 분석 장치.
  4. 제1항에 있어서, 제1 박막이 규소 니트라이드를 포함하는 것인 분석 장치.
  5. 제1항에 있어서, 제1 박막이 규소 옥시니트라이드, SiOxNy, 수소화된 규소 디옥사이드, 수소화된 규소 니트라이드, 수소화된 규소 옥시니트라이드, 고(high) K 유전체, 티타늄 포함 화합물: TiSiO, TiO, TiN, 티타늄 옥사이드, 수소화된 티타늄 옥사이드, 티타늄 니트라이드, 수소화된 티타늄 니트라이드, TaO, TaSiO, TaOxNy, Ta2O5, TaCN, 탄탈륨 옥사이드, 수소화된 탄탈륨 옥사이드, 탄탈륨 니트라이드, 수소화된 탄탈륨 니트라이드, 하프늄 함유 화합물: HfO2, HfSiO2, HfZrOx, HfN, HfON, HfSiN, HfSiON, 하프늄 옥사이드, 수소화된 하프늄 옥사이드, 하프늄 니트라이드, 수소화된 하프늄 니트라이드, ZrO2, ZrSiO2, ZrN, ZrSiN, ZrON, ZrSiON, 지르코늄 옥사이드, 수소화된 지르코늄 옥사이드, 지르코늄 니트라이드, 수소화된 지르코늄 니트라이드, Al2O3, AlN, TiAlN, TaAlN, WAlN, 알루미늄 옥사이드, 수소화된 알루미늄 옥사이드, 알루미늄 니트라이드, 수소화된 알루미늄 니트라이드, SiN, WN, 저(low) K 유전체, 불소 도핑된 규소 디옥사이드, 탄소 도핑된 규소 디옥사이드, 다공성 규소 디옥사이드, 다공성 탄소 도핑된 규소 디옥사이드, 스핀-온 유기 중합체 유전체, 흑연, 그래핀, 탄소 나노튜브, 플라스틱, 중합체, 유기 분자, 자가조립 단층, 자가조립 다층, 지질이중층, 수소화된 형태의 앞서 언급한 임의의 화합물, 상기의 임의의 화학량론적 변이물, 또는 이들의 임의의 조합물을 포함하는 것인 분석 장치.
  6. 제1항에 있어서, 제1 기판, 제2 기판, 또는 이들 둘 모두가 유리, 규소, 또는 이들의 임의의 조합물을 포함하는 것인 분석 장치.
  7. 제1항에 있어서, 제1 기판, 제2 기판 중 적어도 하나가, 또는 이들 둘 모두가 석영, 용융 실리카, 사파이어, 규소 카바이드, 소다 석회, 게르마늄, 규소 게르마늄, 갈륨, 인듐, 카드뮴, 아연, 알루미늄, 스테인리스강, 캡톤(TM) 중합체 물질, 중합체, 반도체 물질, 금속, 세라믹, 또는 이들의 임의의 조합물을 포함하는 것인 분석 장치.
  8. 제1항에 있어서, 제1 기판 또는 제2 기판 중 적어도 하나가 전자기 방사선의 하나 이상의 진동수에 대해 투명한 것인 분석 장치.
  9. 제1항에 있어서, 제1 기판 또는 제2 기판 중 적어도 하나가 가시광선에 대해 본질적으로 투명한 것인 분석 장치.
  10. 제6항에 있어서, 유리가 쇼트 보로플로트(Schott Borofloat; TM) 33 유리, 파이렉스(Pyrex) 7740(TM) 유리, 호야(Hoya) SD2(TM) 유리, 또는 이들의 임의의 조합물을 포함하는 것인 분석 장치.
  11. 제1항에 있어서, 제1 기판 및 제2 기판 중 적어도 하나가 약 0.01 mm 내지 약 5 mm 범위의 두께를 갖는 것인 분석 장치.
  12. 제1항에 있어서, 제1 박막이 약 1 nm 내지 약 5000 nm 범위의 두께를 갖는 것인 분석 장치.
  13. 제12항에 있어서, 제1 박막이 약 10 nm 내지 약 1000 nm 범위의 두께를 갖는 것인 분석 장치.
  14. 제13항에 있어서, 제1 박막이 약 30 nm 내지 약 120 nm의 두께를 갖는 것인 분석 장치.
  15. 제1항에 있어서, 채널이 약 5 nm 내지 약 5 mm 범위의 폭 및 약 5 nm 내지 약 1 mm의 깊이를 갖는 것인 분석 장치.
  16. 제1항에 있어서, 채널이 다양한 단면을 갖는 것을 특징으로 하는 분석 장치.
  17. 제1항에 있어서, 제2 박막을 더 포함하는 분석 장치.
  18. 제17항에 있어서, 제2 박막이, 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 상기 제2 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시키는 것인 분석 장치.
  19. 제17항에 있어서, 제2 박막이 규소 니트라이드를 포함하는 것인 분석 장치.
  20. 제17항에 있어서, 제2 박막이 규소 옥시니트라이드, SiOxNy, 수소화된 규소 디옥사이드, 수소화된 규소 니트라이드, 수소화된 규소 옥시니트라이드, 고 K 유전체, 티타늄 포함 화합물: TiSiO, TiO, TiN, 티타늄 옥사이드, 수소화된 티타늄 옥사이드, 티타늄 니트라이드, 수소화된 티타늄 니트라이드, TaO, TaSiO, TaOxNy, Ta2O5, TaCN, 탄탈륨 옥사이드, 수소화된 탄탈륨 옥사이드, 탄탈륨 니트라이드, 수소화된 탄탈륨 니트라이드, 하프늄 함유 화합물: HfO2, HfSiO2, HfZrOx, HfN, HfON, HfSiN, HfSiON, 하프늄 옥사이드, 수소화된 하프늄 옥사이드, 하프늄 니트라이드, 수소화된 하프늄 니트라이드, ZrO2, ZrSiO2, ZrN, ZrSiN, ZrON, ZrSiON, 지르코늄 옥사이드, 수소화된 지르코늄 옥사이드, 지르코늄 니트라이드, 수소화된 지르코늄 니트라이드, Al2O3, AlN, TiAlN, TaAlN, WAlN, 알루미늄 옥사이드, 수소화된 알루미늄 옥사이드, 알루미늄 니트라이드, 수소화된 알루미늄 니트라이드, SiN, WN, 저 K 유전체, 불소 도핑된 규소 디옥사이드, 탄소 도핑된 규소 디옥사이드, 다공성 규소 디옥사이드, 다공성 탄소 도핑된 규소 디옥사이드, 스핀-온 유기 중합체 유전체, 흑연, 그래핀, 탄소 나노튜브, 플라스틱, 중합체, 유기 분자, 자가조립 단층, 자가조립 다층, 지질이중층, 수소화된 형태의 임의의 앞서 언급한 화합물, 상기의 임의의 화학량론적 변이물, 또는 이들의 임의의 조합물을 포함하는 것인 분석 장치.
  21. 제17항에 있어서, 제2 박막이 약 1 nm 내지 약 5000 nm 범위의 두께를 갖는 것인 분석 장치.
  22. 제1항에 있어서, 제1 박막이, 장치 내에 배치된 형광 분자가 제1 기판, 제2 기판, 또는 이들 둘 모두에 의해 켄칭되는 것을 방지하는 것인 분석 장치.
  23. 기판 내에 폐쇄된 채널을 한정하도록 구조화된 기판
    을 포함하며, 상기 기판은 약 10 nm 내지 약 2500 nm 범위의 하나 이상의 진동수 구성을 갖는 전자기 방사선에 대해 투명한 것인, 분석 장치.
  24. 제23항에 있어서, 채널이 채널인 것을 특징으로 하는 분석 장치.
  25. 제23항에 있어서, 채널이 약 5 nm 내지 약 5 mm 범위의 하나 이상의 단면 치수를 갖는 것인 분석 장치.
  26. 제25항에 있어서, 채널이 약 50 nm 내지 약 500 nm 범위의 하나 이상의 단면 치수를 갖는 것인 분석 장치.
  27. 제23항에 있어서, 기판이 규소 니트라이드를 포함하는 것인 분석 장치.
  28. 제1 기판, 제2 기판, 및 제1 박막층을 제1 기판과 제2 기판 사이에 배치된 채널을 한정하도록 배치시키며,
    상기 제1 박막층은 장치가 약 10 nm 내지 약 2500 nm 범위의 파장을 갖는 전자기 방사선에 의해 조명될 때, 상기 층이 상기 제1 박막이 없는 동일한 장치와 비교하여 장치의 바탕 신호를 감소시키도록 선택되는 것인 단계; 및
    상기 제1 박막층을 상기 제1 기판, 제2 기판, 또는 이들 둘 모두에 접합시키는 단계
    를 포함하는, 분석 장치의 제작 방법.
  29. 제28항에 있어서, 제2 박막층을 제1 기판, 제2 기판, 제1 박막층, 또는 이들의 임의의 조합에 접합시키는 것을 더 포함하는 방법.
  30. 제28항에 있어서, 접합이 양극 접합을 포함하는 것인 방법.
  31. 제28항에 있어서, 제1 박막층이 장치 내에 배치된 형광단의 켄칭을 상기 제1 박막이 없는 동일한 장치와 비교하여 감소시키도록 선택되는 것인 방법.
  32. 후에 제거될 템플릿(template)을 약 10 nm 내지 약 5000 nm 범위의 파장을 갖는 전자기 방사선에 대해 투명한 물질을 포함하는 작업편 내에 배치시키는 단계;
    상기 후에 제거될 템플릿의 적어도 일부를 제거하여 작업편 내에 배치된 채널을 제공하며, 상기 채널의 적어도 일부는 약 5 nm 내지 약 5000 nm 범위의 단면 치수를 갖는 것인 단계
    를 포함하는, 분석 장치의 제작 방법.
KR1020167001826A 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술 KR101767125B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US5939908P 2008-06-06 2008-06-06
US61/059,399 2008-06-06
PCT/US2009/046427 WO2009149362A2 (en) 2008-06-06 2009-06-05 Integrated nanofluidic analysis devices, fabrication methods and analysis techniques

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000192A Division KR20110016479A (ko) 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177021881A Division KR20170094003A (ko) 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술

Publications (2)

Publication Number Publication Date
KR20160014110A true KR20160014110A (ko) 2016-02-05
KR101767125B1 KR101767125B1 (ko) 2017-08-10

Family

ID=41398897

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020177021881A KR20170094003A (ko) 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술
KR1020167001826A KR101767125B1 (ko) 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술
KR1020117000192A KR20110016479A (ko) 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177021881A KR20170094003A (ko) 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117000192A KR20110016479A (ko) 2008-06-06 2009-06-05 통합 나노유체 분석 장치, 제작 방법 및 분석 기술

Country Status (9)

Country Link
US (4) US9533879B2 (ko)
EP (1) EP2296813A2 (ko)
JP (2) JP2011523061A (ko)
KR (3) KR20170094003A (ko)
CN (2) CN104359874B (ko)
AU (1) AU2009256064B2 (ko)
CA (2) CA2727095C (ko)
HK (1) HK1207418A1 (ko)
WO (1) WO2009149362A2 (ko)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2743772C (en) * 2008-11-14 2015-06-30 The Board Of Regents Of The University Of Texas System Nanochanneled device and related methods
WO2011108540A1 (ja) 2010-03-03 2011-09-09 国立大学法人大阪大学 ヌクレオチドを識別する方法および装置、ならびにポリヌクレオチドのヌクレオチド配列を決定する方法および装置
US20110288497A1 (en) 2010-05-19 2011-11-24 Nanomedical Systems, Inc. Nano-Scale Coatings and Related Methods Suitable for In-Vivo Use
GB201017905D0 (en) 2010-10-25 2010-12-01 Mir Kalim U Preparation and analysis of samples
WO2012061818A1 (en) * 2010-11-05 2012-05-10 Life Technologies Corporation Flowcells and flowcell reaction chambers
JP2012101196A (ja) * 2010-11-11 2012-05-31 Tokyo Electron Ltd 濾過用フィルタの製造方法
GB201111237D0 (en) * 2011-06-30 2011-08-17 Isis Innovation Nanochip
WO2013039778A2 (en) 2011-09-12 2013-03-21 The University Of North Carolina At Chapel Hill Devices with a fluid transport nanochannel intersected by a fluid sensing nanochannel and related methods
EP2805281A4 (en) * 2012-01-18 2015-09-09 Singular Bio Inc METHOD FOR ILLUSTRATING LINEAR MOLECULES FOR DETECTING STRUCTURE VARIATIONS AND SEQUENCING
CA2802670C (en) * 2012-01-20 2020-09-01 Ortho-Clinical Diagnostics, Inc. Assay device having multiple reagent cells
JP6339024B2 (ja) 2012-02-10 2018-06-06 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒルThe University Of North Carolina At Chapel Hill 流体ナノファンネルを有する装置、関連する方法、製造及び解析システム
KR101248271B1 (ko) * 2012-02-21 2013-03-27 광운대학교 산학협력단 마이크로-나노 채널을 이용한 에너지 변환 소자 및 그 제조 방법
KR101314420B1 (ko) * 2012-02-21 2013-10-04 광운대학교 산학협력단 마이크로-나노 채널을 이용한 3차원 에너지 변환 소자 및 그 제조 방법
KR20150041146A (ko) 2012-08-17 2015-04-15 오사카 유니버시티 시료의 분석 방법
US9421315B2 (en) 2012-09-05 2016-08-23 The Charles Stark Draper Laboratory, Inc. Compact hydraulic manifold structure for shear sensitive fluids
US9651539B2 (en) * 2012-10-28 2017-05-16 Quantapore, Inc. Reducing background fluorescence in MEMS materials by low energy ion beam treatment
CN110082294A (zh) * 2012-11-19 2019-08-02 通用医疗公司 用于集成复用光度测定模块的系统和方法
US9656212B2 (en) * 2013-01-08 2017-05-23 The Charles Stark Draper Laboratory, Inc. Compact hydraulic manifold structure for shear sensitive fluids
JP6475171B2 (ja) * 2013-02-05 2019-02-27 バイオナノ ジェノミクス、 インコーポレイテッド 単分子解析方法
CA2901460A1 (en) 2013-02-20 2014-08-28 Bionano Genomics, Inc. Characterization of molecules in nanofluidics
US10844424B2 (en) 2013-02-20 2020-11-24 Bionano Genomics, Inc. Reduction of bias in genomic coverage measurements
EP2962117B1 (en) * 2013-02-28 2019-10-09 The University of North Carolina At Chapel Hill Nanofluidic devices with integrated components for the controlled capture, trapping, and transport of macromolecules and related methods of analysis
JP6499638B2 (ja) 2013-03-13 2019-04-10 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒルThe University Of North Carolina At Chapel Hill 全ゲノムの高速マッピング用ナノ流体デバイス、並びに関連する分析システム及び分析方法
US9855554B2 (en) * 2013-07-22 2018-01-02 President And Fellows Of Harvard College Microfluidic cartridge assembly
US20150037787A1 (en) * 2013-07-31 2015-02-05 International Business Machines Corporation Polynucleotide configuration for reliable electrical and optical sensing
CA2929929A1 (en) 2013-09-18 2015-03-26 Quantum Biosystems Inc. Biomolecule sequencing devices, systems and methods
JP2015077652A (ja) 2013-10-16 2015-04-23 クオンタムバイオシステムズ株式会社 ナノギャップ電極およびその製造方法
CN106535957B (zh) 2014-02-17 2019-01-18 查尔斯斯塔克布料实验室公司 用于剪切敏感流体的微流体歧管
CN106164295B (zh) 2014-02-25 2020-08-11 生物纳米基因公司 减小基因组覆盖测量中的偏差
US10438811B1 (en) 2014-04-15 2019-10-08 Quantum Biosystems Inc. Methods for forming nano-gap electrodes for use in nanosensors
WO2015170782A1 (en) * 2014-05-08 2015-11-12 Osaka University Devices, systems and methods for linearization of polymers
EP3295148A4 (en) * 2015-05-11 2018-11-07 The University of North Carolina at Chapel Hill Fluidic devices with nanoscale manifolds for molecular transport, related systems and methods of analysis
US10391486B2 (en) 2015-10-30 2019-08-27 International Business Machines Corporation Fluidic cell designs for interfacing microfluidic chips and nanofluidic chips
US9733232B1 (en) * 2016-01-25 2017-08-15 International Business Machines Corporation Nanopillar arrays with interfaces for controlled polymer stretching and effective translocation into nanochannels
US10365564B2 (en) 2017-08-09 2019-07-30 Saudi Arabian Oil Company Calcite channel nanofluidics
WO2019118445A1 (en) * 2017-12-12 2019-06-20 Trustees Of Boston University Disposable fluidic cartridge for interferometric reflectance imaging sensor
US20210230664A1 (en) 2018-06-25 2021-07-29 Bionano Genomics, Inc. Labeling of dna
WO2020027197A1 (ja) * 2018-07-31 2020-02-06 積水化学工業株式会社 検査方法、検査用器具及び検査装置
US10761428B2 (en) 2018-08-28 2020-09-01 Saudi Arabian Oil Company Fabricating calcite nanofluidic channels
US11145786B2 (en) 2018-09-11 2021-10-12 Facebook Technologies, Llc Methods for wafer-to-wafer bonding
US11056611B2 (en) 2018-09-11 2021-07-06 Facebook Technologies, Llc Mesa formation for wafer-to-wafer bonding
US11342479B2 (en) 2018-09-11 2022-05-24 Facebook Technologies, Llc Reducing bowing of materials before wafer-to-wafer bonding for LED manufacturing
US10898895B2 (en) 2018-09-13 2021-01-26 Talis Biomedical Corporation Vented converging capillary biological sample port and reservoir
CN109289873B (zh) * 2018-10-29 2021-09-10 江苏大学 一种异质结材料及制备方法和用途
US10926227B2 (en) 2018-12-03 2021-02-23 Saudi Arabian Oil Company Fabricating calcite nanofluidic channels
WO2020199168A1 (zh) * 2019-04-03 2020-10-08 京东方科技集团股份有限公司 微纳米通道结构、传感器及其制备方法、微流体装置
US11008627B2 (en) 2019-08-15 2021-05-18 Talis Biomedical Corporation Diagnostic system
US11300554B2 (en) 2020-01-14 2022-04-12 Saudi Arabian Oil Company Calcite channel structures with heterogeneous wettability
EP3885042A1 (en) * 2020-03-24 2021-09-29 Imec VZW Method for fabricating a microfluidic device
WO2022058295A1 (en) 2020-09-15 2022-03-24 INSERM (Institut National de la Santé et de la Recherche Médicale) Method for diagnosing diseases induced by repeat expansion using optical mapping
US11813608B2 (en) 2020-09-22 2023-11-14 Oregon State University Fiber substrate-based fluidic analytical devices and methods of making and using the same
CN112169851B (zh) * 2020-10-13 2022-03-29 中国科学院微电子研究所 一种微流道入口盖板及其制备和使用方法
CN114516658B (zh) * 2020-11-18 2023-07-25 香港城市大学深圳研究院 两步化学气相沉积法生长稀氮化GaNSb纳米线
WO2022136532A1 (en) 2020-12-22 2022-06-30 Perseus Biomics Bv Genomic analysis method
US11454097B2 (en) 2021-01-04 2022-09-27 Saudi Arabian Oil Company Artificial rain to enhance hydrocarbon recovery
CN113278946A (zh) * 2021-05-17 2021-08-20 四川瑞能晶石科技有限公司 一种mpcvd制备金刚石的方法
CN113117634A (zh) * 2021-05-27 2021-07-16 广州大学 一种重金属吸附剂及其制备方法和应用
CN113548641B (zh) * 2021-07-27 2023-06-23 中国科学院重庆绿色智能技术研究院 一种限域介电击穿固态纳米孔器件的制备方法及其产品和应用
US11961702B2 (en) 2021-12-09 2024-04-16 Saudi Arabian Oil Company Fabrication of in situ HR-LCTEM nanofluidic cell for nanobubble interactions during EOR processes in carbonate rocks
CN114428039A (zh) * 2022-01-27 2022-05-03 中国石油大学(北京) 致密储层流体相态实验模型及致密储层流体相态实验方法
US11787993B1 (en) 2022-03-28 2023-10-17 Saudi Arabian Oil Company In-situ foamed gel for lost circulation
US11913319B2 (en) 2022-06-21 2024-02-27 Saudi Arabian Oil Company Sandstone stimulation

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2853745B2 (ja) * 1989-04-12 1999-02-03 株式会社日立製作所 光検出電気泳動装置
EP0470982B1 (de) * 1989-05-01 1993-07-14 BOHNENKAMP, Wolfram Reflexionsfluorimeter
JP3298882B2 (ja) * 1992-05-01 2002-07-08 トラスティーズ・オブ・ザ・ユニバーシティ・オブ・ペンシルベニア 微細加工した検出構造体
US7775368B2 (en) * 1995-04-03 2010-08-17 Wisconsin Alumni Research Foundation Micro-channel long molecule manipulation system
ATE273381T1 (de) 1997-02-12 2004-08-15 Eugene Y Chan Verfahren zur analyse von polymeren
US6132685A (en) 1998-08-10 2000-10-17 Caliper Technologies Corporation High throughput microfluidic systems and methods
JP2000111477A (ja) 1998-09-30 2000-04-21 Hamamatsu Photonics Kk 蛍光分析用基板及び蛍光分析装置
US6635163B1 (en) * 1999-06-01 2003-10-21 Cornell Research Foundation, Inc. Entropic trapping and sieving of molecules
EP1210578A4 (en) * 1999-08-13 2007-02-21 U S Genomics METHOD AND APPARATUS FOR STRETCHING POLYMERS
US6762059B2 (en) * 1999-08-13 2004-07-13 U.S. Genomics, Inc. Methods and apparatuses for characterization of single polymers
US6927065B2 (en) * 1999-08-13 2005-08-09 U.S. Genomics, Inc. Methods and apparatus for characterization of single polymers
JP4797196B2 (ja) 2001-02-14 2011-10-19 株式会社 フューエンス マイクロチップ
IL159865A0 (en) 2001-07-25 2004-06-20 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6881315B2 (en) 2001-08-03 2005-04-19 Nec Corporation Fractionating apparatus having colonies of pillars arranged in migration passage at interval and process for fabricating pillars
JP3603886B2 (ja) * 2001-08-03 2004-12-22 日本電気株式会社 分離装置およびその製造方法
CN1339610A (zh) 2001-10-09 2002-03-13 张添 基因芯片时间分辨荧光检测方法及检测装置
US7069952B1 (en) 2001-11-14 2006-07-04 Caliper Life Sciences, Inc. Microfluidic devices and methods of their manufacture
EP1572860B1 (en) 2002-04-16 2018-12-05 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
KR100479128B1 (ko) * 2002-07-22 2005-03-28 학교법인 한양학원 디앤에이 교배 검출을 위한 자기변형 바이오센서 및 그 제조방법
JP2006522940A (ja) 2003-04-10 2006-10-05 ユー.エス. ジェノミクス, インコーポレイテッド マイクロチャネルにおけるポリマーの操作
JP4407271B2 (ja) 2003-12-19 2010-02-03 株式会社日立製作所 チップ、反応分析装置、反応分析方法
US20060065528A1 (en) 2004-02-03 2006-03-30 Gabriel Lopez Nanostructured devices for separation and analysis
JP4379716B2 (ja) 2004-07-12 2009-12-09 横河電機株式会社 化学反応用カートリッジ駆動機構
US9477233B2 (en) 2004-07-02 2016-10-25 The University Of Chicago Microfluidic system with a plurality of sequential T-junctions for performing reactions in microdroplets
US8017218B2 (en) 2005-03-08 2011-09-13 Forskarpatent I Linkoping Ab Micro and nano structures in an elastomeric material
GB0508983D0 (en) 2005-05-03 2005-06-08 Oxford Gene Tech Ip Ltd Cell analyser
US20060275911A1 (en) * 2005-06-03 2006-12-07 Shih-Yuan Wang Method and apparatus for moleclular analysis using nanostructure-enhanced Raman spectroscopy
JP4591963B2 (ja) 2005-08-26 2010-12-01 日本電信電話株式会社 近接場光学センサ用ナノ流路およびその作製方法
JP2007278906A (ja) 2006-04-07 2007-10-25 National Institute For Materials Science ナノギャップアレイ及びそれを用いた生体高分子の診断方法
US7811603B2 (en) 2006-05-09 2010-10-12 The Regents Of The University Of California Microfluidic device for forming monodisperse lipoplexes

Also Published As

Publication number Publication date
JP2011523061A (ja) 2011-08-04
US20110296903A1 (en) 2011-12-08
KR20110016479A (ko) 2011-02-17
JP2015096854A (ja) 2015-05-21
US20220388838A1 (en) 2022-12-08
CA2727095C (en) 2020-01-07
WO2009149362A3 (en) 2010-10-07
AU2009256064A1 (en) 2009-12-10
CN104359874B (zh) 2018-07-06
CA2727095A1 (en) 2009-12-10
CA3060930A1 (en) 2009-12-10
HK1207418A1 (en) 2016-01-29
US20170313580A1 (en) 2017-11-02
US20210101796A1 (en) 2021-04-08
US10654715B2 (en) 2020-05-19
WO2009149362A2 (en) 2009-12-10
CN102369059B (zh) 2014-09-24
CA3060930C (en) 2022-03-22
US9533879B2 (en) 2017-01-03
AU2009256064B2 (en) 2015-04-30
CN102369059A (zh) 2012-03-07
KR101767125B1 (ko) 2017-08-10
EP2296813A2 (en) 2011-03-23
WO2009149362A9 (en) 2010-04-29
CN104359874A (zh) 2015-02-18
KR20170094003A (ko) 2017-08-16
US11292713B2 (en) 2022-04-05

Similar Documents

Publication Publication Date Title
KR101767125B1 (ko) 통합 나노유체 분석 장치, 제작 방법 및 분석 기술
US20230110246A1 (en) Gradient Structures Interfacing Microfluidics and Nanofluidics, Methods for Fabrication and Uses Thereof
US20140206555A1 (en) Nanochannel Arrays and Their Preparation and Use for High Throughput Macromolecular Analysis
US9274053B2 (en) Flow through metallic nanohole arrays
Oh et al. Monitoring FET flow control and wall adsorption of charged fluorescent dye molecules in nanochannels integrated into a multiple internal reflection infrared waveguide
US9829425B2 (en) Optofluidic devices and methods for sensing single particles
AU2015205826B2 (en) Integrated nanofluidic analysis devices, fabrication methods and analysis techniques
CA2702194A1 (en) Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
A107 Divisional application of patent
GRNT Written decision to grant