CN104359874A - 集成分析装置及相关制造方法和分析技术 - Google Patents

集成分析装置及相关制造方法和分析技术 Download PDF

Info

Publication number
CN104359874A
CN104359874A CN201410462892.7A CN201410462892A CN104359874A CN 104359874 A CN104359874 A CN 104359874A CN 201410462892 A CN201410462892 A CN 201410462892A CN 104359874 A CN104359874 A CN 104359874A
Authority
CN
China
Prior art keywords
base material
passage
film
nanochannel
scope
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410462892.7A
Other languages
English (en)
Other versions
CN104359874B (zh
Inventor
曹涵
迈克尔·D·奥斯汀
帕里克希特·A·德什潘德
马克·昆克尔
阿列克谢·Y·沙罗诺夫
迈克尔·科切尔斯皮尔格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Bionano Genomics Inc
Original Assignee
Bionanomatrix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Bionanomatrix Inc filed Critical Bionanomatrix Inc
Publication of CN104359874A publication Critical patent/CN104359874A/zh
Application granted granted Critical
Publication of CN104359874B publication Critical patent/CN104359874B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N35/00Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor
    • G01N35/08Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor using a stream of discrete samples flowing along a tube system, e.g. flow injection analysis
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502761Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip specially adapted for handling suspended solids or molecules independently from the bulk fluid flow, e.g. for trapping or sorting beads, for physically stretching molecules
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • G01N21/03Cuvette constructions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6428Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes"
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/06Fluid handling related problems
    • B01L2200/0647Handling flowable solids, e.g. microscopic beads, cells, particles
    • B01L2200/0663Stretching or orienting elongated molecules or particles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/06Fluid handling related problems
    • B01L2200/0689Sealing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/10Integrating sample preparation and analysis in single entity, e.g. lab-on-a-chip concept
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0809Geometry, shape and general structure rectangular shaped
    • B01L2300/0816Cards, e.g. flat sample carriers usually with flow in two horizontal directions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0848Specific forms of parts of containers
    • B01L2300/0851Bottom walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0848Specific forms of parts of containers
    • B01L2300/0858Side walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0861Configuration of multiple channels and/or chambers in a single devices
    • B01L2300/0864Configuration of multiple channels and/or chambers in a single devices comprising only one inlet and multiple receiving wells, e.g. for separation, splitting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0887Laminated structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/16Surface properties and coatings
    • B01L2300/168Specific optical properties, e.g. reflective coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/0415Moving fluids with specific forces or mechanical means specific forces electrical forces, e.g. electrokinetic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/043Moving fluids with specific forces or mechanical means specific forces magnetic forces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/0442Moving fluids with specific forces or mechanical means specific forces thermal energy, e.g. vaporisation, bubble jet
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0475Moving fluids with specific forces or mechanical means specific mechanical means and fluid pressure
    • B01L2400/0487Moving fluids with specific forces or mechanical means specific mechanical means and fluid pressure fluid pressure, pneumatics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/08Regulating or influencing the flow resistance
    • B01L2400/084Passive control of flow resistance
    • B01L2400/086Passive control of flow resistance using baffles or other fixed flow obstructions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/019Bonding or gluing multiple substrate layers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • G01N21/03Cuvette constructions
    • G01N2021/0346Capillary cells; Microcells
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6428Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes"
    • G01N2021/6439Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes" with indicators, stains, dyes, tags, labels, marks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/4981Utilizing transitory attached element or associated separate material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Immunology (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Hematology (AREA)
  • Clinical Laboratory Science (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optics & Photonics (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Optical Measuring Cells (AREA)
  • Automatic Analysis And Handling Materials Therefor (AREA)
  • Micromachines (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本发明涉及集成分析装置及相关制造方法和分析技术。本发明提供了具有大尺度和纳米尺度尺寸的部件的集成分析装置,以及具有降低的背景信号并减少了置于装置内的荧光团淬灭的装置。还提供了制造这些装置和使用这些装置的相关方法。

Description

集成分析装置及相关制造方法和分析技术
本申请为国际申请日2009年6月5日、国际申请号PCT/US2009/046427于2011年1月31日进入中国国家阶段、申请号200980130482.X、发明名称“集成分析装置及相关制造方法和分析技术”的分案申请。
相关申请
本申请要求2008年6月6日提交的美国专利申请No.61/057,917的权益,其全部内容在此引为参考。
技术领域
本发明涉及纳米流体学领域并涉及固态光学分析装置领域。
背景技术
当前生物医学分析的挑战之一是充分解释生物样品的复杂性,这些样品可具有极大异质性,并且在这些样品中没有两个对象是完全相同的。给定样品中少数的细胞或分子群体,往往是与患者的病理生理状态最临床相关的部分。
常规的大量溶液分析法可能平均化并掩盖了异质样品的小而重要的特征,阻止了致病分子、特征和事件的早期发现。随着分子生物学技术的发展,对于以更高的分辨力和精确度分析越来越小的样品存在着不断增加的兴趣。
单分子水平生物学的世界本质上处于微米及以下的尺度上。该领域中的一个挑战是在与现有制造方法相容的固态材料上制造高质量的微米和纳米流体结构。装置内表面的光学纯度在被设计用于单分子水平荧光成像的纳米流体学中具有头等重要性,这是因为光学背景污染产生过量的自体荧光噪音,其降低了流体装置的有效性。但是在常规半导体制造中,光学纯度不被认为是重要的方面。
该领域面临的另一个挑战是将分子或其他靶从宏观尺度环境(例如移液器)移动到微米或纳米尺度区域,以及将这些分子和相关介质从微米或纳米尺度区域移动到宏观尺度的废液出口或样品收集池以用于进一步的下游分析。
这样的装置必须容纳尺寸范围从厘米向下直到个位数纳米(相差7个数量级)的部件,这表示需要将极宽的长度尺度范围以允许可控和无渗漏运输的方式集成在一起。
与生物和其他靶的运输所呈现的问题相伴的,是在这些靶(即目标分子或细胞组分)上检测发光标记物的挑战,所述检测可以在靶被置于封闭通道中时在靶上进行。这种检测具有许多实际应用,特别是在纳米流体学领域。
对于这种检测来说,特别重要的是标记物的电磁信号与包含标记物的装置的背景信号的信号-背景比率(SBR)(也称为信噪比,S/N)。降低背景使SBR最大化,通过增加给定系统的动态范围而增加了该系统的值。通过在装置中在可能的最宽波谱范围内降低构成装置背景信号的电磁辐射,该值可以进一步增加。
某些基材例如硅,当在平的、开放式的硅基材上对荧光团成像时,正如在基于微阵列的应用中通常所出现的那样,将淬灭荧光发射。为了防止这种淬灭,典型地使用基材涂层来降低或消除淬灭。但是,当整合在具有封闭通道的接合的流体装置中时,涂层材料可能经常增加装置的背景信号,这进而降低了装置的性能,并实际上将一个问题(淬灭)转变成另一个问题(背景增加)。
因此,在本技术领域中,对于表现出相对低水平的背景信号、同时还限制装置中存在的荧光团或其他标记物的淬灭的装置,存在着需求。在本技术领域中,对于制造具有这些特征的装置的相关方法,也存在着需求。
发明内容
在迎接所述挑战中,本要求保护的发明首先提供了分析装置,其包含第一基材;第二基材;第一入口,其贯穿第一基材、第二基材或两者的至少一部分,以便使第一互连通道与分析装置外部的环境流体连通;以及第一前端分支通道区,其包含至少一个其特征为横截面尺寸在约10,000nm以下的范围内的主通道和至少两个次级通道,使第一互连通道与纳米通道分析区流体连通,所述纳米通道分析区包含至少一个纳米通道,所述纳米通道的特征为具有小于主通道的横截面尺寸,并且其中主通道与纳米通道的横截面尺寸的比率在约100到约10,000的范围内。
还提供了制造分析装置的方法,所述方法包括将第一基材与第二基材接合,至少一个基材包含至少一个宽度在约10nm到约10,000nm范围内的通道,接合产生了位于基材之间的封闭管道,封闭的管道能够通过其运输流体。
还提供了分析方法,其包括将大分子移位通过至少两个宽度逐渐减小的通道,使得当大分子位于通道的最狭窄处时其至少一部分被拉长;最宽和最窄通道的宽度比在约1到约106的范围内;当大分子位于通道具有10nm到约1000nm宽度的第一区域中时,检测来自大分子的信号;以及将该信号与大分子的性质相关联。
还提供了分析装置,其包含第一基材和第二基材,所述第一和第二基材限定了位于基材之间的通道,第一或第二基材中的至少一个允许至少部分通过其特征为具有约10nm到约2500nm范围内的至少一种波长的电磁辐射;覆盖第一基材、第二基材或两者的至少一部分的第一薄膜,第一薄膜的至少一部分限定了位于第一和第二基材之间的通道的至少一部分,并且当用波长在约10nm到约2500nm范围内的电磁辐射对装置进行照射时,与不具有所述第一薄膜的同样装置相比,第一薄膜使装置的背景信号降低。
此外还提供了分析装置,其包含基材,所述基材被构造成限定了封闭在基材内的通道,基材可以透过至少一种频率分量在约10nm到约2500nm范围内的电磁辐射。
还提供了制造分析装置的方法,其包含布置第一基材、第二基材和第一薄膜层,以便限定位于第一和第二基材之间的通道,选择第一薄膜层使得当用波长在约10nm到约2500nm范围内的电磁辐射对装置进行照射时,与不具有所述第一薄膜的同样装置相比,所述层使装置的背景信号降低;以及将第一薄膜层与第一基材、第二基材或两者接合。
还提供了制造分析装置的方法,其包括将牺牲模板置于包含透明波长在约10nm到约5000nm范围内的电磁辐射的材料的工件内;去除牺牲模板的至少一部分以便产生位于工件内的通道,通道的至少一部分具有约5nm到约5000nm范围内的横截面尺寸。
还提供了分析荧光标记分子的方法,其包含将至少一部分荧光标记的分子置于分析装置的通道内,所述分析装置具有至少第一基材、第二基材和第一薄膜,其被构造成产生位于第一和第二基材之间的通道,第一薄膜与第一基材、第二基材或两者接合,当用激发波长在约10nm到约2500nm范围内的电磁辐射对样品进行照射时,荧光标记的分子能够发射发射波长的电磁辐射,当用激发波长的电磁辐射照射装置时,与不含所述第一薄膜的相同装置相比,第一薄膜降低了装置的背景信号,以及收集从荧光标记的分子发出的发射波长的电磁辐射。
附图说明
当结合附图阅读时,概要以及下面的详细描述将得到进一步理解。出于说明本发明的目的,在图中显示了本发明的示例性实施方案;但是,本发明不限于所公开的具体方法、组成和装置。此外,图不一定是按比例绘制的。在图中:
图1描绘了本发明装置的示意图;
图2描绘了本发明的示例性装置;
图3描绘了本发明的示例性制造流程;
图4描绘了两个基材(基材A和B;基材之一适宜是透明的)的示例性制造流程,其中通道元件蚀刻在两个基材中;
图5描绘了具有2和4个端口的示例性纳米装置;
图6描绘了多端口装置设计的示例性实施方案;
图7描绘了本发明的多级分支通道阵列;
图8显示了多层次、分支的互连通道阵列;
图9显示了具有分支通道和柱阵列的组合的装置设计;
图10描绘了具有排列成一系列连续相连的蛇形构造平行纳米通道的单个长纳米通道的设计;
图11描绘了排列成一系列连续相连的平行纳米通道的多个长纳米通道;
图12显示了本发明的通道装置的各种非限制性实施方案;
图13描绘了本发明的装置的横截面图,具有(a)在下部基材中形成的通道,(b)在下部和上部基材二者中形成的通道,以及(c)仅在上部基材中形成的通道,这三个实施方案每个都描绘了上部和下部薄膜;
图14描绘了本发明的装置的横截面图,具有(a)在下部基材中形成的通道,(b)在下部和上部基材中形成的通道,以及(c)仅在上部基材中形成的通道,这三个实施方案每个都只描绘了主要与下部基材相符合的单一薄膜;
图15描绘了本发明的装置的横截面图,具有(a)在下部基材中形成的通道,(b)在上部和下部基材中形成的通道,以及(c)仅在上部基材中形成的通道,这三个实施方案每个都只描绘了主要与上部基材相符合的单一薄膜;
图16描绘了本发明的装置的横截面图,具有(a)在两个薄膜的下部薄膜中形成的通道,(b)在上部和下部薄膜中形成的通道,以及(c)仅在上部薄膜中形成的通道;
图17描绘了本发明的装置的操作,在(a)中显示了位于根据本发明制造的装置中的荧光标记样品的激发,以及收集从被激发样品发出的、透过与激发辐射所通过的相同的基材和薄膜层的辐射,并且在(b)中显示了位于根据本发明制造的装置中的荧光标记样品的激发,以及收集从被激发样品发出的、透过与激发辐射所通过的不同的基材和薄膜层的辐射;
图18显示了在约0nm到约217nm的辐射波长处获取的在通道底部设有SiOx薄膜的封闭通道的背景测量;
图19显示了在约0nm到约217nm的辐射波长处获取的在通道底部设有SiNx薄膜的封闭通道的背景测量;
图20显示了在约653nm的激发辐射波长处获取的在阵列底部设有SiOx薄膜的纳米通道阵列的图像以及在该阵列内存在的TOTO-3标记的DNA的图像;以及
图21显示了在约653nm的激发辐射波长处获取的在阵列底部设有SiNx薄膜的纳米通道阵列的图像以及在该阵列中驻留的TOTO-3(荧光团)标记的DNA的图像。
具体实施方式
通过参考下面的详细描述,同时结合形成了本公开的一部分的附图和实施例,可以更容易地理解本发明。应该理解,本发明不限于本文描述和/或显示的具体装置、方法、应用、条件或参数,并且本文中使用的术语仅仅是出于描述作为实例的具体实施方案的目的,而不打算限制本发明。此外,当在包括权利要求书的说明书中使用时,除非上下文另有明确指明,否则不带具体数量指示的名词包括其复数,并且提到具体数值时至少包括该具体值。本文中使用的术语“多个”是指多于一个。当表述值的范围时,其它实施方案包括从一个具体值和/或到另一个具体值。同样地,当通过使用先行词“约”将值表示成近似值时,应该理解该具体的值形成了另一个实施方案。所有范围是包含性的和可组合的。
应该理解,为了清楚起见,本发明的某些特点在本文中描述在分开的实施方案的内容中,它们也可以组合提供在单一实施方案中。相反,出于简便而描述在单一实施方案的内容中的本发明的各种不同特点,也可以分开或以任意子组合形式提供。此外,以范围形式陈述的值包括该范围内的每个和所有值。
术语:
当在本文中使用时,“流体元件”是指能够包含或接纳流体的部件,例如通道、沟、凹槽、孔、门、洞、通路等。
本文中使用的“横截面尺寸”是指宽度、直径、深度或其他横贯的测量值。
本发明首先提供了分析装置。这些装置适合包含尤其是第一基材和第二基材。适合的基材材料在本文别处描述,并包括例如硅、玻璃和石英。
装置还包括第一入口,其贯穿第一基材、第二基材或两者的至少一部分,以便使第一互连通道与分析装置外部的环境流体连通。
在装置中还存在第一前端分支通道区,该区域包含至少一个其特征为横截面尺寸在约10,000nm以下的范围内的主通道和至少两个次级通道,使第一互连通道与纳米通道分析区流体连通。分支通道排列方式显示在例如图5(b)、7(c)和8(c)中,所述图显示了主通道被分成较小的次级通道。
纳米通道分析区适合包括至少一个纳米通道,通道特征为具有小于主通道的横截面尺寸。主通道与纳米通道的横截面尺寸的比率在约100到约10,000、或约1000到约5000的范围内,或甚至约2000。
基材可以是许多不同材料。第一基材、第二基材或两者适合是硅、SiGe、Ge、应变硅、GeSbTe、AlGaAs、AlGaInP、AlGaN、AlGaP、GaAsP、GaAs、GaN、GaP、InAlAs、InAlP、InSb、GaInAlAs、GaInAlN、GaInAsN、GaInAsP、GaInAs、GaInN、GaInP、GaSb、InN、InP、CdSe或CdTe。锌化合物例如硒化锌(ZnSe)、HgCdTe、ZnO、ZnTe和硫化锌(ZnS)也都是有用的。
基材材料的名单还包括铝、氧化铝、不锈钢、Kapton(TM)、金属、陶瓷、塑料、聚合物、蓝宝石、碳化硅、绝缘体上的硅(SOI)、astrosital、硼酸钡、氟化钡、软铋矿晶体BGO/BSO/BTO、锗酸铋、方解石、氟化钙、碘化铯、Fe:LiNbO3、熔融石英、石英、熔融二氧化硅、玻璃、SiO2、镓、钆榴石、磷酸二氢钾(KDP)、溴碘化铊(KRS-5)、磷酸钛氧钾、钼酸铅、氟化锂、碘酸锂、铌酸锂、钽酸锂、氟化镁、溴化钾、二氧化钛、氯化钠、二氧化碲、硒化锌、旋压玻璃、可UV固化的材料、钠钙玻璃、任何上述化合物的氢化形式、上述化合物的化学计量变化形式或其任何组合。在某些实施方案中,基材是不透光的,在其他实施方案中,基材基本上透过可见光或至少一种波长的电磁辐射。
适合的第一基材具有约10nm到约10,000nm、或约100nm到约1000nm、或约200nm到约500nm的厚度。第二基材可以具有同样范围的厚度;两种基材可以具有相同厚度或不同厚度。
入口的横截面适合是圆形的(例如图1),尽管也可以使用其他断面。入口适合具有约5微米到约5000微米、或约10微米到约100微米范围内、或约50微米的直径或其他横截面尺寸。入口可以贯穿基材的厚度,或部分贯穿基材。端口可以被塞住或盖住,也可以包括阀或其他密封件。
出口适合具有与入口相似的尺寸,尽管给定装置上的入口和出口不是必需具有同样尺寸。端口适合贯穿基材的整个厚度,尽管也可以使用仅仅贯穿基材的一部分的入口(和出口)。
本发明的互连通道适合具有约100nm到约100微米、或约500nm到约50微米、或约1微米到约10微米范围内的深度。互连通道还适合具有约500nm到约1000微米、或约1微米到约50微米、或约10微米到约50微米范围内的宽度。互连区显示在例如图5中。
在某些构造中,互连通道可以连接两个或以上的入口,并且也可以与分支区的一个、两个、三个或以上的主通道流体连通,如图5中所示。在某些实施方案中,分支区与入口直接流体连通,不需要居间的互连区。
在本发明装置的分支(或分叉)区中,主通道适合具有约10nm到约10,000nm范围内、或约50nm到约1000nm范围内、或约75nm到约200nm范围内的宽度。主通道的最适宽度将取决于用户的需要。
主通道可以具有约10nm到约1000nm、或约50nm到约500nm、或甚至约100nm到约200nm范围内的深度。
前端分支通道区适合包含分流劈(splitter)结构,其将主通道分成至少两个次级通道,例如图7中所示。在某些实施方案中(参见图7),分流劈结构包含至少一个相对于主通道的中心线成约0到约90度角的表面。在图7中所示的非限制性实施方案中,分流劈包含在图7(c)顶部显示的相对于主通道的中心线成0到90度之间的角的表面。
在这样的实施方案中,次级通道的宽度适合在主通道宽度的约30%到约70%的范围内,或为主通道宽度的约45%到55%。在某些实施方案中,次级通道的横截面积为主通道横截面积的约50%。在其他实施方案中,一个次级通道与另一个次级通道在横截面积、宽度、深度或其某些组合方面不同。在其他实施方案中,次级通道彼此之间尺寸相似或甚至相同。
次级通道可以具有约1微米到约500微米、或约10微米到约100微米范围内的长度。次级通道可以具有相同或不同的长度。
在某些实施方案中(例如图7、图8),次级通道被具有至少一个相对于次级通道的中心线成约0到约90度角的表面的分流劈分成两个三级通道。这由图7的非限制性实施方案所显示。
在本发明的某些构造中,分流劈结构包括曲线形(countered)的部分,例如图8中所示。这样的分流劈结构适合被构造成使得由梯度推动通过主通道的流体运送体(fluidborne body)以基本上相同的可能性进入分流劈结构下游的任一次级通道,如图8(c)中所示。正如该图所示,分流劈的形状和构造使得跨装置施加的电场的场力线引起通过该区域的靶(例如DNA或其他生物聚合物)基本上相等地分布到显示在图的底部的四个三级通道内。
分流劈可以被构造成限定突出部,所述突出部将次级通道的至少一部分与主通道遮蔽开,如图8中所示。突出部可以被构造成使得该突出部在次级通道宽度的约5%到约50%的范围内。
次级通道的宽度可以在主通道宽度的约30%到约70%的范围内,或甚至为主通道的50%。正如在本文中别处所描述的,次级通道所具有的横截面积可以在主通道横截面积的约30%到70%的范围内,或甚至约为主通道横截面积的50%。
本发明装置的纳米通道分析区中的纳米通道适合具有约1nm到约1000nm、或约10nm到约100nm、或甚至约50nm到约80nm范围内的宽度。纳米通道可以具有约10nm到约500nm、或约20nm到约200nm、或甚至约50nm到约100nm范围内的深度。
在某些构造中,纳米通道具有至少一个线性区段,其具有约0.1微米到约50微米范围内的长度。线性区段显示在图10、图11和图12中。纳米通道可以包含至少约30度、至少约90度的弯曲或曲线,或甚至约180度或以上的弯曲。在某些实施方案中,纳米通道是圆形或甚至可以是螺旋构造的。
纳米通道可以具有恒定的宽度和深度,但是也可以具有变化的宽度、变化的深度或两者。通道可以是曲折形式的(图12),或可以具有起伏的底面,使通道沿着其长度具有变化的深度。
在某些实施方案中,例如在图5(b)中所示,纳米通道分析区与第一后端分支通道区流体连通。后端分支区适合与前面描述的前端分支区类似,并且特征是位于前端分支通道区的下游。给定装置上的前端和后端区域可以彼此相同或不同。装置也可以含有第二互连通道(图5(b)),其与端口(入口或出口)、与分支区(图5(b))或两者流体连通。主通道也可以与第二互连通道、或甚至与第二(例如出口)端口流体连通。
在某些实施方案中,端口的横截面尺寸与至少一个纳米通道的横截面尺寸的比率在约1到约107的范围内。在某些情况下,比率是100、1000或甚至10,000。该比率证明本发明的装置适合于运输(以及分析)从微米(或更大)尺度环境运输到纳米尺度环境的靶。
这种将靶从大尺度环境可控地移位到微米或纳米尺度环境的能力是极有价值的,因为它使用户能够从大体积样品(典型为分散在流体中的分子或其他靶)开始,然后利用本发明的装置可控地从大的样品中分离单一靶。此外,本发明允许用户在纳米尺度环境、例如通道中分离单个靶。因此本发明使用户能够对先前与许多其他分子一起分散在大体积介质中的个体分子进行单分子分析。
在某些实施方案中,纳米通道分析区和分支通道区位于同一平面内。在其他实施方案中,它们位于不同平面内。纳米通道分析区可以与第二纳米通道分析区流体连通,所述第二纳米通道分析区位于与第一纳米通道分析区不同的基材中。在这样的实施方案中,可以构建层叠的或三维的多分析区装置,并且可以构建包含多个纳米通道分析区的集合装置(meta-device)。
还提供了制造分析装置的方法。这些方法尤其包括将第一基材与第二基材接合,所述基材的至少一个包括至少一个宽度在约10nm到约10,000nm范围内的通道,接合产生了位于基材之间的封闭管道,所述封闭管道能够在其中运输流体。
接合可以通过阳极接合、热接合或其任何组合来实现。也可以使用化学接合。用于Si-玻璃装置的阳极接合的样品处理条件,在本文别处描述。
方法可以包括将薄膜置于第一基材、第二基材或两者的至少一部分的顶上,所述薄膜可以置于位于基材中的任何通道的至少一部分内。薄膜可用于增强基材之间的接合。
作为一个非限制性的实例,二氧化硅(或氮化硅)薄膜可用于增强(或甚至造成)硅基材与玻璃或其他基材之间的接合。也可以选择薄膜,以使封闭管道的内部的至少一部分与至少一个基材电绝缘。正如在本文中别处更详细描述的,薄膜可用于将管道的至少一部分与基材遮蔽开,其可以防止基材淬灭位于管道中的荧光团。
薄膜可以布置成将封闭管道的横截面积减小到预定值,这种减小通过构建通道的底面和侧壁以便减小可用于液体在管道中流动的横截面来实现。薄膜可以布置成将横截面积减少至少约1%、至少约5%、或甚至至少约10%或甚至25%。薄膜甚至可以布置成完全填充通道。通道可以在薄膜中蚀刻出,例如在图4和图16中所示。
基材可以包括两个或多个通道。两个基材可以各自包含至少一个通道,以便接合产生位于基材之间的两个或多个封闭管道。在两个基材都包含通道的实施方案中,可以将基材接合,以便通道至少部分彼此对齐(例如图13)。
在某些实施方案中,得到的装置的两个管道的宽度比在约1到约107的范围内,或在约100到约10,000的范围内,或甚至约为1000。
在某些实施方案中,第一基材、第二基材或两者包含电介质。第一基材、第二基材或两者可以包括半导体材料或甚至导体材料。一个或两个基材适合透过至少一种波长的电磁辐射,或甚至透过可见光。
还提供了分析方法。方法适合包括将大分子移位通过至少两个宽度逐渐减小的通道,使得当大分子位于通道的最狭窄处时其至少一部分被拉长,最宽和最窄通道的宽度比率在约1到约107、或甚至约100到约105的范围内。在某些实施方案中,将大分子移位通过宽度或横截面积逐渐减小的单通道,沿着通道的各种宽度符合上面提到的比率。
在某些实施方案中,用户可以将靶移位通过横截面尺寸在厘米范围内的入口,并且靶最终到达横截面尺寸在纳米范围内的通道。
方法还包括当分子驻留在具有10nm到约1000nm、或约50nm到约500nm、或约100nm到约200nm宽度的第一通道区域中时,检测来自分子的信号。
然后用户可以将信号与大分子的性质相关联。例如,在将样品暴露于与样品上的独特DNA序列结合的荧光标签之后,用户就可以质询样品以确定样品上是存在(还是不存在)荧光标签。用户也可以将信号的持续时间与大分子的长度或其他性质、乃至大分子通过装置的速度相关联。
信号不必由荧光分子发出;信号可以是磁性或放射性的。在某些实施方案中,当靶位于通道(或管道)中时,用户可以对其进行光学检测。信号可以是标记物激发所产生的信号,或者它可以是通过照射样品所产生的信号或反射。在可以进行样品的光学检测或信号包括电磁辐射的实施方案中,理想的、尽管不是必需的,至少一个基材(和任何居间的薄膜)是透明的。
移位可以通过施加电梯度、压力梯度、磁场、热梯度或其任何组合来实现。移位可以包括施加恒定的梯度或变化的梯度。
方法还包括将大分子移位通过至少两个宽度逐渐增加的通道。在某些实施方案中,梯度的方向可以被逆转,以逆转大分子的方向,使得至少一部分大分子重新进入通道的第一区域。因此用户可以使靶大分子在给定装置中来回移动。
这种来回控制类似于在磁带播放机中磁带的前进和倒回,在分析大分子或其他靶中是有用的,因为用户可以使靶通过纳米通道分析区,然后通过逆转梯度“倒回”大分子,然后重新分析同一个分子。这使用户能够容易地重复测量给定的靶,允许用户快速积累一大组(即统计上有用的)测量值。调整梯度的能力也允许用户将靶快速前进(或“快进”)通过分析装置的一部分,然后使靶减慢以进行分析。
检测适合通过光学、电学、磁学、电磁学手段或其组合来实现。光子计数器和显微镜适合用于执行本发明的检测。
另一方面,本发明提供了分析装置。这些装置适合包含第一基材和第二基材,第一和第二基材限定了位于基材之间的通道,第一或第二基材中的至少一个允许其特征为具有约10nm到约2500nm范围内的至少一种波长的电磁辐射至少部分通过;第一薄膜,其覆盖第一基材、第二基材或两者的至少一部分。
薄膜可以是单层材料。基材可以被多个薄膜覆盖,并且薄膜自身可以由单一材料或材料的组合构成。基材可以被一个、两个、三个或更多个独立的薄膜覆盖。在某些实施方案中,基材或薄膜可以用作波导或照明源,以加强位于装置中的靶的观察。
第一薄膜的至少一部分适当限定了位于第一和第二基材之间的通道的至少一部分,并且当用波长在约10nm到约2500nm范围内的电磁辐射对装置进行照射时,与不具有所述第一薄膜的同样装置相比,第一薄膜使装置的背景信号降低。
薄膜适合与第一基材、第二基材或两者接合。基材适合互相接合,并且可以通过薄膜进行接合。在某些实施方案中,薄膜与基材接合。在某些实施方案中,薄膜可以彼此接合。
第一薄膜适合包含氮化硅。第一薄膜也可以包含例如氧氮化硅、SiOxNy、氢化二氧化硅、氢化氮化硅、氢化氧氮化硅、高K电介质、含钛化合物:TiSiO、TiO、TiN、氧化钛、氢化氧化钛、氮化钛、氢化氮化钛、TaO、TaSiO、TaOxNy、Ta2O5、TaCN、氧化钽、氢化氧化钽、氮化钽、氢化氮化钽。
包含铪的化合物也是适合的,并包括HfO2、HfSiO2、HfZrOx、HfN、HfON、HfSiN、HfSiON、氧化铪、氢化氧化铪、氮化铪、氢化氮化铪、ZrO2、ZrSiO2、ZrN、ZrSiN、ZrON、ZrSiON、氧化锆、氢化氧化锆、氮化锆、氢化氮化锆、Al2O3、AlN、TiAlN、TaAlN、WAlN、氧化铝、氢化氧化铝、氮化铝、氢化氮化铝。
适合的材料还包括WN、低K电介质、掺氟二氧化硅、掺碳二氧化硅、多孔二氧化硅、多孔掺碳二氧化硅、旋压有机聚合电介质、石墨、石墨烯、碳纳米管、塑料、聚合物、有机分子、自组装单层、自组装多层、脂双层、上述任何化合物的氢化形式、任何上述物质的化学计量变化形式,及其组合。
第一基材、第二基材或两者可以包含玻璃、硅或二者的组合。在某些实施方案中,一个或两个基材包含石英、熔融二氧化硅、蓝宝石、碳化硅、苏打石灰、锗、锗硅、镓、铟、镉、锌、铝、不锈钢、Kapton(TM)聚合材料、聚合物、半导体材料、金属、陶瓷等。基材也可以包含这些材料的组合。
至少一个基材适合可以透过至少一种电磁辐射频率。在某些实施方案中,一个或两个基材对可见光是基本上透明的。这种透明性便于可能位于装置内的靶(例如荧光标记的大分子)的观察。
适合的玻璃包括Schott Borofloat(TM)33玻璃、Pyrex 7740(TM)玻璃、Hoya SD2(TM)玻璃、其组合等。
基材适合具有约0.01mm到约5mm、或约0.1mm到约1mm范围内、或甚至约0.5mm的厚度。
第一薄膜可以具有约1nm到约5000nm、或约10nm到约1000nm、或约50nm到约500nm、或甚至约100nm到约200nm范围内的厚度。
本发明装置的管道适合具有约5nm到约5mm、或约10nm到约1mm、或约50nm到约1微米、或约100nm到约500nm范围内的宽度。通道适合具有约5nm到约1mm、或100nm到约1000nm范围内的深度。
装置还可以包含第二薄膜。适当选择第二薄膜,使得当用波长在约10nm到约2500nm范围内的电磁辐射对装置进行照射时,与不具有所述第二薄膜的同样装置相比,装置的背景信号降低。氮化硅被认为特别适合用作薄膜。
在第二薄膜中也可以使用其他材料。这些材料尤其包括氧氮化硅、SiOxNy、氢化二氧化硅、氢化氮化硅、氢化氧氮化硅、高K电介质、含钛化合物:TiSiO、TiO、TiN、氧化钛、氢化氧化钛、氮化钛、氢化氮化钛、TaO、TaSiO、TaOxNy、Ta2O5、TaCN、氧化钽、氢化氧化钽、氮化钽、氢化氮化钽、含铪化合物:HfO2、HfSiO2、HfZrOx、HfN、HfON、HfSiN、HfSiON、氧化铪、氢化氧化铪、氮化铪、氢化氮化铪、ZrO2、ZrSiO2、ZrN、ZrSiN、ZrON、ZrSiON、氧化锆、氢化氧化锆、氮化锆、氢化氮化锆、Al2O3、AlN、TiAlN、TaAlN、WAlN、氧化铝、氢化氧化铝、氮化铝、氢化氮化铝、SiN、WN、低K电介质、掺氟二氧化硅、掺碳二氧化硅、多孔二氧化硅、多孔掺碳二氧化硅、旋压有机聚合电介质、石墨、石墨烯、碳纳米管、塑料、聚合物、有机分子、自组装单层、自组装多层、脂双层、上述任何化合物的氢化形式、任何上述物质的化学计量变化形式、其组合等。
第二薄膜适合具有约1nm到约5000nm、或约100nm到约1000nm,、或甚至约300nm到约500nm范围内的厚度。可以选择薄膜以阻止或减少位于装置中的荧光分子被暴露于第一基材、第二基材或二者所淬灭。还可以选择薄膜以降低装置发出的背景信号。
本发明还提供了分析装置。这些装置适合包含基材,所述基材被构造成限定了封闭在基材内的通道,并且所述基材可以透过至少一种频率分量在约10nm到约2500nm范围内的电磁辐射。
通道适合以管道为特征,尽管其他构造也在本发明的范围内。通道也适合具有至少一个在约5nm到约5mm范围内、或约50nm到约500nm、或甚至约75nm到约100nm范围内的横截面尺寸(例如宽度、直径)。通道适合由氮化硅形成,但是也可以使用基本上可以透过至少一种波长的电磁辐射的其他材料。
氮化硅被认为是特别适合的,这是因为如本文别处所述,该材料可充分透过可见光(或其他波长),从而便于放置在其中的样品的观察。此外,正如图19所示,氮化硅不对位于附近的荧光团执行淬灭,这进一步便于位于装置中的标记的靶的分析。
还提供了制造分析装置的方法。这些方法尤其包含布置第一基材、第二基材和第一薄膜层,以便限定位于第一和第二基材之间的通道。
适当选择第一层,使得当用波长在约10nm到约2500nm范围内的电磁辐射对装置进行照射时,与不具有所述薄膜的同样装置相比,所述层使装置的背景信号降低。第一薄膜层适合与第一基材、第二基材或两者接合。
某些基材(例如石英与石英)可以彼此直接接合。在某些实施方案中,基材通过薄膜彼此接合;薄膜可以接合到一个或多个基材上,甚至可以接合到另一个薄膜上。正如别处所述,薄膜(例如氧化物)可以增强(或甚至产生)两个基材之间的接合。
第二薄膜层可以与第一基材、第二基材、第一薄膜层或其组合接合。接合可以是阳极、热、化学接合,或者通过本技术领域的专业人员已知的其他方法。
适当选择第一薄膜层(或其他薄膜层),使得薄膜层减少(或最小化)位于装置内的荧光团的淬灭。不受任何具体理论的限制,薄膜可以用作荧光团与一个或多个装置基材之间的遮蔽物。
在某些实施方案中,薄膜用于在荧光团与基材之间提供物理分离;没有薄膜,荧光团将驻留在与基材材料相对接近,当荧光团驻留在作为“暗井”的通道内时,荧光团可能被基材材料降低或淬灭。氮化硅被认为是用于减少淬灭的适合材料。
还提供了制造分析装置的方法。这些方法包含将牺牲材料或模板置于包含透过波长在约10nm到约5000nm范围内的电磁辐射的材料的工件内。然后用户去除牺牲模板的至少一部分以便产生位于工件内的通道,并且通道的至少一部分具有约5nm到约5000nm范围内的横截面尺寸。
在一个实施方案中,将管、索或其他牺牲材料包埋在透过辐射的材料中;这可以通过平版印刷工艺、通过透过辐射材料的软化或通过其他方法来实现。然后通过加热、蚀刻、汽化或其他方法去除牺牲材料,以便在透过辐射基材中留下通道。因此,控制牺牲材料的尺寸和取向,使用户能够获得各种不同尺寸和几何形状的通道。
通道适合具有至少一个在约5nm到约5000nm、或约10nm到约1000nm、或约50nm到约500nm范围内的横截面尺寸(例如直径、宽度或甚至深度)。通道可以具有恒定的横截面或变化的横截面。给定装置可以包含两个或多个通道,所述通道可以彼此流体连通。
还提供了分析荧光标记分子的方法。方法包含将荧光标记分子的至少一部分置于分析装置的通道内,装置适合具有至少第一基材、第二基材和第一薄膜,并且第一薄膜被构造成产生位于第一和第二基材之间的通道。
装置适合包含与第一基材、第二基材或两者接合的第一薄膜。当用激发波长在约10nm到约2500nm范围内的电磁辐射对样品进行照射时,荧光标记分子适于能够发出发射波长的电磁辐射,并且当用激发波长的电磁辐射照射装置时,与不含所述第一薄膜的相同装置相比,第一薄膜适当降低了装置的背景信号。然后用户收集从荧光标记分子发出的发射波长的电磁辐射。
装置的背景信号可归因于第一基材、第二基材或两者。在某些实施方案中,薄膜的添加能够增加装置(例如二氧化硅)的背景信号。
本发明的装置可以包含两个基材,其中一个或多个通道被蚀刻在底部基材、透明基材或两者中,如非限制性的图13中所示。正如该图所示,底部基材在接合之前被提供有“底薄膜”以降低背景,并且透明基材(在某些实施方案中)也可以提供有“顶薄膜”。
底薄膜和顶薄膜适合与透明基材和底部基材相符,如图13(a)、(b)和(c)中所示。一个或多个薄膜适合与一个或多个基材接合。在某些实施方案中,薄膜可以彼此接合,基材也可以彼此接合。在某些实施方案中,通道形成在端面基材、涂层或两者中,并且通道可以布置成彼此对齐,以便产生由两个被布置成彼此对齐的通道限定的“复合”通道(例如图13(b)、图14(b)、图15(b)和图16(b))。
基材或薄膜可以具有在其上形成的通道、柱、斜面、隆起或甚至缺口。在某些实施方案中,彼此接合的基材上各具有成图案和蚀刻的不同部件,使得基材的彼此接合产生具有基材部件组合的装置。作为一个非限制性的实例,上部基材可以蚀刻有一组相对宽的通道,下部基材可以有微型柱阵列的图案,其布置成当基材接合在一起时,下部基材的柱位于上部基材的通道中。这样的装置可以与图9中显示的装置类似。
在某些实施方案中,使用一个或多个阀调节流体在装置中的流动。作为一个实例,阀可以位于装置的入口或出口处。
图14和图15描绘了具有两个基材和仅仅单个薄膜层的装置。单个薄膜层适合与至少一个基材相符,如图14(底部/下部基材上的底薄膜)和图15(上部透明基材上的顶薄膜)中所示。也可以存在(没有显示)具有单个基材和单个薄膜的实施方案,通道仅仅由该单个基材和该单个薄膜限定。
图16和图17显示了其它实施方案。如那些图中所示,通道可以形成在薄膜中(与在基材中相反,如图13、图14和图15中所示)。在这些其他构造中,可以使用平面基材,并可以布置(例如沉积、生长)薄膜以便使得产生沟、狭槽或其他通道。可选地,可以布置薄膜,然后去除部分薄膜(例如通过蚀刻、消融或通过其他技术)以便产生所需尺寸和取向的通道。
在其他实施方案中(图14(b)),取决于用户的需要,通道可以在基材和薄膜层二者中形成。通道可以形成在上部或下部基材上的薄膜中。
在操作过程中,封闭通道适合包含其中含有目标被标记体的介质(例如图17)。适宜的是,被标记体包含在通道中被穿透透明基材(以及在某些实施方案中的薄膜)的电磁辐射荧光激发的荧光团,然后被激发的标记物发出的电磁信号穿过透明基材返回,然后检测发射(图17(a))。
其他可能的实施方案包括在从封闭通道发射电磁辐射信号穿过透明基材之前,使用多个能量转移步骤(例如荧光共振能量转移,“FRET”)的那些构造。图17仅仅是示例性的,其他检测方案可以与本发明一起使用;图17(b)显示了其中底部基材对信号的电磁辐射波长透明的实施方案。用户也可以检测磁、放射活性或电信号。
透明层
透明基材(例如图13中的上部基材)适合是能够与底部基材永久接合的材料,或者对目标频率的电磁辐射透明,或两者。
适合的基材材料是允许可见光至少部分通过、同时还在约0℃到约Tb的温度范围内具有与底部基材相似的热膨胀特性的玻璃或其他材料,其中Tb是接合温度。玻璃可以适合是Schott Borofloat 33(TM)、Pyrex7740(TM)、或Hoya SD2(TM)和底部基材硅。
其他适合的基材包括石英、熔融二氧化硅、玻璃、熔融石英、蓝宝石、碳化硅和钠钙玻璃。基材厚度适合在0.01mm到5mm、或甚至在0.01到0.3mm之间。基材可以具有均匀的厚度或变化的厚度。
装置可以采用芯片、载片的形式或其他可插入形式。装置可以插入到读数器/检测器装置中,或装置可以整合在读数器/检测器装置中。装置可以包括一个或多个用于进行分析的室或通道,所述分析可以在多个样品上平行地进行。
接合方法适合是任何能够永久接合透明基材和底部基材的方法,例如阳极接合。其他接合方法包括但不限于:熔合、热、直接、等离子体活化、化学活化、介电聚合物和粘合剂接合方案。
底薄膜
底薄膜(例如图13中所示)适合与底部基材的组成不同,并用于减小通道和周围区域的背景信号。该薄膜材料可以通过生长、沉积、蒸发、溅射、旋压薄膜、层压或镀层,施加在底部基材上。材料可以在通道或其他流体元件蚀刻之后、或通道或其他结构蚀刻之前施加,在这种情况下通道或其他结构(例如流体元件)被蚀刻在薄膜中,如图16中所示。
如果材料是二氧化硅,它可以热生长,或者如果材料是氮化硅,它可以通过低压化学气相沉积(LPCVD)或原子层沉积(ALD)方法沉积。
各种沉积/施加方法可用于底薄膜,包括:物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强的化学气相沉积(PECVD)、大气压CVD(APCVD)、超高真空CVD(UHVCVD)、气溶胶辅助的CVD(SSCVD)、直接液体注射CVD(DLICVD)、微波等离子体辅助的CVD(MPCVD)、原子层沉积(ALD)、原子层CVD、外延附生、分子束外延(MBE)、金属有机气相外延(MOVPE)、有机金属气相外延(OMVPE)、金属有机化学气相沉积(MOVCD)、有机金属化学气相沉积(OMCVD)、气相外延(VPE)、镀层、蒸发、热蒸发、电子束蒸发、脉冲激光沉积、阴极弧沉积、溅射、化学溶液沉积、旋压薄膜、langmuir-blodgett膜、喷涂薄膜等。
底薄膜材料的厚度可以在约1nm到约5000nm、或约500nm到约1000nm之间变化。厚度不必是均匀的,并且适合在约20到约500nm之间。如附图中所示,薄膜可以与薄膜所接触的基材的表面轮廓相符。
薄膜材料适合是至少部分电绝缘的材料。材料选择可以是氮化硅(SiNx或Si3N4)。其他可能性包括但不限于:电介质、陶瓷、二氧化硅(SiO2)、氧化硅、玻璃、石英、熔融二氧化硅、SiOx、氧氮化硅、SiNxOy、氢化二氧化硅、氢化氮化硅、氢化氧氮化硅。
高K电介质和含钛化合物(TiSiO、TiO、TiN、二氧化钛、氢化二氧化钛、氮化钛、氢化氮化钛)也是适合的。同样地,含钽化合物:TaO、TaSiO、TaOxNy、Ta2O5、TaCN、氧化钽、氢化氧化钽、氮化钽、氢化氮化钽是适合的。
铪化合物例如HfO2、HfSiO2、HfZrOx、HfN、HfON、HfSiN、HfSiON、氧化铪、氢化氧化铪、氮化铪、氢化氮化铪、锆化合物(ZrO2、ZrSiO2、ZrN、ZrSiN、ZrON、ZrSiON、氧化锆、氢化氧化锆、氮化锆、氢化氮化锆也是适合的。铝化合物包括Al2O3、AlN、TiAlN、TaAlN、WAlN、氧化铝、氢化氧化铝、氮化铝和氢化氮化铝,是有用的。
SiN、WN、低K电介质、掺氟二氧化硅、掺碳二氧化硅、多孔二氧化硅和多孔掺碳二氧化硅也是适合的。某些实施方案可以包括旋压有机聚合电介质、石墨、石墨烯、碳纳米管、塑料、聚合物、有机分子、自组装单层、自组装多层、脂质双层或任何上述化合物的氢化形式、上述化合物的化学计量变化形式(例如SiOx而不是SiO2;TaxOy代替Ta2O5)、其组合等。
适当选择底薄膜的材料、施加、形态和拓扑结构,以便相对于位于通道中的目标体发出的信号,降低装置的有效背景信号,并在也适于降低或甚至最小化用于观察待分析样品的荧光(或其他)标记物的淬灭。牢记这一指导方针,本技术领域的专业人员在根据用于评估(即激发)目标体的一个或多个波长处从通道发出的信号来选择最适薄膜时,以及在某些实施方案中对信号-背景比率水平进行优化时,将很少遇到困难。
顶薄膜
顶薄膜材料的组成、施加方法、拓扑结构、形态和厚度范围适合与底薄膜相同,差别在于顶薄膜施加到上部透明基材上而不是下部基材上,并且它在特定芯片实施方案中可能不是必需的。
适当选择顶部或上部薄膜的材料、施加、形态和拓扑结构,以便相对于位于通道中的目标体发出的信号,降低装置的有效背景信号,并也适合降低或甚至最小化用于观察待分析样品的荧光(或其他)标记物的淬灭。牢记这一指导方针,本技术领域的专业人员在根据用于评估(即激发)目标体的一个或多个波长处从通道发出的信号来选择最适薄膜时,以及在某些实施方案中对信号-背景比率水平进行优化时,将很少遇到困难。
封闭通道
封闭通道的宽度在通道内可以从约5nm到约5mm不等。封闭通道的深度在通道内适合从约5nm到约1mm不等。封闭通道的宽度在通道内可以从约5nm到约50微米不等,并且封闭通道的深度在通道内可以从约5nm到约50微米不等。在某些实施方案中,通道限定了统一深度和横截面的通道,尽管可能根据用户需要的指令,通道可以具有变化的深度或横截面。作为一个实例,通道可以从相对宽的入口缩窄成较窄的通路或通道,或可以从窄的入口变宽。正如在附图中所示,通道可以包括各种障碍物或其他结构,它们从通道的底面伸展到其顶面,或沿着至少一部分通道的高度延伸,如图20和图21所示,这些图显示了(向下看)横截面为ochannel或长方形的障碍物的顶部。障碍物可以是柱、弯曲等。
封闭通道适合含有在介质中的目标体,所述介质可以是流体例如液体。适合的介质包括气体、液体、固体、等离子体、真空、蒸汽、胶体、其组合等。介质可以是缓冲剂、防腐剂等。
通道可以是单个或多个,而且两个或多个通道可以彼此相连,并且在某些实施方案中,可以与共同的储液器相连。通道可以是排成阵列的或多路的,以允许同时分析多个分析物。用于制造这样的通道的方法包括纳米压印刻印法、光刻法、电子束刻印法、干涉刻印法、阴影掩模法、全息刻印法、离子束刻印法和本技术领域的专业人员已知的其他方法。
通道适合是横截面为正方形或长方形的通道(如图13中所示),但是也可以是圆形、椭圆或不规则的横截面,正如由用户的需要或方法的制约所决定的。通道的横截面可以沿着一个或多个维度变化。
纳米粒子、荧光团等也可以置于通道内。能够与置于纳米通道内(或移位通过纳米通道)的大分子相互作用的部分(moietites)可以置于通道内,以便提供能够根据大分子的一部分与置于通道中的物件的相互作用而产生信号的装置。
通道也可以包括一个或多个入口或出口。这样的特点可以允许从侧方、上方、下方或基本上任何方向进入通道。具有以两个或三个维度布置的通道和其他流体元件的装置在本发明的范围内,并且通道适合与一个或多个入口、出口或两者流体连通。
底部基材
底部基材由半导体、绝缘或导电的任何基材材料构成,并适合能够与透明基材通过底薄膜、顶薄膜或两者接合。
底部基材不是必须对目标电磁频率透明。尽管硅是特别适合的,但其他材料选择包括SiGe、Ge、应变硅、GeSbTe、AlGaAs、AlGaInP、AlGaN、AlGaP、GaAsP、GaAs、GaN、GaP、InAlAs、InAlP、InSb、GaInAlAs、GaInAlN、GaInAsN、GaInAsP、GaInAs、GaInN、GaInP、GaSb、InN、InP、CdSe、CdTe、硒化锌(ZnSe)、HgCdTe、ZnO、ZnTe、硫化锌(ZnS)、铝、氧化铝、不锈钢、Kapton(TM)、金属、陶瓷、塑料、聚合物、蓝宝石、碳化硅、绝缘体上的硅(SOI)、astrosital、硼酸钡、氟化钡、软铋矿晶体BGO/BSO/BTO、锗酸铋、方解石、氟化钙、碘化铯、Fe:LiNbO3、熔融石英、石英、熔融二氧化硅、玻璃、SiO2、镓、钆榴石、磷酸二氢钾(KDP)、KRS-5、磷酸钛氧钾、钼酸铅、氟化锂、碘酸锂、铌酸锂、钽酸锂、氟化镁、溴化钾、二氧化钛、氯化钠、二氧化碲、硒化锌、旋压玻璃、可UV固化的材料、钠钙玻璃、任何上述化合物的氢化形式、上述化合物的化学计量变化形式等,及其任何组合。
基材的厚度适合在约0.01mm到约5mm之间。厚度也可以介于约0.1mm到约1mm之间。
尽管可以使用各种标记物来分析目标体,但发光标记物在本技术领域中是公知的,并被认为特别适合用于本发明。用于分析目标体的发光标记物典型利用荧光、发光、化学发光、磷光等进行激发;荧光是常用的方法。适合的标记物包括有机荧光团、量子点、金属点、聚合物珠、镧系元素螯合物、纳粒、荧光珠、磷光珠、半导体纳粒、树枝状聚合物、分子触角(molecular antennae)等,及其任何组合。TOTO-3是一种示例性荧光团;也可以使用其他荧光团。
用于分析的靶适合包括分子、大分子、单链DNA、双链DNA、单链核酸聚合物、双链核酸聚合物、RNA、聚合物、单体、酶、蛋白、肽、共轭大分子、自组装大分子、细胞成分碎片、细胞器、病毒等,及其任何组合。本发明被认为特别适合用于DNA分析。
本发明还提供了降低分析装置的背景信号的方法,所述方法包含将底薄膜置于底部基材、透明基材或两者上,底部基材进一步限定了通道的至少一个边界;底薄膜能够降低通道在特定电磁辐射波长处发出的信号。
激发光的波长在约1000nm到约300nm的范围内。取决于使用的荧光标记物,可以选择最适于激发标记物的激发波长。例如,TOTO-3标记物适于被红色(例如635nm)范围内的光激发,并且来自这种激发标记物的可以被检测的信号,可以被送过带通滤波器(665-705nm)以除去反射的激发光。
接合
接合方法可以是任何适合的接合透明基材与底部基材的方法。在某些实施方案中,接合方法是阳极接合。其他接合方法包括但不限于:熔合接合、热接合、直接接触接合、等离子体活化接合、直接氧化物接合、聚合物接合、金属-金属接合、热压缩接合、共晶接合、化学活化接合、超声接合、介电聚合物接合、粘合剂接合、范德华力接合,及其任何组合。
实施例和非限制性实施方案
实施例1
图18显示了获取的封闭通道的边缘的一系列荧光图像,显示了通道和接合区。激发波长是红光(635nm),检测信号经过带通滤波器(665-705nm)以除去任何反射的激发光。随着氧化硅厚度的增加,在高于635nm的波长区域中,透明基材与底部基材通过薄膜接合的区域中的背景产生了升高的背景量,而通道区维持低背景。应该指出,使用绿光(532nm)和蓝光(473nm)测量的背景水平没有显示出随氧化硅厚度的变化。在本实施例中,氧化硅使用PECVD沉积,并且通道充有空气。图像使用EMCCD相机获取。
因此,图18说明了使用在暴露于也可用于引发特定标记物发射的辐射时产生背景信号的薄膜层所提出的挑战。正如图18中所示,带有SiOx薄膜的装置在一定的波长范围内产生相对高的背景水平,这对试图从(在暴露于激发辐射时)发出与装置的背景信号相同波长的辐射的标记样品上分析信号的用户,提出了挑战。换句话说,在该图中显示的SiOx装置具有相对低的信噪比,这为试图在装置的相对高的背景信号下挑出并分析标记样品的用户,提出了挑战。
较高的背景水平使在通道中接近边缘处检测来自目标体的弱信号变得困难或不可能。这在通道的宽度非常窄(接近或小于激发辐射的波长,正如在通道是纳米通道的情况下)时特别成问题,在这种情况下被标记的目标体必须具有足够的信号强度以超越背景。但是,正如前面所陈述的,除去氧化硅薄膜以降低背景,将引起被标记体的淬灭。
实施例2
图19显示了与图18相同的实验,区别在于将氧化硅薄膜用氮化硅薄膜代替。选择氮化硅是因为它是半导体工业中常用的介电材料,因此在大多数半导体铸造厂中可以充分获得。在本实施例中,没有与氮化物厚度相关的背景增加。
图19显示了获取的封闭通道的边缘的一系列荧光图像,显示了通道和接合区。激发波长是红光(635nm),检测信号经过带通滤波器(665-705nm)以除去任何反射的激发光。随着氮化硅厚度的增加,透明基材与底部基材通过薄膜接合的区域中的背景没有显示出明显的增加或降低。使用绿光(532nm)和蓝光(473nm)测量的背景水平没有显示出随氮化硅厚度发生变化。在本实施例中,氮化硅使用PECVD沉积,并且封闭通道充有空气。图像使用EMCCD相机获取。
实施例3
在本实施例中,如图8所示,将用嵌入染料(TOTO-3)标记的双链人类基因DNA,在流体中流过带有58nm SiOx薄膜的各种不同宽度的封闭通道。随着通道宽度的降低,由于底部基材通过SiOx薄膜与透明基材接合的区域所发出的高背景水平,DNA变得可见性降低。
图20显示了(a)DNA在各种不同宽度的封闭通道中的荧光图像。由于在接合区中产生的高背景,通道之间的边界和接合区清晰可见,以及(b)DNA在宽度为100nm的通道中的荧光图像。在该宽度下,由于源自于接合区(即一个基材与另一个基材接合的区域)的背景,DNA几乎不可见。由于纳米通道非常窄的宽度,背景显得一致地高。图的(c)部分显示了从其获取图像(a)和(b)的流体芯片的示意图。使用PECVD将SiOx在蚀刻的硅基材上沉积到58nm的厚度,并将由Schott Borofloat33(TM)构成的透明玻璃基材阳极接合到SiOx覆盖的硅上。将TOTO-3标记的DNA用红光(635nm)激发,并将检测信号经过带通滤波器(665-705nm)以除去任何反射的激发光。
如图(例如图20(b))中所示,SiOx薄膜导致装置具有与标记样品相比相对高的背景信号(在相关波长处)。这种相对高的背景使得在通道中接近边缘处难以检测来自目标体(例如标记的DNA)的弱信号。当通道宽度非常窄,例如宽度接近或甚至小于激发辐射的波长时,正如当通道是纳米尺度宽度的通道的情况下,这种现象特别鲜明。在这些情况下,目标标记体必须具有足够的信号强度以克服背景,但是对于可以放置在目标体上的标记物的数量和亮度,可能存在限制,以及对可用于激发被标记体的辐射的强度也存在限制。此外,正如在本文别处解释的,除去氧化硅薄膜以降低背景可能导致被标记体的淬灭,使分析更加困难。
实施例4
在图21中所示的本实施例中,将用嵌入染料(TOTO-3)标记的DNA,在流体中流过带有58nm SiNx薄膜的各种不同宽度的封闭通道。随着通道宽度的降低,由于与图20中的SiOx薄膜相比,在底部基材通过SiNx薄膜与透明基材接合的区域中的背景水平没有增加,DNA仍然可见。
图21在(a)部分中显示了DNA在各种不同宽度的封闭通道中的荧光图像。与图20(a)不同,由于背景低,通道边界不可见。图21(b)显示了DNA在宽度为100nm的通道中的荧光图像。标记的DNA的SBR明显高于图20(b)中所显示的。图21(c)是所获取的芯片图像(a)和(b)的封闭通道芯片的示意图。
在该非限制性的实施方案中,使用PECVD将SiNx在蚀刻的硅基材上沉积到58nm的厚度。将由Schott Borofloat 33(TM)构成的透明玻璃基材阳极接合到SiNx覆盖的硅基材上。将TOTO-3标记的DNA用红光(635nm)激发,并将检测信号经过带通滤波器(665-705nm)以除去任何反射的激发光。
SiNx薄膜(图21)与SiOx薄膜(图20)的比较也用于突出本发明的另一方面。如图20和图21中所示,当用激发辐射进行照射时,SiNx薄膜(当与SiOx薄膜相比时)允许所研究的荧光标记分子发荧光,而不是分子被淬灭并至少部分失去其发出发射波长的辐射的能力。
因此,在某些实施方案中,选择一种或多种薄膜降低分析装置的背景信号的能力(对比图18——示出了使用SiOx作为薄膜的样品装置的背景特征——与图19——显示了使用SiNx作为薄膜的样品装置的背景特征)。还可以选择薄膜在受激发时允许荧光标记的靶发荧光而不淬灭标记物的荧光的能力(对比图20(b)——示出了基材可能对荧光标记样品发挥的淬灭效应——与图21(b)——示出了使用SiNx薄膜时表现出的没有淬灭)。
不受任何具体理论的限制,特定薄膜材料可能为荧光分子遮蔽了在荧光分子暴露于激发辐射期间可能从基材(或其他来源)反射的辐射。此外,不受任何具体的解释理论的限制,通过遮蔽或吸收在荧光分子暴露于激发辐射期间可能从基材反射的特定波长的辐射,薄膜材料可以实现它对来自装置的背景信号的降低。
尽管所公开的非限制性实施方案强调的是在对置于具有SiNx薄膜和Si与Borofloat 33(TM)基材的装置中用红光(635nm)激发的TOTO-3标记的DNA进行分析的过程中本发明的优点,但本发明不限于这种样品实施方案。正如在本文别处所描述的,本发明的基材和薄膜可以包括许多不同材料,并且具有普通专业技术的用户可以容易地发现用于特定分析方法的薄膜、标记物/荧光和基材的最优组合。在某些实施方案中,通过选择适合的薄膜,本发明可以使用户降低装置的背景信号,降低装置对置于装置中的荧光团可能执行的淬灭。
正如在本文别处解释的,淬灭或以其它方式限制荧光团或其他标记物反射或发出辐射的能力可能是不利的,因为这样的淬灭限制了用户针对背景分辨靶的能力。通过避免(或至少减少)这种淬灭,本发明增强了用户针对背景分辨这种标记物的存在或位置的能力。SiNx是一种不淬灭荧光团发荧光能力(同时也降低分析装置的背景,如图7和图21中所示)的材料。本技术领域具有普通专业知识的用户将可以容易地鉴定其他降低背景同时也将淬灭最小化的材料。
在某些实施方案中,装置包括位于室材料(例如SiNx)中的通道或室,所述材料本身是相对低背景的材料,其使荧光团在位于区室中暴露于激发辐射时的淬灭最小化。可以通过例如将牺牲材料置于室材料中并选择性去除牺牲材料,以便留下基本上与被去除的牺牲材料相一致的通道,来形成这样的室。
示例性实施方案
图1显示了本发明的装置的示意图。该图中的装置包括两个彼此接合的基材A和B。基材A具有厚度DA,基材B(两个基材中上方的)具有厚度DB
如图中所示,端口(其可以是入口或出口)贯穿基材A或B,以便使装置上的纳米尺度结构与装置外部的环境流体连通。在某些实施方案中,端口贯穿整个装置,并且在某些实施方案中允许从其导入或排出流体。
互连区(interconnects)——其可以是微米尺度的通道或管道——使端口与位于装置上的前端(FE)结构流体连通。端口可以贯穿基材的整个厚度或部分贯穿基材的厚度。
FE结构可用于部分伸展或拉长可以在装置中分析的大分子(例如DNA)。大分子的拉长在美国专利申请10/484,293中进一步解释,其全部内容在此引为参考。适合的FE结构在本文别处描述,并可以包括鸦形(crow-form)通道、鹰形(eagle-form)通道、柱、桩和可用于拉长靠着结构流动或流过结构的缠绕或折叠体的其他结构。这样的结构适合在一个或两个基材上形成图案。
在图1中还显示了纳米通道阵列装置,所述装置可以制造在基材A、基材B或其某些组合上(例如阵列的某些部分制造在基材A上,而其他部分制造在基材B上)。适合的纳米通道和用于分析置于纳米通道中的大分子的方法,都描述在美国专利申请10/484,293中,其全部内容在此引为参考。
在某些实施方案中,分析方法包括将DNA靶暴露于一种或多种标记物,使DNA靶移位通过本申请的装置,以及查询(例如通过光学)DNA靶中标记物的存在(或不存在)。荧光染料和相关仪器被认为适合用于这种分析。
纳米通道阵列可以包括一个或多个纳米通道,其可以排列成平行的、蛇形的、会聚的、分支的、曲折的、弯曲的或其他这样的样式,正如在附图中显示的。
在一个非限制性的实施方案中,纳米通道阵列包括单个自身对折回的纳米通道,如图10中所示。纳米通道可以具有恒定或变化的横截面,并且同一装置上存在的多个纳米通道可以具有不同尺寸。
图1中显示的装置在某些实施方案中还包括后端(BE)结构,其可以置于纳米通道阵列与端口、出口或其他管道之间。BE结构适合是适于FE结构的构造(在本文别处描述),并可以包括一个或多个通道、柱、障碍物等。这样的BE结构适合协助将靶(例如大分子)从纳米通道分析区运输到互连区或其他管道。BE可以协助将靶从纳米尺度(例如纳米通道)环境运输到包含较大(微米尺寸或更大的)结构的环境中。
图1的装置可以具有变化的尺寸。该装置适合具有约0.1mm到约100mm的长度(L),约0.1mm到约100mm的宽度(W),并且基材(显示为A和B)适合具有约10nm到约10mm范围内的厚度。给定装置可以具有1到约1000个独立的纳米通道阵列装置,并且装置甚至可以具有约2到500个独立的端口。阵列和端口的最适数量将取决于用户的需要。
图2(a)描绘了示例性纳米装置芯片,其中红色箭头指示了在图2到图5中显示的装置的横截面视图的方向。图2(b)描绘了本发明的示例性的、非限制性的制造流程。在该实施方案中,流体元件形成在最下方的基材上,然后最下方的基材与上部基材接合(例如阳极接合),所述上部基材可以是玻璃或适合的透明材料。
图3(a)显示了示例性制造流程,其中通过热氧化物的生长或共形沉积方法例如原子层沉积(ALD),对其上蚀刻有通道元件的一个基材(基材A或B)在其表面上进行涂层,然后通过熔合或阳极接合将所述基材与第二基材接合。图3(b)显示了基材的非限制性制造流程,其中在上部基材中蚀刻出通道元件,所述上部基材适合是透明的玻璃,并可以与在整个表面或仅仅在接合表面上热生长或以其它方式沉积有薄膜(例如二氧化硅)的下部(例如硅)基材进行阳极接合。通道可以蚀刻在两个基材上;当基材彼此接合时,形成了多个通道,或者如果基材上的通道是彼此对齐的,可以形成单一通道(图13)。
图4(a)描绘了两个基材(基材A和B)的示例性制造流程,其中通道元件蚀刻在两个基材中,然后通过热氧化物生长或共形沉积方法例如ALD进行底部基材涂层的后续步骤。然后通过熔合或阳极接合将基材接合在一起,使在相对基材表面上的至少部分通道重合。图4(b)描绘了另一个非限制性的制造流程,其中涂层沉积在两个基材上,然后将通道元件蚀刻在涂层中和下部基材中,然后通过熔合或阳极接合将基材接合在一起,使在相对接合表面上的至少部分通道重合。
图5(a)描绘了示例性的纳米装置芯片,其中箭头指示了在图5到图11中显示的装置上通道样式的俯视图方向。
图5(b)描绘了4端口例实施方案和2端口例实施方案构造的非限制性布局。箭头指示样品(例如DNA)流动的方向。样品不是必须以显示的方向流动,并且流动方向可以按照需要停止或甚至逆转。
本实施方案描绘了端口、互连区、FE和BE区与纳米通道阵列之间的一种合适的关系。通过以这样的方式排列这些组分,装置能够在宽泛的长度尺度范围内操作靶(例如DNA或其他大分子),从入口的厘米尺度(10-2m)到互连区和FE/BE区的毫米尺度(10-3m),一直降低到纳米通道分析区中纳米通道的纳米(10-9m)范围。尽管分析区在图5中被标记为“纳米通道阵列区”,但分析区可以包括单个纳米通道或没有排列成阵列状形式的纳米通道。
图6(a)描绘了多端口装置设计的示例实施方案。图6(a)中的设计具有16个端口,包括8个独立的2端口装置。图6(b)描绘了具有16个端口的设计,包括4个独立的4端口装置。这些实施方案允许用户同时分析多个不同的靶。
图7(a)描绘了多级分支通道阵列。在该实例中,存在5个层叠道阵列,通道具有逐渐减小的横截面尺寸,并且通道通过位于图7(a)底部中桥接微流体入口通道和纳米通道分析区的5级分岔相连。分岔之间的距离适合为约50微米,并且两个较小的通道适合在每个分支处将原始通道的横截面积对半分开。
正如所示,在每个分岔处通道被分成两个较小的通道。分支角度适合在约30°到约60°之间,但是它也可以在约0到约90°范围内,并且M适合为约0.4到约0.6W。对于命名问题来说,其中通道被尖的或三角形分岔结构分开的实施方案,例如图7中显示的装置,被称为“鸦式”装置或“鸦式”通道,其在本文别处更详细描述。
在分析过程中,靶(例如流体携带的大分子)可以通过1到15个或以上分支的通道,每个分支通道的长度(L)可以为约5到约80微米不等。用户可以改变分岔的数量和次级通道与主通道的相对尺寸,以便能够可控地移动,用于将靶从相对大的入口移动到本发明装置的纳米尺度的纳米通道分析区中。可以使用多级分支的通道结构(图7)。
图7(b)显示了将两个不同尺寸的通道阵列相互连接的带分支的分岔口的扫描电子显微镜(SEM)照片。图7(c)显示了在分岔处具有相对尖锐岔口的分支分岔设计的草图,但是分岔处的角度也可以为约0到约90°。
图7(d)是从荧光标记分子在通道内移动的视频获取的图像,突出了通道和互连分岔。图7(e)是从大通道移动到较狭窄的分支通道中的单个相对长的基因组DNA分子的荧光照片,其中分子被拉长了。在分岔处可以看见尖锐的岔口,由单个DNA分子勾勒出轮廓。
在图7中,使用了多个“鸦”式结构,使得进入显示在图的顶部的互连区中的大分子或其他靶,在所述靶进入显示在图的底部的纳米通道阵列区之前,将通过5个(或以上)分岔/分岔口。
正如所讨论的,分岔之间的距离可以是约50微米(但是相隔距离可以大于或小于50微米),并且从每个分岔处出现的较小的通道各自为每个分支处原始通道的尺寸的大约一半。因此,可得到包含在次级(或“分支”)通道中的流体的总横截面积与主(或“干”)通道的横截面积大约相等。通过沿着分支通道装置的长度维持基本上恒定的可用于流体流动的横截面积,本公开的装置使可能由通道的横截面积变窄或变宽所引起的流动场的改变和紊乱降到最低。
图8(a)描绘了备选的、多级分支的互连通道阵列的第二种设计的示意图。图8(b)显示了一个将两个不同尺寸的通道阵列互连的分支分岔的扫描电子显微(SEM)照片。
图8(c)显示了分支分岔设计,其在分岔附近具有更圆滑或曲线形的弯曲。图8(d)显示了从在通道内移动的荧光标记分子的视频获取的图像,突出了通道和互连分岔,而图8(e)显示了从大通道移动并伸长到分支的更狭窄通道中的单个长基因组DNA分子的荧光图像。可以看到由单个DNA分子的勾勒的分岔处的两个不同水平的起伏弯曲。
对于荧光图像来说,DNA样品由人类男性基因组DNA构成,其用嵌入染料(YOYO-1)以每个染料分子5个碱基对的比率染色。将DNA以5ng/μL的浓度悬浮在0.5X TBE缓冲液中。使用毛细管流或通过具有0-50V范围内的施加电压的电场使DNA流入纳米通道。样品的激发使用发光二极管进行,荧光发射通过60X物镜收集,并使用电子倍增CCD相机进行检测。
因此,图8描绘了符合“鹰式”构造的通道。正如所示,将主通道分成支通道的分岔适合是圆滑结构,例如圆滑的柱。分岔的直径或有效横截面适合使得分岔的边缘突出到分岔前的通道中。
不受任何特定理论的限制,在这种构造中,在通道中沿着电场路径(例如来自施加的梯度)的大分子(或其他靶),将更可能进入后继通道的中心而不是边缘,正如图中所示。因此,靶进入分支网络中的某些通道的可能性较其他通道小,结果是纳米通道阵列中纳米通道的负载更均匀。
在一个示例性实施方案中,M是W的0.3到0.7倍,X是W的0.2到0.5倍。靶在到达纳米通道阵列之前可以经过的分岔的数量可以是2到15个,每个分支通道的长度(L)可以为5到80微米不等。
在某些实施方案中,使用了多个“鹰”式结构,在靶进入纳米通道阵列区之前,每个鹰式结构中的分岔数量是5。在该非限制性实施方案中,分岔之间的距离是50微米(但是该距离可以大于或小于50微米),两个较小的(分支)通道为原始通道的一半,使得在沿着装置长度的任何平面处可用于流体流动的总横截面积是相同的。
图9(a)显示了另一种设计的示意图,显示了分支通道与柱阵列的组合。在一个实施方案中,分支通道阵列彼此互连,并且在通道内是柱的阵列。图9(b)显示了扫描电子显微(SEM)照片,其显示了包埋在通道中的密集的圆形柱阵列。
图9(c)显示了具有分支通道和柱阵列的设计的示意图。在一个带有彼此相连的多级分支通道的实施方案中,存在尺寸逐渐减小并且密度逐渐增加的菱形支柱的阵列。图9(d)显示了扫描电子显微(SEM)照片,其显示了在与较小尺寸的下游通道互连的通道中包埋的密集的柱阵列。图9(e)显示了在柱阵列和通道中移动的相对长的基因组DNA分子的荧光照片。
图10(a)描绘了将单个长纳米通道安排成一系列连续相连的蛇形构造的平行纳米通道的设计;在这里只显示了该构造的阵列的一个组。图10(b)显示了扫描电子显微(SEM)照片,其显示了蚀刻在硅基材中的这种蛇形构造的纳米通道的加框区域,显示了通道的转弯。图10(c)显示了在纳米通道中移动并做出180°转弯的基因组DNA分子的荧光照片。
这种构造尤其应对了在单一视野中观察被拉长或细长的大分子的挑战。因为大分子可能非常长,足以拉伸大分子的通道的长度可能比高倍显微镜的视野的宽度更长。这进而阻止了用户在单一视野中观察整个大分子。
但是,具有如图10中显示的蛇形或回转样式的纳米通道的装置增加了通道的长度,适合于单一视野中,因此使用户能够在单一视野中观察拉长的大分子。可选地,这样的装置使单一视野能够覆盖拉长的大分子的相当部分。蛇形、回转的通道也增加了大分子在单一视野中移位的停留时间。
图11(a)描绘了排列成一系列连续相连的平行纳米通道的多个长纳米通道,与前图的差别在于每级通道的通道宽度逐渐减小,从1000nm降低到100nm。图11(b)显示了扫描电子显微(SEM)照片,其显示了蚀刻在硅基材中的一组这种蛇形构造的纳米通道的加框区域,显示了通道宽度从底部到顶部逐渐减小,以及随后相对宽的通道出口。
图11(c)显示了移时摄像帧(每个图代表不同时间点),追踪了在图11中描述的通道中移动的单一基因组DNA分子的荧光图像,当分子进入尺寸越来越小的纳米通道区时,分子具有逐渐拉伸的长度。作为对照或参比标准,显示了静态分子的图像,并且显示静态分子的长度,如穿过所有组装好的帧图画出的两条虚线之间的轮廓所示。转到图中的各个图块,最上方的图块显示了实际的芯片图案的亮视野光学图像,第五图块显示了转过一个角的DNA分子的荧光图像。
图12(a)显示了扫描电子显微(SEM)照片,其显示了另一个非限制性的设计,该设计包括排列成曲折形图案的平行的、非直线的纳米通道的阵列。图12(b)显示了在曲折形通道内拉伸的荧光标记的DNA分子的图像。图12(c)显示了任意纳米通道图案(字母“BNM”)的扫描电子显微(SEM)照片,其中图案中的通道都具有基本上相等的通道宽度。图12(d)显示了扫描电子显微(SEM)照片,其显示了两组彼此相交的垂直的纳米通道,重叠区显示为密集的圆形的柱阵列。
制造
制造过程可以包括在基材表面上制造流体部件,然后将基材表面与第二基材接合,以形成可以通过端口进入的封闭流体装置。可选地,制造可以包括在基材表面上制造流体部件并在第二基材表面上制造流体部件,然后将两个基材表面接合在一起,以形成可通过端口进入的封闭流体装置。
基材材料可以包括但不限于:硅、二氧化硅、氮化硅、氧化铪、石英、玻璃、熔融二氧化硅、金属、氧化铝、金属、陶瓷、聚合物、塑料、电介质、SiGe、GaAs、GaAlAs、ITO等。在一个示例性实施方案中,至少一个基材必须对UV、可见和红外电磁辐射透明。
在一个示例性实施方案中,基材是玻璃、硅和/或石英的晶片,并且在接合后,通过切割接合的晶片获得芯片。在一个示例性实施方案中,流体元件使用半导体、MEMS和微流体工业已知的方法制造,这些方法包括但不限于:光刻、等离子体蚀刻、材料沉积、湿法蚀刻、接合及其任何组合。
在一个示例性实施方案中,纳米通道阵列、前端/后端和互连区在基材(例如硅)上形成图案(例如通过光刻),然后通过蚀刻将图案转移到硅中。可以使用各种不同的图案和蚀刻选项:
图案可以通过例如光刻、纳米压印刻印、压花、干涉刻印、近场全息术、接触印刷、极端UV刻印、电子束刻印或其任何组合来实现。
对于这些图案选项来说,使用硬质或软质掩模可以协助将图案转移到基材。这些掩模包括但不限于:抗反射涂层、氧化硅、氮化硅、电介质、金属、有机薄膜、其组合等。对于所有这些图案选项来说,可以使用各种中间图案转移方法,包括但不限于:提离技术、阴影蒸发、生长、沉积及其组合等。
蚀刻选项包括但不限于化学蚀刻、湿法蚀刻、用KOH蚀刻、用TMAH蚀刻、用HF蚀刻、用BOE蚀刻、离子蚀刻、反应性离子蚀刻(RIE)、等离子体蚀刻、等离子体辅助蚀刻、感应耦合等离子体(ICP)蚀刻、bosch蚀刻、氧化物在硅中生长成图案(例如LOCOS)并用湿法蚀刻去除,及其组合等。
图案形成顺序
在一个示例性实施方案中,纳米通道阵列和前端/后端(FE/BE)被同时形成图案和蚀刻,而互连区在晚些时候形成图案。但是,情况不是必须这样,这些流体元件的图案形成次序可以改变。
纳米通道阵列可以通过干涉刻印进行图案形成,前端/后端在独立的步骤中通过光刻进行图案形成。在另一个实施方案中,纳米通道阵列、前端/后端和互连区适合在单一步骤中使用光刻或纳米压印刻印进行图案形成。在另一个实施方案中,使用能够将不同深度的部件转移到基材中的图案形成技术例如纳米压印或压花,以便全都使用单一图案形成步骤而使互连区、前端/后端和纳米通道阵列具有不同的深度。
端口
端口适合通过光刻形成图案,然后用蚀刻工艺例如硅深蚀刻(“Bosch蚀刻”)进行蚀刻。但是,对于制造端口来说,有各种不同的制造选项可用。这些选项的非限制性的名单包括RIE、ICP蚀刻、等离子体蚀刻、激光钻孔、激光消融、喷砂、钻孔、湿法蚀刻、化学蚀刻、水钻孔、超声钻孔及其任何组合。
端口适合具有5到5000微米的宽度(直径),深度是它所穿过的基材的厚度。在一个示例性实施方案中,端口具有50到2000微米范围内的宽度(直径)。
接合
在一个示例性实施方案中,装置的流体元件通过将形成图案的硅基材与未形成图案的玻璃晶片进行阳极接合来完成。
在一个示例性实施方案中,将被阳极接合的玻璃晶片可以是Pyrex7740、Schott Borofloat 33(TM)、Hoya SD2(TM)或任何具有类似热膨胀特征的玻璃。其他选项也是适合的,包括(但不限于)熔合接合、热接合、化学接合、石英-石英接合、玻璃-玻璃接合、聚合物接合、溶剂接合、粘合剂接合及其组合等。
接合条件——阳极或其他方式,将由本技术领域的普通专业人员容易地优化。作为一个非限制性的实例,硅和Borofloat(TM)玻璃可以使用400V的电压、约350℃的温度施加5分钟,阳极接合在一起。阳极接合电压可以在例如约200V到约800V的范围内,适合的温度在约200℃到约400℃的范围内,施加时间为约1到约100分钟。
流体元件表面
各种不同的材料可以构成流体元件的表面,包括但不限于:硅、二氧化硅、氮化硅、氧化铪、石英、玻璃、熔融二氧化硅、金属、氧化铝、金属、陶瓷、聚合物、塑料、电介质、SiGe、GaAs、GaAlAs、ITO、有机分子、自组装单层、自组装多层及其组合等。在一个示例性实施方案中,流体元件具有介电表面;在某些实施方案中,流体元件将具有二氧化硅和/或玻璃表面。
制造实例
在一个非限制性实施方案中,流体元件(纳米通道阵列、前端/后端、互连区和端口)在接合后具有二氧化硅和/或玻璃表面,使得位于得到的装置内的流体只与二氧化硅和/或玻璃接触。该表面由在纳米通道、前端/后端、互连区和端口形成图案并蚀刻后,在蚀刻过的硅表面上沉积二氧化硅薄膜来形成。
氧化物通过原子层沉积(ALD)沉积在形成图案和蚀刻过的硅基材上,并具有从约1nm到约5000nm的厚度。然后将该硅晶片与玻璃基材进行阳极接合。
二氧化硅表面用于几种有用的目的。首先,二氧化硅提供了绝缘薄膜,其在使用电场驱动DNA在流体装置中移动、并且一个基材是硅的情况下是有用的。
二氧化硅还提供了在应用需要时可以被功能化和/或钝化的表面。该层还允许当氧化物生长或沉积在预先存在的蚀刻过的纳米通道上时,将纳米通道的横截面修改(定制)到所需尺寸。
在一个实例中,当50nm的共形氧化物被沉积在纳米通道上时,宽200nm、深150nm的纳米通道被减小到100nm宽和100nm深。通过这种方式,向已经形成的流体元件(例如槽或沟)施加涂层,允许用户可控地建立该元件的边界,以便减小该元件可用于流体在其中流动的横截面。
二氧化硅对于广泛的电磁辐射谱,例如UV、可见和红外光,也是透明的。
存在许多不同的制造选项可用于形成具有二氧化硅和/或玻璃表面的流体通道。它们包括(但不限于):
在硅上的热氧化物生长
如果使用的一个基材是硅,可以通过使用硅表面作为硅源进行氧化物生长,来获得二氧化硅表面。实例包括但不限于:干法热氧化物生长、湿法热氧化物生长。不论是所有、一些还是没有流体元件将要在硅中形成图案和蚀刻,这都是适用的。非限制性的基于硅的实施方案显示在附图中。
在硅、玻璃或石英上沉积氧化物
氧化物可以沉积在一个或两个基材上。实例包括但不限于:PECVD、CVD、LPCVD、热蒸发、旋压玻璃、电子束蒸发、溅射、ALD及其任何组合。代表性实例显示在例如图2-5中。
直接蚀刻在二氧化硅、石英或玻璃中
此外,可以通过将流体元件直接蚀刻在二氧化硅或玻璃中来获得二氧化硅或玻璃表面。这可以通过直接蚀刻在二氧化硅/石英/玻璃基材中,或蚀刻在硅基材上的二氧化硅薄膜中来完成。参见图2-5。
装置构造
在图5中,主入口和出口彼此相对,使得如果要施加电场,在纳米通道阵列的所有纳米通道中的场强将近似相等。在一个示例性实施方案中,包含了装置的全部三个流体元件:纳米通道阵列、前端/后端和互连区。
在另一个示例性实施方案中,前端和/或后端可以省略,互连区与纳米通道阵列直接相连。在另一个示例性实施方案中,互连区可以省略,前端和/或后端与端口直接相连。
在另一个示例性实施方案中,前端和后端与互连区都可以省略,因此纳米通道阵列与端口直接相连。在示例性实施方案中,装置是对称的,以便当在入口和出口之间施加电场时将通过纳米通道阵列中的纳米通道的电场的一致性最大化。
在另一个示例性实施方案,纳米通道阵列的出口通向倒置的前端结构(被称为后端或BE),然后进入互连通道,如图5(b)中所示。在另一个示例性实施方案中,纳米通道阵列的出口直接通向出口端(省略了后端和互连区)。
在另一个示例性实施方案中,纳米通道阵列的出口可以直接通向通往出口端的互连区(省略了后端)。在另一个示例性实施方案中,后端可以直接通向出口端(省略了互连区)。
2-端口装置
2端口芯片具有一个在其中加样的输入端口和一个随后将样品排出的输出端口。使用力例如电渗力、电动力、电泳力、压力、毛细管力或其任何组合,直接控制样品经这两个端口的移动。这种设计具有显著的优点,包括直接毛细管上样的操作的简易性。这种设计也最小化了端口的数量,因此最大化了每个芯片可允许的独立装置的数量。
4-端口装置
4-端口装置具有两个输入(主要/次级)端口和两个输出(主要/次级)端口。这种设计优于2-端口芯片的主要优点是为芯片操作者提供了更大的自由度来控制样品移动通过纳米通道阵列。可以使用力例如电渗力、电动力、电泳力、压力、毛细管力、其组合等,直接控制样品经过这四个端口的移动。在这种应用中,样品以受控方式从主要入口端口流到次级入口端口,并且一旦鉴定到目标物件,可以通过调节样品流速将它移位到纳米流体FE区。
梯度前端和后端
前端和后端的特性是作为微流体与纳流体区域之间的接口。前端(FE)适合便于DNA的解开、拉长和从微流体尺度的互连区转移到更小尺度的纳米通道阵列中。这适合通过使DNA流过形成密集图案的、逐渐减小的(并且间距更近的)结构的网络/阵列来实现,这种网络/阵列当DNA通过时执行DNA拉长并然后进入纳米通道。FE设计适合是“分支通道网络”结构的变体,所述结构具有几种特性。
首先,对于每个分支来说,通道被分割成两个或多个通道。在一个实施方案中,分支通道的总宽度与原始通道近似相等,使得总横截面积保持近似相同。通过这种方式,在整个分支通道网络中的流速将保持近似恒定。
其次,通过逐渐分割,分支网络促进了DNA在纳米通道阵列中的均匀负载,即不存在偏向于纳米通道阵列中的特定纳米通道或纳米通道组。
此外,分支通道网络呈现出逐渐变小的流体通道,其有效地解开并拉长非常长的DNA区段。
在给定的分支点处,分支通道不必具有相同的宽度、长度或深度。它们也不必彼此平行或均匀分布。分支通道的构造也不必是直的或线性的。在某些实施方案中(例如图9),为了进一步增强其解开DNA的能力,分支通道可以包含柱结构。
FE流体结构为约10-1000nm深并高达10000nm宽。FE结构中的通道(或柱或其他障碍物)也可以具有约100到约500nm、或甚至约200nm到约300nm的深度。结构(例如通道、柱等)也可以具有约1到约10,000nm、或约20nm到约5000nm、或约50nm到约1000nm、或甚至约100nm到约500nm范围内的宽度。
因为这些结构的目的是将DNA样品从微流体环境逐渐限制到纳流体环境中,因此在一个示例性实施方案中,这些流体结构的深度跨度是从1000nm到最终纳米通道的深度,以及宽度跨度是从10000nm到最终纳米通道的宽度。但是,在FE结构中部件尺寸的这种减小不是必须单调减小的,部件尺寸也不需连续变化。例如,FE的部件尺寸(深度和宽度)的变化可以分级进行。
“鸦”式构造
在图7显示的“鸦”实施方案中,分支通道FE设计包括相当尖锐的分岔(分流劈),其将通道分成两个新的通道。新通道可以与原始通道尺寸相同或较小。分支角度可以为0°到90°不等。分支通道的长度可以为5到500微米不等。每个分支级不必具有相同长度。
“鹰”式构造
“鹰”设计与“鸦”设计不同。首先,分岔的形状为圆形柱。其次,柱分岔的直径使得柱的边缘突出到其前方的通道中。该设计背后的目的在于,沿着电场路径(或其他梯度)的大分子(或其他靶)将更可能进入后继的通道的中心(而不是沿着边缘)。通过这种方式,靶在分支网络中对某些通道比其他通道更偏向的可能性降低,并将导致纳米通道阵列中纳米通道的更均匀的负载。“鹰”构造(与“鸦”构造相似),可以适合包含位于通道上游、其中或下游的柱。
附加实施方案
纳米通道阵列形成了装置的活性区。在这里进行DNA的分析。阵列的图案、宽度、深度、间距、密度、长度和面积可以极大变化。纳米通道的深度可以从约10nm到约500nm,宽度从约10到约1000nm。纳米通道的宽度和深度在整个装置中可以保持恒定,或沿着通道、在通道之间或两者中同时变化。纳米通道相隔的距离可以为从10nm到10cm的任何值,长度可以为从0.1微米到50cm的任何值,并且阵列跨度可以是从0.1微米到50cm的任何值。通道可以是平行的或不平行的。它们不必均匀分布。它们可以具有相同长度或不同长度。它们可以是直的,或具有转弯和曲线。它们可以彼此隔离或交叉。
分支结构的主通道相隔的距离可以在约1微米到50微米、100微米、1000微米或10cm的范围内。通道之间的最适间距(间隔)取决于用户的需要,可以由本技术领域的专业人员毫无困难地确定。
在一个示例性实施方案中,纳米通道的图案为平行阵列,深度为20-500nm,宽度为20-800nm。对于特定装置来说,纳米通道的宽度和深度是恒定的。纳米通道相隔100到2000nm,并且是直的。纳米通道的长度从50微米到5000微米不等。但是,可以实现各种不同的纳米通道阵列的实施方案,包括其中纳米通道的宽度、深度或二者可以沿着纳米通道的长度而变的实施方案。
互连区
互连流体区可以具有100nm到100微米的深度和0.5微米到1000微米的宽度。在一个示例性实施方案中,深度在200nm到20微米的范围内,宽度在1微米到50微米的范围内。
附加说明
在某些实施方案中,本发明描述了流体装置,其包含与第二基材(B)接合的基材(A),任一个或两个基材可以被形成图案。制造方法描述了通过接合工艺、例如硅基材与玻璃基材之间的阳极接合而约束的微流体和纳流体元件。
芯片的活性区适合位于两个基材的界面处,在那里,在一个或两个基材表面上制造了单个或多个独立的纳米通道阵列装置。这些装置适合通过穿过一个或两个基材的管道端口与芯片外部的环境流体连通。
所公开的装置适合包括:
·纳米通道区-核心装置区:目标大分子(例如DNA)在这里被拉长、线性化、成像和分析。
·梯度前端(FE)和后端(BE)-横截面尺寸在微米、亚微米或纳米范围内的互连分支通道的阵列。FE或BE也可以包括重复的微米到纳米尺度大小的结构,例如桩、柱、孔、沟槽以及上述的组合,所述结构与装置的微米流体区和纳米流体区连接。
·互连区-微流体区:携带目标样品从输入端口到FE区,并为样品从BE区移动到输出端口提供管道的微流体通道网络。
·端口:穿过基材适当蚀刻的孔,允许通过三维流体连通使装置外部的环境与芯片内部的纳米流体装置(适合位于基材A与B之间)流体连通。
各种不同的材料可以构成流体元件的表面,包括但不限于:硅、二氧化硅、氮化硅、氧化铪、石英、玻璃、熔融二氧化硅、金属、氧化铝、金属、陶瓷、聚合物、塑料、电介质、SiGe、GaAs、GaAlAs、ITO、有机分子、自组装单层、自组装多层或其任何组合。
本发明公开了所有流体元件都通过原子层沉积(ALD)、压力增强的化学气相沉积(PECVD)、溅射、热生长或其他熵或各向异性材料沉积方法而具有介电表面的装置。该步骤为流体元件中的生物分子的电场操作提供了绝缘性,并进一步减小了通过常规制造方法生产的流体通道。
本发明还公开了根据应用的需要可以被功能化和/或钝化的纳米流体元件表面,所述表面可以对广泛光谱的电磁辐射包括UV、可见光和红外光透明。
纳米流体装置也可以具有多个端口,并可以包括具有各种不同规格和角度的相接的逐渐分支的通道图案设计,如所包含的图中所示。
装置具有各种分支分岔规格和角度的相接的逐渐分支的通道图案。分支通道和桩或柱阵列的各种不同组合也可用于所公开的装置的不同区域之间的连接,并可以用作具有不同宽度的通道之间的接口。

Claims (11)

1.一种分析方法,其包含:
将大分子移位通过至少两个宽度连续减小的通道,使得当大分子位于通道的最狭窄处时其至少一部分被拉长,其中最宽和最窄通道的宽度比在约1到约107的范围内;
当大分子位于通道中具有10nm到约1000nm宽度的第一区域中时,检测来自所述大分子的信号;以及
将信号与大分子的性质相关联。
2.权利要求1的方法,其中移位通过施加电梯度、压力梯度、磁场、热梯度或其任意组合来实现。
3.权利要求1的方法,其中检测通过光学、电学、磁学、电磁学手段或其任意组合来实现。
4.权利要求1的方法,其还包含将大分子移位通过宽度连续增加的至少两个通道。
5.权利要求1的方法,其还包含逆转梯度的方向以逆转大分子的方向,使得大分子的至少一部分重新进入通道的第一区域。
6.权利要求1的方法,其中最宽和最窄通道的宽度比在约100到约105的范围内。
7.一种分析荧光标记分子的方法,其包含:
将荧光标记分子的至少一部分置于分析装置内的通道中,其中
所述分析装置具有至少第一基材、第二基材和第一薄膜,所述第一薄膜被构造成产生位于第一和第二基材之间的通道,第一薄膜与第一基材、第二基材或两者接合,
当用激发波长在约10nm到约2500nm范围内的电磁辐射对样品进行照射时,荧光标记分子能够发出发射波长的电磁辐射,
当用激发波长的电磁辐射照射装置时,与不含所述第一薄膜的相同装置相比,第一薄膜降低装置的背景信号,以及收集从荧光标记分子发出的发射波长的电磁辐射。
8.一种分析装置,其包含:
第一基材和第二基材,
第一和第二基材限定位于基材之间的通道,第一或第二基材中的至少一个允许其特征为具有约10nm到约2500nm范围内的至少一种波长的电磁辐射至少部分通过;
第一薄膜,其覆盖第一基材、第二基材或两者的至少一部分,
第一薄膜的至少一部分限定位于第一和第二基材之间的通道的至少一部分,并且
当用波长在约10nm到约2500nm范围内的电磁辐射对装置进行照射时,与不具有所述第一薄膜的同样装置相比,第一薄膜使装置的背景信号降低。
9.一种分析装置,其包含:
基材,其被构造成限定封闭在所述基材内的通道,
所述基材透过至少一种频率分量在约10nm到约2500nm范围内的电磁辐射。
10.一种制造分析装置的方法,其包含:
布置第一基材、第二基材和第一薄膜层,以便限定位于第一和第二基材之间的通道,
选择第一薄膜层,使得当用波长在约10nm到约2500nm范围内的电磁辐射对装置进行照射时,与不具有所述第一薄膜的同样装置相比,所述层使装置的背景信号降低;以及
将第一薄膜层与第一基材、第二基材或两者接合。
11.一种制造分析装置的方法,其包含:
将牺牲模板置于包含透过波长在约10nm到约5000nm范围内的电磁辐射的材料的工件内;
去除牺牲模板的至少一部分以便产生位于工件内的通道,
通道的至少一部分的横截面尺寸在约5nm到约5000nm的范围内。
CN201410462892.7A 2008-06-06 2009-06-05 集成分析装置及相关制造方法和分析技术 Active CN104359874B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US5939908P 2008-06-06 2008-06-06
US61/059,399 2008-06-06
CN200980130482.XA CN102369059B (zh) 2008-06-06 2009-06-05 集成分析装置及相关制造方法和分析技术

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200980130482.XA Division CN102369059B (zh) 2008-06-06 2009-06-05 集成分析装置及相关制造方法和分析技术

Publications (2)

Publication Number Publication Date
CN104359874A true CN104359874A (zh) 2015-02-18
CN104359874B CN104359874B (zh) 2018-07-06

Family

ID=41398897

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410462892.7A Active CN104359874B (zh) 2008-06-06 2009-06-05 集成分析装置及相关制造方法和分析技术
CN200980130482.XA Active CN102369059B (zh) 2008-06-06 2009-06-05 集成分析装置及相关制造方法和分析技术

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200980130482.XA Active CN102369059B (zh) 2008-06-06 2009-06-05 集成分析装置及相关制造方法和分析技术

Country Status (9)

Country Link
US (4) US9533879B2 (zh)
EP (1) EP2296813A2 (zh)
JP (2) JP2011523061A (zh)
KR (3) KR20170094003A (zh)
CN (2) CN104359874B (zh)
AU (1) AU2009256064B2 (zh)
CA (2) CA2727095C (zh)
HK (1) HK1207418A1 (zh)
WO (1) WO2009149362A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112169851A (zh) * 2020-10-13 2021-01-05 中国科学院微电子研究所 一种微流道入口盖板及其制备和使用方法
CN112313501A (zh) * 2018-07-31 2021-02-02 积水化学工业株式会社 检测方法、检测用器具和检测装置

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2743772C (en) * 2008-11-14 2015-06-30 The Board Of Regents Of The University Of Texas System Nanochanneled device and related methods
WO2011108540A1 (ja) 2010-03-03 2011-09-09 国立大学法人大阪大学 ヌクレオチドを識別する方法および装置、ならびにポリヌクレオチドのヌクレオチド配列を決定する方法および装置
US20110288497A1 (en) 2010-05-19 2011-11-24 Nanomedical Systems, Inc. Nano-Scale Coatings and Related Methods Suitable for In-Vivo Use
GB201017905D0 (en) 2010-10-25 2010-12-01 Mir Kalim U Preparation and analysis of samples
WO2012061818A1 (en) * 2010-11-05 2012-05-10 Life Technologies Corporation Flowcells and flowcell reaction chambers
JP2012101196A (ja) * 2010-11-11 2012-05-31 Tokyo Electron Ltd 濾過用フィルタの製造方法
GB201111237D0 (en) * 2011-06-30 2011-08-17 Isis Innovation Nanochip
WO2013039778A2 (en) 2011-09-12 2013-03-21 The University Of North Carolina At Chapel Hill Devices with a fluid transport nanochannel intersected by a fluid sensing nanochannel and related methods
EP2805281A4 (en) * 2012-01-18 2015-09-09 Singular Bio Inc METHOD FOR ILLUSTRATING LINEAR MOLECULES FOR DETECTING STRUCTURE VARIATIONS AND SEQUENCING
CA2802670C (en) * 2012-01-20 2020-09-01 Ortho-Clinical Diagnostics, Inc. Assay device having multiple reagent cells
JP6339024B2 (ja) 2012-02-10 2018-06-06 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒルThe University Of North Carolina At Chapel Hill 流体ナノファンネルを有する装置、関連する方法、製造及び解析システム
KR101248271B1 (ko) * 2012-02-21 2013-03-27 광운대학교 산학협력단 마이크로-나노 채널을 이용한 에너지 변환 소자 및 그 제조 방법
KR101314420B1 (ko) * 2012-02-21 2013-10-04 광운대학교 산학협력단 마이크로-나노 채널을 이용한 3차원 에너지 변환 소자 및 그 제조 방법
KR20150041146A (ko) 2012-08-17 2015-04-15 오사카 유니버시티 시료의 분석 방법
US9421315B2 (en) 2012-09-05 2016-08-23 The Charles Stark Draper Laboratory, Inc. Compact hydraulic manifold structure for shear sensitive fluids
US9651539B2 (en) * 2012-10-28 2017-05-16 Quantapore, Inc. Reducing background fluorescence in MEMS materials by low energy ion beam treatment
CN110082294A (zh) * 2012-11-19 2019-08-02 通用医疗公司 用于集成复用光度测定模块的系统和方法
US9656212B2 (en) * 2013-01-08 2017-05-23 The Charles Stark Draper Laboratory, Inc. Compact hydraulic manifold structure for shear sensitive fluids
JP6475171B2 (ja) * 2013-02-05 2019-02-27 バイオナノ ジェノミクス、 インコーポレイテッド 単分子解析方法
CA2901460A1 (en) 2013-02-20 2014-08-28 Bionano Genomics, Inc. Characterization of molecules in nanofluidics
US10844424B2 (en) 2013-02-20 2020-11-24 Bionano Genomics, Inc. Reduction of bias in genomic coverage measurements
EP2962117B1 (en) * 2013-02-28 2019-10-09 The University of North Carolina At Chapel Hill Nanofluidic devices with integrated components for the controlled capture, trapping, and transport of macromolecules and related methods of analysis
JP6499638B2 (ja) 2013-03-13 2019-04-10 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒルThe University Of North Carolina At Chapel Hill 全ゲノムの高速マッピング用ナノ流体デバイス、並びに関連する分析システム及び分析方法
US9855554B2 (en) * 2013-07-22 2018-01-02 President And Fellows Of Harvard College Microfluidic cartridge assembly
US20150037787A1 (en) * 2013-07-31 2015-02-05 International Business Machines Corporation Polynucleotide configuration for reliable electrical and optical sensing
CA2929929A1 (en) 2013-09-18 2015-03-26 Quantum Biosystems Inc. Biomolecule sequencing devices, systems and methods
JP2015077652A (ja) 2013-10-16 2015-04-23 クオンタムバイオシステムズ株式会社 ナノギャップ電極およびその製造方法
CN106535957B (zh) 2014-02-17 2019-01-18 查尔斯斯塔克布料实验室公司 用于剪切敏感流体的微流体歧管
CN106164295B (zh) 2014-02-25 2020-08-11 生物纳米基因公司 减小基因组覆盖测量中的偏差
US10438811B1 (en) 2014-04-15 2019-10-08 Quantum Biosystems Inc. Methods for forming nano-gap electrodes for use in nanosensors
WO2015170782A1 (en) * 2014-05-08 2015-11-12 Osaka University Devices, systems and methods for linearization of polymers
EP3295148A4 (en) * 2015-05-11 2018-11-07 The University of North Carolina at Chapel Hill Fluidic devices with nanoscale manifolds for molecular transport, related systems and methods of analysis
US10391486B2 (en) 2015-10-30 2019-08-27 International Business Machines Corporation Fluidic cell designs for interfacing microfluidic chips and nanofluidic chips
US9733232B1 (en) * 2016-01-25 2017-08-15 International Business Machines Corporation Nanopillar arrays with interfaces for controlled polymer stretching and effective translocation into nanochannels
US10365564B2 (en) 2017-08-09 2019-07-30 Saudi Arabian Oil Company Calcite channel nanofluidics
WO2019118445A1 (en) * 2017-12-12 2019-06-20 Trustees Of Boston University Disposable fluidic cartridge for interferometric reflectance imaging sensor
US20210230664A1 (en) 2018-06-25 2021-07-29 Bionano Genomics, Inc. Labeling of dna
US10761428B2 (en) 2018-08-28 2020-09-01 Saudi Arabian Oil Company Fabricating calcite nanofluidic channels
US11145786B2 (en) 2018-09-11 2021-10-12 Facebook Technologies, Llc Methods for wafer-to-wafer bonding
US11056611B2 (en) 2018-09-11 2021-07-06 Facebook Technologies, Llc Mesa formation for wafer-to-wafer bonding
US11342479B2 (en) 2018-09-11 2022-05-24 Facebook Technologies, Llc Reducing bowing of materials before wafer-to-wafer bonding for LED manufacturing
US10898895B2 (en) 2018-09-13 2021-01-26 Talis Biomedical Corporation Vented converging capillary biological sample port and reservoir
CN109289873B (zh) * 2018-10-29 2021-09-10 江苏大学 一种异质结材料及制备方法和用途
US10926227B2 (en) 2018-12-03 2021-02-23 Saudi Arabian Oil Company Fabricating calcite nanofluidic channels
WO2020199168A1 (zh) * 2019-04-03 2020-10-08 京东方科技集团股份有限公司 微纳米通道结构、传感器及其制备方法、微流体装置
US11008627B2 (en) 2019-08-15 2021-05-18 Talis Biomedical Corporation Diagnostic system
US11300554B2 (en) 2020-01-14 2022-04-12 Saudi Arabian Oil Company Calcite channel structures with heterogeneous wettability
EP3885042A1 (en) * 2020-03-24 2021-09-29 Imec VZW Method for fabricating a microfluidic device
WO2022058295A1 (en) 2020-09-15 2022-03-24 INSERM (Institut National de la Santé et de la Recherche Médicale) Method for diagnosing diseases induced by repeat expansion using optical mapping
US11813608B2 (en) 2020-09-22 2023-11-14 Oregon State University Fiber substrate-based fluidic analytical devices and methods of making and using the same
CN114516658B (zh) * 2020-11-18 2023-07-25 香港城市大学深圳研究院 两步化学气相沉积法生长稀氮化GaNSb纳米线
WO2022136532A1 (en) 2020-12-22 2022-06-30 Perseus Biomics Bv Genomic analysis method
US11454097B2 (en) 2021-01-04 2022-09-27 Saudi Arabian Oil Company Artificial rain to enhance hydrocarbon recovery
CN113278946A (zh) * 2021-05-17 2021-08-20 四川瑞能晶石科技有限公司 一种mpcvd制备金刚石的方法
CN113117634A (zh) * 2021-05-27 2021-07-16 广州大学 一种重金属吸附剂及其制备方法和应用
CN113548641B (zh) * 2021-07-27 2023-06-23 中国科学院重庆绿色智能技术研究院 一种限域介电击穿固态纳米孔器件的制备方法及其产品和应用
US11961702B2 (en) 2021-12-09 2024-04-16 Saudi Arabian Oil Company Fabrication of in situ HR-LCTEM nanofluidic cell for nanobubble interactions during EOR processes in carbonate rocks
CN114428039A (zh) * 2022-01-27 2022-05-03 中国石油大学(北京) 致密储层流体相态实验模型及致密储层流体相态实验方法
US11787993B1 (en) 2022-03-28 2023-10-17 Saudi Arabian Oil Company In-situ foamed gel for lost circulation
US11913319B2 (en) 2022-06-21 2024-02-27 Saudi Arabian Oil Company Sandstone stimulation

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2853745B2 (ja) * 1989-04-12 1999-02-03 株式会社日立製作所 光検出電気泳動装置
EP0470982B1 (de) * 1989-05-01 1993-07-14 BOHNENKAMP, Wolfram Reflexionsfluorimeter
JP3298882B2 (ja) * 1992-05-01 2002-07-08 トラスティーズ・オブ・ザ・ユニバーシティ・オブ・ペンシルベニア 微細加工した検出構造体
US7775368B2 (en) * 1995-04-03 2010-08-17 Wisconsin Alumni Research Foundation Micro-channel long molecule manipulation system
ATE273381T1 (de) 1997-02-12 2004-08-15 Eugene Y Chan Verfahren zur analyse von polymeren
US6132685A (en) 1998-08-10 2000-10-17 Caliper Technologies Corporation High throughput microfluidic systems and methods
JP2000111477A (ja) 1998-09-30 2000-04-21 Hamamatsu Photonics Kk 蛍光分析用基板及び蛍光分析装置
US6635163B1 (en) * 1999-06-01 2003-10-21 Cornell Research Foundation, Inc. Entropic trapping and sieving of molecules
EP1210578A4 (en) * 1999-08-13 2007-02-21 U S Genomics METHOD AND APPARATUS FOR STRETCHING POLYMERS
US6762059B2 (en) * 1999-08-13 2004-07-13 U.S. Genomics, Inc. Methods and apparatuses for characterization of single polymers
US6927065B2 (en) * 1999-08-13 2005-08-09 U.S. Genomics, Inc. Methods and apparatus for characterization of single polymers
JP4797196B2 (ja) 2001-02-14 2011-10-19 株式会社 フューエンス マイクロチップ
IL159865A0 (en) 2001-07-25 2004-06-20 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6881315B2 (en) 2001-08-03 2005-04-19 Nec Corporation Fractionating apparatus having colonies of pillars arranged in migration passage at interval and process for fabricating pillars
JP3603886B2 (ja) * 2001-08-03 2004-12-22 日本電気株式会社 分離装置およびその製造方法
CN1339610A (zh) 2001-10-09 2002-03-13 张添 基因芯片时间分辨荧光检测方法及检测装置
US7069952B1 (en) 2001-11-14 2006-07-04 Caliper Life Sciences, Inc. Microfluidic devices and methods of their manufacture
EP1572860B1 (en) 2002-04-16 2018-12-05 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
KR100479128B1 (ko) * 2002-07-22 2005-03-28 학교법인 한양학원 디앤에이 교배 검출을 위한 자기변형 바이오센서 및 그 제조방법
JP2006522940A (ja) 2003-04-10 2006-10-05 ユー.エス. ジェノミクス, インコーポレイテッド マイクロチャネルにおけるポリマーの操作
JP4407271B2 (ja) 2003-12-19 2010-02-03 株式会社日立製作所 チップ、反応分析装置、反応分析方法
US20060065528A1 (en) 2004-02-03 2006-03-30 Gabriel Lopez Nanostructured devices for separation and analysis
JP4379716B2 (ja) 2004-07-12 2009-12-09 横河電機株式会社 化学反応用カートリッジ駆動機構
US9477233B2 (en) 2004-07-02 2016-10-25 The University Of Chicago Microfluidic system with a plurality of sequential T-junctions for performing reactions in microdroplets
US8017218B2 (en) 2005-03-08 2011-09-13 Forskarpatent I Linkoping Ab Micro and nano structures in an elastomeric material
GB0508983D0 (en) 2005-05-03 2005-06-08 Oxford Gene Tech Ip Ltd Cell analyser
US20060275911A1 (en) * 2005-06-03 2006-12-07 Shih-Yuan Wang Method and apparatus for moleclular analysis using nanostructure-enhanced Raman spectroscopy
JP4591963B2 (ja) 2005-08-26 2010-12-01 日本電信電話株式会社 近接場光学センサ用ナノ流路およびその作製方法
JP2007278906A (ja) 2006-04-07 2007-10-25 National Institute For Materials Science ナノギャップアレイ及びそれを用いた生体高分子の診断方法
US7811603B2 (en) 2006-05-09 2010-10-12 The Regents Of The University Of California Microfluidic device for forming monodisperse lipoplexes

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112313501A (zh) * 2018-07-31 2021-02-02 积水化学工业株式会社 检测方法、检测用器具和检测装置
CN112169851A (zh) * 2020-10-13 2021-01-05 中国科学院微电子研究所 一种微流道入口盖板及其制备和使用方法

Also Published As

Publication number Publication date
JP2011523061A (ja) 2011-08-04
US20110296903A1 (en) 2011-12-08
KR20110016479A (ko) 2011-02-17
JP2015096854A (ja) 2015-05-21
US20220388838A1 (en) 2022-12-08
CA2727095C (en) 2020-01-07
KR20160014110A (ko) 2016-02-05
WO2009149362A3 (en) 2010-10-07
AU2009256064A1 (en) 2009-12-10
CN104359874B (zh) 2018-07-06
CA2727095A1 (en) 2009-12-10
CA3060930A1 (en) 2009-12-10
HK1207418A1 (zh) 2016-01-29
US20170313580A1 (en) 2017-11-02
US20210101796A1 (en) 2021-04-08
US10654715B2 (en) 2020-05-19
WO2009149362A2 (en) 2009-12-10
CN102369059B (zh) 2014-09-24
CA3060930C (en) 2022-03-22
US9533879B2 (en) 2017-01-03
AU2009256064B2 (en) 2015-04-30
CN102369059A (zh) 2012-03-07
KR101767125B1 (ko) 2017-08-10
EP2296813A2 (en) 2011-03-23
WO2009149362A9 (en) 2010-04-29
KR20170094003A (ko) 2017-08-16
US11292713B2 (en) 2022-04-05

Similar Documents

Publication Publication Date Title
CN102369059B (zh) 集成分析装置及相关制造方法和分析技术
Spitzberg et al. Plasmonic‐nanopore biosensors for superior single‐molecule detection
CN101765462B (zh) 使用纳米通道阵列的大分子分析方法
US10722888B2 (en) Dynamic formation of nanochannels for single-molecule DNA analysis
US9274053B2 (en) Flow through metallic nanohole arrays
SG187992A1 (en) Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
Brennan et al. Emerging optofluidic technologies for point-of-care genetic analysis systems: a review
US10866229B2 (en) Systems and methods for genome mapping
Chen et al. Dual-color fluorescence cross-correlation spectroscopy on a planar optofluidic chip
Liu et al. Optofluidic devices with integrated solid-state nanopores
AU2015205826B2 (en) Integrated nanofluidic analysis devices, fabrication methods and analysis techniques
CN104880453B (zh) 基于暗场成像的固态纳米通道的光电同步传感方法
US8724105B2 (en) Nano particle tracking device, channel structure of the nano particle tracking device, and method of fabricating the channel structure of the nano particle tracking device
KR101207360B1 (ko) 단분자 검출 바이오 센서
Stott Multiplexed Optofluidics for Single-Molecule Analysis
US20190210020A1 (en) High density nanofluidics
Zempoaltecatl et al. Design and fabrication of silicon-based optofluidic waveguide platforms
Kim Flow-Through PIN Photodiodes for Integrated Micro Diagnostic Biosensors
Mizutani et al. DNA methylation mapping in nanoslit devices at a single molecule level
Jing Nanofluidic single molecule detection (SMD) for protein detection and interaction dynamics study

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1207418

Country of ref document: HK

CB02 Change of applicant information
CB02 Change of applicant information

Address after: American Pennsylvania

Applicant after: BioNano Geneomics, Inc.

Address before: American Pennsylvania

Applicant before: Bionanomatrix LLC

GR01 Patent grant
GR01 Patent grant