JP2011523061A - 集積分析装置及び関連した製造方法及び分析技術 - Google Patents

集積分析装置及び関連した製造方法及び分析技術 Download PDF

Info

Publication number
JP2011523061A
JP2011523061A JP2011512694A JP2011512694A JP2011523061A JP 2011523061 A JP2011523061 A JP 2011523061A JP 2011512694 A JP2011512694 A JP 2011512694A JP 2011512694 A JP2011512694 A JP 2011512694A JP 2011523061 A JP2011523061 A JP 2011523061A
Authority
JP
Japan
Prior art keywords
substrate
channel
analyzer
thin film
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011512694A
Other languages
English (en)
Other versions
JP2011523061A5 (ja
Inventor
カオ、ハン
オースティン、マイケル、ディー.
デシュパンデ、パリクシット、エー.
カンケル、マーク
シャロノフ、アレクシー、ワイ.
コヘルスペルゲル、マイケル
Original Assignee
バイオナノマトリックス、インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バイオナノマトリックス、インク. filed Critical バイオナノマトリックス、インク.
Publication of JP2011523061A publication Critical patent/JP2011523061A/ja
Publication of JP2011523061A5 publication Critical patent/JP2011523061A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N35/00Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor
    • G01N35/08Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor using a stream of discrete samples flowing along a tube system, e.g. flow injection analysis
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502761Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip specially adapted for handling suspended solids or molecules independently from the bulk fluid flow, e.g. for trapping or sorting beads, for physically stretching molecules
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • G01N21/03Cuvette constructions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6428Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes"
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/06Fluid handling related problems
    • B01L2200/0647Handling flowable solids, e.g. microscopic beads, cells, particles
    • B01L2200/0663Stretching or orienting elongated molecules or particles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/06Fluid handling related problems
    • B01L2200/0689Sealing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/10Integrating sample preparation and analysis in single entity, e.g. lab-on-a-chip concept
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0809Geometry, shape and general structure rectangular shaped
    • B01L2300/0816Cards, e.g. flat sample carriers usually with flow in two horizontal directions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0848Specific forms of parts of containers
    • B01L2300/0851Bottom walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0848Specific forms of parts of containers
    • B01L2300/0858Side walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0861Configuration of multiple channels and/or chambers in a single devices
    • B01L2300/0864Configuration of multiple channels and/or chambers in a single devices comprising only one inlet and multiple receiving wells, e.g. for separation, splitting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0887Laminated structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/16Surface properties and coatings
    • B01L2300/168Specific optical properties, e.g. reflective coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/0415Moving fluids with specific forces or mechanical means specific forces electrical forces, e.g. electrokinetic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/043Moving fluids with specific forces or mechanical means specific forces magnetic forces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0403Moving fluids with specific forces or mechanical means specific forces
    • B01L2400/0442Moving fluids with specific forces or mechanical means specific forces thermal energy, e.g. vaporisation, bubble jet
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/04Moving fluids with specific forces or mechanical means
    • B01L2400/0475Moving fluids with specific forces or mechanical means specific mechanical means and fluid pressure
    • B01L2400/0487Moving fluids with specific forces or mechanical means specific mechanical means and fluid pressure fluid pressure, pneumatics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/08Regulating or influencing the flow resistance
    • B01L2400/084Passive control of flow resistance
    • B01L2400/086Passive control of flow resistance using baffles or other fixed flow obstructions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/019Bonding or gluing multiple substrate layers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/01Arrangements or apparatus for facilitating the optical investigation
    • G01N21/03Cuvette constructions
    • G01N2021/0346Capillary cells; Microcells
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/63Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
    • G01N21/64Fluorescence; Phosphorescence
    • G01N21/6428Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes"
    • G01N2021/6439Measuring fluorescence of fluorescent products of reactions or of fluorochrome labelled reactive substances, e.g. measuring quenching effects, using measuring "optrodes" with indicators, stains, dyes, tags, labels, marks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/4981Utilizing transitory attached element or associated separate material

Abstract

【解決手段】 本願発明は、マクロ及びナノスケールの大きさを有する集積装置、及びバックグランドシグナルを減少させることにより装置内に暴露された蛍光色素分子の消光を抑制する装置を提供するものである。当該装置の製造方法及び使用方法に関する発明もまた提供される。
【選択図】 図2

Description

本出願は、2008年6月6日に提出された米国特許出願番号第61/057、917号の利益を主張するものであり、その全体はこの参照により本明細書に組み込まれるものである。
本発明は、ナノ流体工学分野及び固体光学分析装置分野に関するものである。
現在の生物医学的分析の課題の一つは、かなりの多様性があり、且つ完全に同じものが二つとないという特徴を有する生体試料の複雑さを十分に考慮することにある。任意の試料に含まれる細胞または分子の少数集団が、多くの場合、患者の病態生理学的状態に臨床的に最も関連する部分である。
従来のバルク溶液の分析では、不均一なサンプルにおいて見られるわずかであるが顕著な特徴を平均化し、見えにくくするため、病気の原因となる分子、機能又は事象を早期発見することが阻止される。分子生物学の技術の進化と共に、更に高い解像度及び精度を用いて微小検体を分析することへの関心がますます高まっている。
単一分子レベルの生物学の世界は、本質的にミクロスケール及びミクロスケール以下である。本分野の一つの課題は、既存の製造プロセスと互換性のある固体材料の高品質のマイクロ及びナノ流体構造の作製である。光学的にバックグラウンドが汚染されると流体装置の有効性を低減する過剰な自己蛍光ノイズが生成されるため、装置の内面の光学純度は、単一分子レベルの蛍光画像化用に設計されたナノ流体において最も重要である。しかしながら、光学純度は、従来の半導体製造において重要な要素とはみなされていない。
本分野における更なる課題は、分子またはその他の目的物をマクロ環境(例えば、ピペット)からミクロまたはナノ領域へと移動させると同時に、更に下流分析を行うため、そのうな分子及び関連媒体を、ミクロまたはナノスケール領域からマクロスケールの廃棄物出口またはサンプル収集チャンバーへと移動させることである。
このような装置はセンチメートルから1桁のナノメートル(7桁の大きさの差)の範囲のサイズを有する特徴に対応しなければならない。これは非常に広い範囲の長さのスケールを示しており、制御可能且つ漏れのない輸送が可能な方法を統合したものである。
生物学的及びその他の目的物を輸送によって示された問題とともに、そのような目的物(例えば、目的の分子または細胞成分)の発光標識を検出するという課題があり、そのような検出は密閉されたチャネル内に目的物が配置されている間に、当該目的物上で検出が実行される。このような検出は、ナノ流体工学分野を中心に多くの実用的な応用を有する。
このような検出において特に重要なのは、標識を含む装置のバックグラウンドシグナルに対する標識の電磁シグナルである、シグナル対バックグラウンド比(SBR)(また、シグナル対ノイズ比、SINと呼ばれている)である。バックグラウンドを減らすことによるSBR最大化は、システムのダイナミックレンジが増大し、特定のシステムの値を高める。この値は、装置のバックグラウンドシグナルを構成する電磁放射が可能な限り広範なスペクトル範囲にわたって低減されている装置によって、さらに増大される。
シリコンなどの特定の基板は、平面状であって開放系にあるシリコン基板上において蛍光発光分子を画像化する場合、従来どうりマイクロ配列を基本とした用途に用いられると、蛍光色素分子の発光の消光がもたらされる。この消光を防止するために、消光を抑制または排除する基板コーティングが通常使用されている。しかし、密閉チャネルと結合した流体装置内に組み込む際に、コーティング材料は、多くの場合、装置のバックグラウンドシグナルを増大させ、それにより装置のパフォーマンス機能が低下し、実際には一つの課題(消光)と引き換えに他の問題(バックグラウンドの増大)を引き起こす。
したがって、装置中に存在する蛍光発光分子または他の標識の消光を制限すると同時に、比較的低レベルのバックグラウンドシグナルを示す装置の技術が必要とされている。このような特徴を有する装置の製造方法に関連する技術もまた必要とされている。
説明した課題を満たすために、本願発明は、分析装置であって、第1基板;第2基板;外部環境と前記分析装置をつなぐ相互接続チャネルを流体内に配置するよう、第1基板、第2基板、または両方の少なくとも一部を貫通する入り口;及び、チャネル領域で最初に分岐したフロントエンドであって、少なくとも約10,000nm未満からの範囲の断面寸法を有することを特徴とする第1のチャネル、及び、少なくとも二つの第2のチャネル、ナノチャネル分析領域とつながった流体内の最初の相互接続チャネルを配し、ナノチャネル分析領域であって、第1のチャネル未満の断面寸法を有することを特徴とするナノチャネルを少なくとも一つを含み、及び、前記ナノチャネルと前記第1のチャネルの断面寸法の比は約100〜約10,000の範囲内である。
また、提供される分析装置の製造方法は、第1基板及び第2基板の結合を含み、前記基板の少なくとも一つは約10nm〜約10,000nmの範囲の幅を有するチャネル少なくとも一つからなり、前記結合は前記基板の間に配置された密閉された導管を生み出し、前記密閉された導管は流体を介しての輸送を可能にする。
さらに、提供される分析方法は、少なくとも高分子の一部が前記チャネルの最小内に配置されながら伸長されるように、連続して幅を減少させる少なくとも二つのチャネルを介して前記高分子の位置を変えさせることからなる。最大幅のチャネルと最小のチャネルの幅の比は約1〜約10の範囲内であり、10nm〜約1000nmの幅を有するチャネルの最初の領域に存在する前記高分子からのシグナルを検知し、及び、前記高分子の特性をシグナルから相互に関連付けることができる。
さらに、提供される分析装置は、第1基板及び第2基板からなり、第1及び第2基板は前記基板の間に配置されたチャネルを規定し、第1または第2基板の少なくとも一つは、約10nm〜約2500nmの範囲内の波長を少なくとも一つ有することを特徴とする電磁放射の通過を少なくとも部分的に可能とする。第1薄膜は第1基板、第2基板、またはその両方の少なくとも一部の上にあり、第1薄膜の少なくとも一部は、少なくとも第1及び第2基板の間に配置されたチャネルの少なくとも一部を規定し、及び、第1薄膜は、装置が約10nm〜約2500nmの範囲内の波長を有する電磁放射によって照射されると、前記第1薄膜のない同一の装置と比較して、前記装置のバックグラウンドシグナルを減らすことができる。
また、提供される分析装置は、前記基板で囲まれたチャネルを規定するよう構成される基板からなり、前記基板は約10nm〜約2500nmの範囲内の周波数成分を少なくとも一つを有する電磁放射により透明となる。
さらに提供される分析装置の製造方法は、第1基板、第2基板及び第1薄膜を、前記第1及び第2基板の間に配置されたチャネルを規定するように配置する工程、前期第1薄膜層を、前記層が、装置が約10nm〜約2500nmの範囲内の波長を有する電磁放射によって照射されると、第1薄膜のない同一の装置と比較して、前記装置のバックグラウンドシグナルを減らすよう選択する工程、及び、第1薄膜を第1基板、第2基板、またはその両方と結合する工程からなる。
また、提供される分析装置の製造方法は、約10nm〜約5000nmの範囲内の波長を有する電磁放射で透明となる材料からなるワークピース内に犠牲テンプレートを配置する工程、前記ワークピース内に配置されたチャネル、約5nm〜約5000nmの範囲内の断面寸法を有するチャネルの少なくとも一部を得るよう前記犠牲テンプレートの少なくとも一部を除去する工程からなる。
さらに、蛍光標識した分子の少なくとも一部を分析装置内のチャネル内部に配置する工程を含む、蛍光標識した分子を分析する方法が提供され、前記分析装置は、少なくとも第1基板、第2基板、及び前記第1及び第2基板の間に配置されるチャネルを生じるよう構成された第1薄膜を有する。第1薄膜は、第1基板、第2基板、またはその両方と結合しており、約10nm〜約2500nmの範囲内の励起波長の電磁放射を試料に照射すると、蛍光標識した分子は、発光波長の電磁放射を発することができるものである。前記第1薄膜は、励起波長の電磁放射を前記装置に照射すると、第1薄膜なしの同一装置と比較して、装置のバックグラウンドシグナルを減らし、蛍光標識から放出された発光波長の電磁放射を集める。
前記発明の概要は、以下に記す発明の詳細な説明と同様、添付した図面と合わせて読むとより理解しやすい。本発明を図示するために発明の実施例の図面を示しているが、本発明は開示された特例の方法、組成物、及び装置に限られたものではない。加えて、図は必ずしも縮尺で描かれていない。
図1は、本願発明に係る装置の概略図を示す。 図2は、本願発明に係る典型的な装置を示す。 図3は、本願発明に係る典型的な製造スキームを示す。 図4は、二つの基板内の表面に刻まれたチャネル要素を有する二つの基板(基板AおよびB;前記基板の一つは好適には透明である)の製造スキームの実施例を示す。 図5は、2〜4のポートを有する典型的なナノ装置を示す。 図6は、マルチポート装置の設計の実施例を示す。 図7は、本願発明に係るチャネル配列の分岐マルチステージを示す。 図8は、分岐され、相互接続されたチャネル配列のマルチレベルを示す。 図9は、分岐チャネルと配列ポストの結合を有する装置設計を示す。 図10は、蛇行構成の並列ナノチャネルの連続的なセットに連続的に接続された単一の長いナノチャネルを有する設計を示す。 図11は、並列ナノチャネルの連続的なセットに接続された複数の長いナノチャネルを示す。 図12は、本願発明に係るチャネル装置の特に制限されない様々な実施形態を示す。 図13は、本願発明に係る装置の断面図を示す。(a)は基板下部に形成されたチャネル、(b)は基板下部及び上部の両方に形成されたチャネル、及び(c)は基板上部のみに形成されたチャネルである。これら三つの実施形態の夫々は、薄膜上部及び下部を示す。 図14は、本願発明に係る装置の断面図を示す。(a)は、基板下部に形成されたチャネル、(b)は、基板上部及び下部に形成されたチャネル、(c)は基板上部のみに形成されたチャネルである。これら三つの実施形態は、基板下部に主に適合する単一の薄膜のみを示す。 図15は、本願発明に係る断面図を示す。(a)は、基板下部に形成されたチャネル、(b)は、基板上部及び下部に形成されたチャネル、(c)は基板上部のみに形成されたチャネルである。これら三つの実施形態は、基板上部に主に適合する単一の薄膜のみを示す。 図16は、本願発明に係る断面図を示す。(a)は、二つの薄膜下部に形成されるチャネル、(b)は薄膜の上部及び下部に形成されたチャネル、(c)は薄膜上部のみに形成されたチャネルである。 図17は、本願発明に係る装置の操作を示す。(a)本願発明に基づいて作られた装置内に配置された蛍光標識された試料の励起及び励起放射が透過した同一基板及び同一薄膜を渡って転写された励起試料による放射の収集、及び、(b)本願発明に基づいて作られた装置内に配置された蛍光標識された試料の励起及び励起放射が透過したのとは異なる基板及び薄膜層を渡って転写された励起試料による放射の収集、が示されている。 図18は、チャネル底部に配置されたSiOx薄膜を有する制限されたチャネルの約0nm〜約217nmの波長で撮影されたバックグラウンド測定を示す。 図19は、チャネル底部に配置されたSiNx薄膜を有する制限されたチャネルの約0nm〜約217nmの波長で撮影されたバックグラウンド測定を示す。 図20は、配列底部に配置されたSiOx薄膜を有するナノチャネル配列の約653nmの励起放射波長で撮影された画像及び前記配列内に存在するTOTO−3標識されたDNAである。 図21は、配列底部に配置されたSiNx薄膜を有するナノチャネル配列の約653nmの励起放射波長で撮影された画像及び前記配列内に存在するTOTO−3(蛍光)標識されたDNAである。
本発明は、本開示の一部をなす添付図面及び実施例を以下の詳細な説明と合わせて参照することにより、より容易に理解することができる。本発明が特定の装置、方法、適用、条件またはここに記載された及び/または示された限定要素に限定されないと理解されるべきであり、及び、ここで用いられる用語は実施例のみで特定の実施形態を説明する目的のためであり、及び本願発明を限定することを意図したものではないことが理解されるべきである。また、添付の特許請求の範囲を含む明細書で使用される、単数形は「a」、「an」であり、及び「the」は複数を含み、及び、前後関係がそれ以外の場合を明確に示さない限り、少なくともその特定の値を含む特定の数値の参照を含む。本明細書中で使用される用語「plurality」(複数)とは複数のことを意味する。値の範囲が表現されるとき、別の実施形態は、一つの特定の値から及び/または他の特定の値を含む。同様に、近似として値が表現されるとき、先立って「about」(約)を使用することで、その特定の値が別の実施形態を形成することが理解されるようになる。全ての範囲は包括的であり、及び、組み合わせ可能である。
別の実施形態の前後関係を明確にするために記された本発明の特定の機能もまた、単一の実施形態の組み合わせで提供することができると理解される。逆に、単一の実施形態の前後関係を簡潔にするために記された本発明の様々な機能もまた、個別または任意のサブコンビネーションで提供することができると理解される。また、範囲に記載されている値への参照は、その範囲内のそれぞれ及び全ての値が含まれている。
用語:
ここで使用される「流体要素」とは、チャネル、溝、トレンチ、絞り、ポータル、ホール、ビアなどのような流体を含むまたは認めることができる機能を意味する。
ここで使用される「断面寸法」は、幅、直径、深さ、または他の直径に関する測定値を意味する。
本願発明は、第1に、分析装置を提供する。これらの装置は、特に、第1基板及び第2基板を適切に含む。適切な基板材料は、こことは別の場所で説明されており、例えば、シリコン、ガラス、石英などである。
前記装置はまた、外部環境と分析装置を流体内の第1相互接続チャネルをつなぐ配置をするよう、第1基板、第2基板、またはその両方の少なくとも一部を貫通する第1入り口ポートを含む。
また、装置内には、最初のフロントエンド分岐チャネル領域が存在する。この領域は、約10,000nm未満の範囲の断面寸法を有することを特徴とする少なくとも第1のチャネル及びナノチャネル分析領域との流体連通内の内部接続チャネルを配置する、少なくとも二つの第2のチャネルを含む。分岐チャネル配置は、例えば図5(b)、図7(c)及び図8(c)に示されており、これらはより小さな第2のチャネルに分けられた第1のチャネルを示している。
ナノチャネル分析領域は、第1のチャネルより小さな断面寸法を有することを特徴とする、少なくとも一つのナノチャネルを適切に含む。第1のチャネルとナノチャネルの断面寸法の割合は、約100〜約10,000、または約1000〜約5000の範囲内または約2000である。
基板はいくつもの異なった材料により作られることがある。第1基板、第2基板、またはその両方は、シリコン、シリコンゲルマニウム、ゲルマニウム、ストレインド・シリコン、ゲルマニウムアンチモンテルル、アルミニウムガリウムヒ素、アルミニウムインジウムガリウムリン、アルミニウムガリウム窒素、アルミニウムガリウムリン、ガリウムヒ素リン、ガリウムヒ素、ガリウム窒素、ガリウムリン、インジウムアルミニウムヒ素、インジウムアルミニウムリン、インジウムアンチモン、ガリウムインジウムアルミニウムヒ素、ガリウムインジウムアルミニウム窒素、ガリウムインジウムヒ素窒素、ガリウムインジウムヒ素リン、ガリウムインジウムヒ素、ガリウムインジウム窒素、ガリウムインジウムリン、ガリウムアンチモン、インジウム窒素、インジウムリン、セレン化カドミウムまたはカドミウムテルル、セレン化亜鉛、水銀カドミウムテルル、酸化亜鉛、テルル化亜鉛及び硫化亜鉛のような亜鉛化合物、これらは全て有用である。
基板材料の一覧表にはまた、アルミニウム、酸化アルミニウム、ステンレススチール、カプトン(商標)、金属、セラミック、プラスチック、ポリマー、サファイア、炭化シリコン、シリコン・オン・インシュレーター(SOI)、アストロシタル、バリウムホウ酸、フッ化バリウム、サイレナイトクリスタルBGO/BSO/BTO、ビスマスゲルマニウム、方解石、フッ化カルシウム、ヨウ化セシウム、Fe:LiNb03、石英ガラス、石英、溶融石英、ガラス、酸化ケイ素、ガリウム、ガドリニウムガーネット、第1リン酸カリウム(KDP)、臭沃化タリウム(KRS−5)、チタンリン酸カリウム、リードモリブデート、フッ化リチウム、ヨウ素酸リチウム、ニオブ酸リチウム、タンタル酸リチウム、フッ化マグネシウム、臭化カリウム、二酸化チタン、塩化ナトリウム、二酸化テルル、セレン化亜鉛、スピングラス、紫外線硬化性材料、ソーダ石灰ガラス、水素化された上記の任意の化合物、上記の化合物の化学量論的変形したもの、またはそれらを任意に組み合わせたものがある。実施形態によっては、基板は光学的に不透明であり、他の場合では、基板は可視光に対してまたは電磁放射波長の少なくとも一つに対して透過的である。
前記第1基板は、約10nm〜約10,000nm、または約100nm〜約1000nm、または約200nm〜約500nmの範囲内の厚さを適切に有する。第2基板は、前記同じ範囲の厚さを有するものであっても良い。前記二つの基板は同じ厚さ、または異なった厚さを有するものであっても良い。
入り口ポートは、望ましくは円形断面であり(例えば図1)、但し、他の外形が使用されることもある。入り口ポートは約5ミクロン〜約5000ミクロン、または約10ミクロン〜約100ミクロンの範囲内、または約50ミクロンの直径またはその他の断面寸法を適宜有する。前記入り口ポートは、基板の前記厚さまたは前記基板の一部を通して広がることがある。前記ポートは塞がれまたはキャップされ、及び弁膜または他のシールを含むこともできる。
出口ポートは入り口ポートの寸法と似た寸法を適宜有するが、入り口及び出口ポートは指定された装置上で同じ寸法である必要はない。ポートは基板の全体の厚さを貫いて適宜拡張するが、入り口(及び出口)が基板の一部のみを貫通することが用いられることもある。
本願発明の内部接続チャネルは、約100nm〜約100ミクロン、または約500nm〜約50ミクロン、または約1ミクロン〜約10ミクロンの範囲内の深さを適宜有する。前記内部接続は、約500nm〜約1000ミクロン、または約1ミクロン〜約50ミクロン、または約10ミクロン〜約50ミクロンの範囲内の幅を適宜有する。インターコネクト領域は、例えば図5に示されている。
内部接続は構成によっては、2またはそれ以上の入り口内にあることがあり、及び、図5に示すように、分岐領域の一つ、二つ、三つ、またはそれ以上の第1のチャネルとの流体連通している可能性がある。実施形態によっては、分岐領域は、内部接続領域を介在することなく、入り口ポートと直接に流体連通している。
本願発明に係る装置の分岐(またはフォーク状)領域では、前記第1のチャネルは約10nm〜約10,000nmの範囲内、または約50nm〜約1000nmの範囲内、または約75nm〜約200nmの範囲内の幅を適宜有する。第1のチャネルの最適は、ユーザーのニーズに依存する。
第1のチャネルは、約10nm〜約1000nm、または約50nm〜約500nm、または同様に約100nm〜約200nmの範囲内の深さを有することがある。
前記フロントエンド分岐チャネル領域は、例えば図7に示されているように、少なくとも二つの第2のチャネルに前記第1のチャネルを分割する分割構造が含まれている。実施形態によっては(図7参照)、前記分割構造は、第1のチャネルの中心線に対して約0〜約90度の角度がついた少なくとも一つの面を含む。図7で示された実施形態に限定されることなく、前記スプリッターは、図7(c)の上部に示された第1のチャネルの中心線に対して約0〜約90度の間の角度がついた面を含む。
このような実施形態においては、第2のチャネルの幅は、第1のチャネルの幅の約30%〜約70%、または、第1のチャネルの約45%〜55%の範囲内が適当である。実施形態によっては、第2のチャネルの断面積は、第1のチャネルの断面積の約50%である。他の実施形態では、前記第2のチャネルの一つは、他の第2のチャネルと、断面積、幅、深さ、またはそれらの組み合わせが異なる。他の実施形態では、第2のチャネルは互いに似たような、または同一の寸法である。
第2のチャネルは、約1ミクロン〜約500ミクロン、または約10ミクロン〜約100ミクロンの範囲内の長さを持つことがある。第2のチャンネルは、それぞれ同じまたは異なった長さを持つことがある。
実施形態によっては(例えば図7、図8)、第2のチャネルは、第2のチャネルの中心線に対して約0〜約90度の角度がついた少なくとも一つの面を有するスプリッターによって、二つの第三チャネルに分かれている。これは、図7の非限定的な実施形態により示されている。
本願発明の構成によっては、前記分割構造は、図8に示されているような凹凸部分が含まれている。このような分割構造は、図8(c)に示されているように、第1のチャネルを介して、いずれかの第2のチャネルダウンストリームを分割構造から入力するのと基本的に同じ傾斜によって推進されるよう適宜構成されている。その図に示すように、前記スプリッターは、標的(例えば、DNAまたは他の生体高分子)となる前記装置全体に適用される電界の磁力線が、図の下部に示した四つの第三チャネルの間に均等に分散された領域を通過するよう形成及び構成されている。
前記スプリッターは、図8に示すように、前記第2のチャネルの少なくとも一部を前記第1のチャネルから遮断するオーバーハングを定義するよう構成されることがある。前記オーバーハングは前記第2のチャネルの幅の約5%〜約50パーセントの範囲内となるよう構成されることがある。
第2のチャネルの幅は、前記第1のチャネルの幅の約30%〜約70%の範囲内または前記第1のチャネルの50%となっていることがある。他の場所で説明したように、第2のチャネルは前記第1のチャネルの断面積の約30%〜約70%の範囲内または前記第1のチャネルの断面積の約50%を有することがある。
本願発明に係る装置のナノチャネル分析領域におけるナノチャネルは、約1nm〜約1000nmまたは約10nm〜約100nm、または約50nm〜約80nmの範囲内の幅を適宜有する。前記ナノチャネルは、約10nm〜約500nm、または約20nm〜約200nm、または約50nm〜約100nmの範囲内の深さを有することがある。
構成によっては、前記ナノチャネルは約0.1ミクロン〜約50ミクロンの範囲内の長さを有する少なくとも一つのリニアセグメントを有する。リニアセグメントは図10、図11、及び図12に示されている。前記ナノチャネルは少なくとも約30度、少なくとも90度、さらには180度またはそれ以上の曲がりまたはカーブを含む。実施形態によっては、ナノチャネルは円形またはらせん状構成である。
ナノチャネルは、一定の幅と深さを有することもあるが、様々な幅、様々な深さまたはその両方を有することもある。チャネルはジグザグ状であることもあり(図12)、またはチャネルの長さに沿って様々な深さを与える起伏のある床を有することもある。
実施形態によっては、図5で示されるように、前記ナノチャネル分析領域は、第1バックエンド分岐領域と流体連通する。バックエンド分岐領域は、前述のフロントエンド分岐領域と適宜類似しており、及び、前記フロントエンド分岐チャネル領域からダウンストリームとして特徴づけることができる。指定された装置上の前記フロントエンド及びバックエンド領域は互いに同じであるか異なることがある。前記装置は、ポート(入り口または出口)と、分岐領域(図5(b))と、またはその両方と流体連通する第2内部接続チャネル(図5(b))を含むことがある。第1のチャネルは、第2内部接続チャネル、または第2(例えば、出口)ポートと流体連通することがある。
実施形態によっては、ポートの断面寸法と、少なくとも一つのナノチャネルの断面寸法の割合が、約1〜約10の範囲内である。場合によっては、前記割合は、100、1000、または10,000ですらある。前記割合は、本願発明に係る装置が、ミクロスケール環境からナノスケール環境へ輸送される標的として、輸送(及び分析にも)適していることを示している。
マクロスケール環境からミクロまたはナノスケール環境へと標的を制御可能な輸送を行うこの機能は非常に価値がある。ユーザーが大容量の試料(典型的には高分子または他の流体内に分散された標的)を使って始めることができ、及び、本願発明の装置を、その大容量の試料から単一の標的を分離させるのに使用することができるからである。また、本願発明は、チャネルなどのようなナノスケール環境で、単一の標的をユーザーが特定することができる。従って、本願発明は、以前は媒体の大容量中で多くの他の分子と分散されていた個々の分子の単一分子分析を実行することをユーザーに可能にしたのだ。
実施形態によっては、前記ナノチャネル分析領域及び分岐チャネル領域は同一平面上に配置される。他の場合では、それらは異なる平面上にある。前記ナノチャネル分析領域は第2ナノチャネル分析領域と流体連通することがあり、前記第2ナノチャネル分析領域は第1ナノチャネル分析領域とは異なる基板上にある。このような実施形態では、積み上げまたは三次元マルチ分析領域が構築されることがあり、及び、複数のナノチャネル分析領域を含むメタ装置が構築されることがある。
また、分析装置の製造方法も提供されている。これらの方法は、とりわけ、第1基板及び第2基板の接合である。前記基板の少なくとも一つは、約10nm〜約10,000nmの範囲内の幅を有する少なくとも一つのチャネルを含む。前記接合は、前記基板の間に配置された密閉導管を生じさせ、この密閉導管が流体貫通を輸送することを可能にする。
接合は、陽極接合、熱接着、またはそれらいずれかの組み合わせによって達成することができる。化学結合を使用することもできる。Si−ガラス装置の陽極接合するための試料プロセス条件は、他の場所に記載されている。
前記方法は、第1基板、第2基板、またはその両方の少なくとも一部の上に薄膜を配置することを含むことがある。その薄膜は、前記基板内に配置されたいずれかのチャネルの少なくとも一部の内部に配置されることもある。前記膜は、基板環の接合を強化するために使用することができる。
一つの非限定的な実施例として、二酸化シリコン(または窒化シリコン)膜はシリコン基板及びガラスまたはその他の基板間の接合を強化(あるいは可能に)するのに使用される。前記薄膜は、前記基板の少なくとも一つから密閉導管の内部の少なくとも一部を電気的に絶縁するよう選択することができる。詳細に関して他の場所で説明したように、薄膜は基板から前記導管の少なくとも一部を遮断するのに使用されることがある。それにより、導管内に配置された蛍光が消光することから前記基板を保護することができる。
前記薄膜は、密閉導管の断面積を所定の値に減らすよう配置することができ、その減少は、導管内を流体が流れられる断面積を減らすよう、チャネルの床及び側面を構築させることで達成される。前記薄膜は断面積を少なくとも約1%、少なくとも約5%、または少なくとも約10%も、または25%も減らすよう配置されることがある。前記薄膜は、完全にチャネルを埋めるよう配置することもできる。例えば図4及び図16に示すように、チャネルは前記膜内でエッチングすることができる。
基板は、二つまたはそれ以上のチャネルを含むことができる。前記基板の二つは互いに、前記接合が二つまたはそれ以上の前記基板間に配置された密閉導管を生じさせるように、少なくとも一つのチャネルを含むことがある。両方の基板がチャネルを含む実施形態では、前記基板は、前記チャネルが互いに少なくとも部分的に位置決めするよう接合することがある(例えば図13)。
実施形態によっては、得られた装置の二つの導管の幅の割合は、約1〜約10の範囲内、または約100〜約10,000の範囲内、または約1000である。
実施形態によっては、前記第1基板、前記第2基板、またはその両方は絶縁体を含む。前記第1基板、前記第2基板、またはその両方は、半導体材料または導電性材料を含むことがある。前記基板の一つまたは両方は、少なくとも一つの電磁放射または可視光の波長に対して適宜透過的である。
分析の方法も提供されている。前記方法は、チャネルの狭い所に配置される際に高分子の少なくとも一部が伸長されるように、連続して幅が減少される少なくとも二つのチャネルを介して高分子を移行することを適宜含む。最も広い幅と最も狭い幅の割合は、約1〜約10、約100〜約10の範囲内である。実施形態によっては、前記高分子は、幅または断面積を減少させる単一のチャネルを介して移行される。前記チャネルに沿った様々な幅は、前記の比率に応じて一致している。
実施形態によっては、ユーザーは、標的がナノメートル範囲内の断面寸法を有するチャネルに最終的に到達すると、センチメートルの範囲内の断面寸法を有する入口を介して標的を移行することがある。
前記方法は、10nm〜約1000nm、または約50nm〜約500nm、または約100nm〜約200nmの幅を有するチャネルの第1領域内に存在する分子からのシグナルを検知することも含まれる。
前記ユーザーは、それによって前記シグナルと前記高分子の性質を相互に関連付けることができる。例えば、試料上の固有のDNA配列に結合する蛍光標識に試料が曝された後、ユーザーは、前記試料上に蛍光標識が存在するか(または存在しない)どうかを決定するために試料を調べることができる。ユーザーはシグナルの持続時間と高分子の長さまたは他の性質、または高分子の装置を介した速度も関連付けることができる。
前記シグナルは、蛍光分子によって発されたものである必要はない;前記シグナルは、磁器性または放射性であることがある。実施形態によっては、ユーザーは、チャネル(または導管)内に配置された標的を検査することができる。前記シグナルは、標識の励起により発したシグナルであることがあり、または、前記試料の照射により生じるシグナルまたは反射であることがある。前記試料の光学検査が実行されることのある、または前記シグナルが電磁放射を含む実施形態では、必要であるわけではないが、前記基板(及び任意の介在薄膜)の少なくとも一つが透明であることが望ましい。
移行は、電気的勾配、圧力的勾配、磁場、温度勾配、またはそれらの組み合わせを適用することによって達成される。前記移行は、一定の勾配、または様々な勾配の適用を含むことがある。
また、前記の方法は、連続的に幅が増加する少なくとも二つのチャネルを介する高分子の移行を含む。実施形態によっては、勾配の方向は、高分子の少なくとも一部が再び前記チャネルの前記第1領域に再び入るように、高分子の方向を逆にするように、逆にされることがある。このように、ユーザーは指定された装置内で、高分子標的を前後に動かすことができる。
この前後制御は、テーププレーヤーのテープの巻き戻しと同じであり、高分子または他の標的の分析において有用である。ユーザーは、前記ナノチャネル分析領域を介して標的を渡し、その後で前記勾配を反転することで高分子を「巻き戻し」、その後で同じ高分子を再び分析することができるからである。これにより、ユーザーが指定された標的の測定を繰り返すことを容易にすることができ、測定の大量な(例えば統計的に有用な)セットを迅速に集めることを可能にする。勾配を調整する前記機能は、ユーザーが前記分析装置の少なくとも一部を介して標的を迅速に進めること(または「早送り」)、及び分析のために標的をゆっくり進めることも可能にする。
検知は、光学的、電気的、磁性的、電磁的、またはそれらの組み合わせによって適宜達成される。光子カウンタ及び顕微鏡は、本願発明に係る方法による検知の実行に適している。
他の面では、本発明は分析装置も提供する。これらの装置は、第1基板及び第2基板、基板間に配置されたチャネルを定義する前記第1及び前記第2基板、約10nm〜約2500nmの範囲内の少なくとも一つの波長を有するとして特徴づけられた電磁放射の部分的な透過を可能にする第1または第2基板の少なくとも一つ;前記第1基板、前記第2基板、またはその両方の少なくとも一部の上に配置された第1薄膜を含む。
前記薄膜は、材料の単一層となることがある。基板は、複数の膜が上に乗せられ、及び、薄膜はそれ自体が単一の材料または複数の材料の組み合わせで構成されることがある。基板は一つ、二つ、三つ、またはそれ以上の別々の薄膜が上に乗せられていることがある。実施形態によっては、前記基板または薄膜は、前記装置内に配置された標的の観測を強化するように、導波管または光源として作用することがある。
第1薄膜の少なくとも一部は、前記第1及び第2基板の間に配置されたチャネルの少なくとも一部を適宜定義し、前記第1薄膜は、約10nm〜約2500nmの範囲内の波長を有する電磁放射で装置を照射すると、前記第1薄膜なしの同一の装置に比べてバックグラウンドシグナルを減らすことができる。
前記薄膜は、第1基板、第2基板、またはその両方に適宜接合されている。前記基板は、互いに適宜接合され、及び前記接合は、前記薄膜または薄膜を介して行われる。実施形態によっては、薄膜は基板に接合される。薄膜は、実施形態によっては、互いに接合される。
前記第1薄膜は、窒化ケイ素を適宜含む。前記第1薄膜は、例えば、酸窒化ケイ素、SiOxNy、水素化二酸化ケイ素、水素化窒化ケイ素、水素化酸窒化ケイ素、高K誘電体、チタンを含む化合物:TiSiO、TiO、TiN、酸化チタン、水素化酸化チタン、窒化チタン、水素化窒化チタン、TaO、TaSiO、TaOxNy、Ta、TaCN、酸化タンタル、水素化酸化タンタル、窒化タンタル、水素化窒化タンタルが含まれる。
ハフニウムを含む化合物は、HfO、HfSiO、HfZrOx、HfN、HfON、HfSiN、HfSiON、酸化ハフニウム、水素化酸化ハフニウム、窒化ハフニウム、水素化窒化ハフニウム、ZrO、ZrSiO、ZrN、ZrSiN、ZrON、ZrSiON、酸化ジルコニウム、水素化酸化ジルコニウム、窒化ジルコニウム、水素化窒化ジルコニウム、Al、AlN、TiAlN、WAlN、酸化アルミニウム、水素化酸化アルミニウム、窒化アルミニウム、水素化窒化アルミニウムも含まれる。
適当な材料には、ワイアード、低K誘電体、フッ素ドープされた二酸化シリコン、炭素ドープされた二酸化シリコン、多孔質の二酸化シリコン、多孔質の炭素ドープされた二酸化シリコン、スピン有機高分子絶縁膜、グラファイト、グラフェン、カーボンナノチューブ、プラスチック、高分子、有機分子、自己組織化単層膜、自己組織化複層膜、脂質二重膜、水素化、前述のいずれかの化学量論的変化、及びそれらの組み合わせでの前記化合物のいずれかも含まれる。
前記第1基板、前記第2基板、またはその両方は、ガラス、シリコン、またはそれら二つの組み合わせを含む。実施形態によっては、前記基板の一つまたは両方が、石英、有用石英、サファイア、シリコンカーバイド、ソーダ石灰、ゲルマニウム、シリコンゲルマニウム、ガリウム、インジウム、カドミウム、亜鉛、アルミニウム、ステンレス鋼、カプトン(商標)高分子材料、高分子、半導体材料、金属、セラミックなどを含む。前記基板は、これらの材料の組み合わせも含む。
基板の少なくとも一つは、電磁放射の少なくとも一つの周波数に対して適宜透過的である。実施形態によっては、前記基板の一つまたは両方は、可視光に対しても本質的に透過的である。この透過性は、前記装置内に配置されることもある標的(例えば、蛍光標識された高分子)の観察を容易にする。
適切なガラスとしては、ショットボロフロート(商標)33ガラス、パイレックス7740(商標)ガラス、ホヤSD2(商標)ガラス、それらの組み合わせなどが含まれる。
適切な基板は、約0.01mm〜5mm、または約0.1mm〜約1mm、または約0.5mmの範囲内の厚さを有する。
前記第1基板は約1nm〜約5000nm、または約10nm〜約1000nm、または約50nm〜約500nm、または約100nm〜約200nmの範囲内の厚さを有することがある。
本願発明に係る装置の前記導管は、約5nm〜約5mm、または約10nm〜約1mm、または約50nm〜約1ミクロン、または約100nm〜約500nmの範囲内の幅を適宜有する。前記チャネルは、約5nm〜約1mm、または約100nm〜約1000nmの範囲内の深さを適宜有する。
前記装置は、第2薄膜を含むこともある。前記第2薄膜は、約10nm〜約2500nmの範囲内の波長を有する電磁放射に前記装置が照射されると、第2薄膜なしの同一の装置と比較して、前記装置のバックグラウンドシグナルを減らすよう適宜選択される。窒化シリコンは、薄膜として使用するのに本質的に適しているとみなされている。
他の材料も前記第2薄膜に使用されることがある。これらの材料は、とりわけ、酸窒化ケイ素、SiOxNy、水素化二酸化ケイ素、水素化窒化ケイ素、水素化酸窒化ケイ素、高K誘電体、チタンを含む化合物:TiSiO、TiO、TiN、酸化チタン、水素化酸化チタン、窒化チタン、水素化窒化チタン、TaO、TaSiO、TaOxNy、Ta、TaCN、酸化タンタル、水素化酸化タンタル、窒化タンタル、水素化窒化タンタル、ハフニウムを含む化合物:HfO、HfSiO、HfZrOx、HfN、HfON、HfSiN、HfSiON、酸化ハフニウム、水素化酸化ハフニウム、窒化ハフニウム、水素化窒化ハフニウム、ZrO、ZrSiO、ZrN、ZrSiN、ZrON,ZrSiON、酸化ジルコニウム、水素化酸化ジルコニウム、窒化ジルコニウム、水素化窒化ジルコニウム、Al、AlN、TiAlN、WAlN、酸化アルミニウム、水素化酸化アルミニウム、窒化アルミニウム、水素化窒化アルミニウム、SiN、ワイアード、低K誘電体、フッ素ドープされた二酸化シリコン、炭素ドープされた二酸化シリコン、多孔質の二酸化シリコン、多孔質の炭素ドープされた二酸化シリコン、スピン有機高分子絶縁膜、グラファイト、グラフェン、カーボンナノチューブ、プラスチック、高分子、有機分子、自己組織化単層膜、自己組織化複層膜、脂質二重膜、水素化、前述のいずれかの化学量論的変化、及びそれらの組み合わせでの前記化合物のいずれかも含まれる。
前記第2薄膜は、約1nm〜約5000nm、または約100nm〜約1000nm、または約300nm〜約500nmの範囲内の厚さを適宜有する。薄膜は、前記第1基板、第2基板、またはその両方に曝されることによる、前記装置内に配置された蛍光分子の消光を防ぐまたは減らすよう選択されることがある。薄膜は、前記装置によって生じるバックグランドシグナルを減らすよう選択されることがある。
本発明は分析装置も提供する。これらの装置は、基板内に囲まれたチャネルを定義するよう構成された基板を含み、及び、前記基板は、約10nm〜約2500nmの範囲内の少なくとも一つの周波数構成を有する電磁放射に対して透過的である。
前記チャネルは、導管として適宜特徴づけられるが、他の構成も本発明の範囲内である。前記チャネルは約5nm〜約5mmの範囲内、または約50nm〜約500nmの範囲内、または約75〜約100nmの範囲内の少なくとも一つの断面寸法(例えば、幅、直径)を適宜有する。前記チャネルは、窒化シリコンによって適宜形成されるが、少なくとも一つの電磁放射の波長に対して本質的に透過性のある他の材料も使用される。
窒化シリコンは、本質的に適切であるとみなされている。他の場所で説明されているように、窒化シリコンは、可視光(及び他の波長)に対して十分に透過性があり、内部に配置された試料の観察が容易であるからである。さらに、窒化シリコンは、図19に示したように、近くに配置された蛍光を消光する効果がなく、前記装置内に配置された標識された標的の分析を容易にする。
分析装置の製造方法も提供されている。これらの方法は、とりわけ、第1基板、第2基板、及び第1薄膜層を、前記第1及び第2基板の間に配置されたチャネルを定義するよう配置することを含む。
前記第1層は、約10nm〜約2500nmの範囲内の波長を有する電磁放射によって前記装置が照射された際に、前記薄膜なしの同一の装置と比較して、前記装置のバックグラウンドシグナルを減らすよう適宜選択される。前記第1薄膜層は、前記第1基板、前記第2基板、またはその両方に適宜接合される。
基板によっては(例えば石英から石英)互いに直接接合されることもある。実施形態によっては、前記基板は、互いに薄膜を介して接合される;薄膜は一つまたはそれ以上の基板と接合されることがあり、及び、他の薄膜に結合されることもある。他の場所で説明されているように、薄膜(例えば、酸化物)は二つの基板間の結合を強める(または可能にする)ことができる。
第2薄膜層は、前記第1基板、前記第2基板、前記第1薄膜層、またはそれらの組み合わせに接合することがある。接合は、陽極、熱的、化学的、または当業者に知られている他の方法によってなされる。
前記第1薄膜層(または他の薄膜層)は、前記薄膜が前記装置内に配置された蛍光の消光を減らすよう適宜選択される。いかなる特定の理論に拘束されることなく、前記薄膜は、前記蛍光及び一つまたはそれ以上の前記装置の基板との間の遮蔽として作用することもある。
実施形態によっては、前記薄膜は、前記蛍光及び前記基板の間の物理的分離を提供するのに役立つ。前記薄膜なしでは、前記基板材料の比較的知覚に存在する蛍光及び、前記蛍光が、チャネル内に存在する蛍光を「暗くする」作用のある前記基板材料により低減または他の場合では消光されることもある。窒化シリコンは、消光を低減するのに適切な材料とみなされている。
分析装置製造方法も提供されている。これらの方法は、約10nm〜約5000nmの範囲内の波長を有する電磁放射に対して透過的である材料を含むワークピース内に、犠牲材料またはテンプレートを配置することが含まれる。ユーザーは、それによって、ワークピース内に配置されたチャネルを生じるよう前記犠牲テンプレートの少なくとも一部、及び、約5nm〜約5000nmの範囲内の断面寸法を有する前記チャネルの少なくとも一部を削除することができる。
ある実施形態では、チューブ、コード、または他の犠牲材料は、前記放射線透過性材料内に埋め込まれている。これは、リソグラフィプロセス、放射線透過性材料の軟化、または他の方法によって達成されることがある。前記犠牲材料は、加熱、エッチング、気化、または他の方法によって、前記放射線透過基板内のチャネルを残すようにして、削除される。前記犠牲材料の寸法及び配向の制御は、ユーザーに様々なサイズ及び形状のチャネルを達成することを可能にする。
前記チャネルは、約5nm〜約5000nm、または約10nm〜約1000nm、または約50nm〜約500nmの範囲内の少なくとも一つの断面寸法(例えば、直径、幅、または同様に深さ)を適宜有する。前記チャネルは、一定の断面または様々な断面を有することがある。指定された装置は、二つまたはそれ以上のチャネルを含むことがあり、チャネルは互いに流体連通することがある。
蛍光標識された分子の分析方法も提供する。前記方法は、分析装置内のチャネル内に蛍光標識された分子の少なくとも一部を置くことを含み、前記装置は、少なくとも第1基板、第2基板、及び前記第1及び前記第2基板の間に配置されるチャネルを生じるよう構成された第1薄膜を有する。
前記装置は、前記第1基板、前記第2基板、またはその両方に接合された第1薄膜を含む。前記蛍光標識された分子は、約10nm〜約2500nmの範囲内の励起波長の電磁放射によって試料が照射されると、発光波長の電磁放射を発することが適宜可能であり、及び、前記第1薄膜は、前記装置が、前記励起波長の電磁放射によって照射されると、第1薄膜なしの同一の装置と比較して、前記装置のバックグラウンドシグナルを適宜減らす。それによりユーザーは、蛍光標識された分子から発された発光波長の電磁放射を収集する。
前記装置のバックグラウンドシグナルは、前記第1基板、前記第2基板、またはその両方に起因している。薄膜を加えることにより、実施形態によっては、絶気装置のバックグラウンドシグナルを増加させる(例えば、二酸化シリコン)。
本願発明による装置は、非限定的な例として図13に示すように、二つの基板とベース基板内にエッチングされた一つまたはそれ以上のチャネル、前記透明基板、またはその両方を含むことがある。その図に示されているように、前記ベース基板は、前記バックグラウンドを減らすよう接合する前に、「下部薄膜」を与え、及び、前記透明基板が(実施形態によっては)「上部薄膜」と指定されることもある。
下部及び上部薄膜は、図13(a)、(b)、及び(c)に示されているように、前記透明及びベース基板に適宜準拠している。前記薄膜の一つまたはそれ以上は、前記基板の一つまたはそれ以上に適宜接合されている。実施形態によっては、薄膜は、互いに接合されることがあり、及び、基板も互いに接合されることがある。実施形態によっては、チャネルは、基板の上塗り、コーティング、またはその両方の内部に形成され、及び、前記チャネルは、互いに位置決めに置かれた二つのチャネル(例えば、図13(b)、図14(
b)、図15(b)及び図16(b))で定義される「結合」チャネルを生じさせるようお互いに位置決めにより配置されることもある。
基板、または薄膜は、チャネル、ピラー、ランプ、バンプ、またがそれらの上に形成されたノッチを有することがある。実施形態によっては、互いに接合した基板は、その前記基板と別の基板との接合が、前記基板の機能の組み合わせを有する装置内に生じるように、それらの基板上にパターン化され、及びエッチングされた異なる機能をそれぞれ有する。一つの非限定的な例として、上部基板は、比較的幅の広いチャネルをエッチングされることがあり、下部基板は、マイクロピラー配列とパターン化されることがある。前記基板が共に接合されるとき、前記下部基板のピラーが前記上部基板のチャネル内に配置されるようマイクロピラー配列は配置される。このような装置は、図9に示された装置と似ている。
実施形態によっては、一つまたはそれ以上のバルブは、装置内を流れる変調流体に使用されることがある。一つの例として、バルブは装置の入り口または出口に配置されることがある。
図14及び図15は、二つの基板及び単一薄膜層のみを描写している。前記単一薄膜層は、図14(ベース/下部基板上の下部薄膜)及び図15(上部、透明性基板上の上部薄膜)に示されるように、前記基板の少なくとも一つに適宜準拠している。これらは、(示されてはいないが)単一基板及び単一薄膜、単一基板及び単一薄膜によってのみ定義されるチャネルを有する実施形態ともなることがある。
図16及び図17は追加的な実施形態を示す。これらの図に示されているように、チャネルは薄膜(図13、図14及び図15に示されているように、基板とは対照的に)内に形成されることもある。これらの構成では、平面基板が使用されることもあり、及び、前記薄膜は、トレンチ、スロット、または他のチャネルを生じるように配置される(例えば、堆積、積み上げ)こともある。また、前記薄膜が配置され、続いて、所望の直径及び配向のチャネルを生じるよう前記薄膜の一部を除去(例えば、エッチング、剥離、または他の技術によって)することがある。
他の実施形態では(図14)、ユーザーのニーズによって、チャネルは両方の基板及び薄膜層内に形成されることもある。前記チャネルまたはチャネルは、前記上部及び下部基板上の薄膜内に形成されることもある。
前記密閉チャネルは、動作中において、対象となる対象物が標識される媒体を適宜含む(例えば、図17)。好適には、標識体は、透明基板(及び、実施形態によっては薄膜)を介した電磁放射の透過による前記チャネル内で励起される蛍光を含み、前記励起ラベルは、発光が検知される透明基板を介して電磁放射シグナルを発する(図17(a))。
他の潜在的な実施形態は、前記透明基板を介して前記限られたチャネルから前記電磁放射シグナルが放出される前に、(蛍光共鳴エネルギー転送、「FRET」のような)複数のエネルギー転送工程を使用する構成を含む。図17はあくまでも例示であり、及び、他の検出方式は、本願発明との接続において使用されることがある;図17(b)は、前記ベース基板がシグナルの電磁放射の波長に対して当科的である実施形態を示している。ユーザーは、磁性、放射性、または電気的シグナルも検知することができる。
透明層
前記透明基板(例えば図13の上部基板)は、前記ベース基板に恒久的に接合される材料であり、対象となる周波数の電磁放射に対して透過的であり、または適宜その両方である。
適切な基板材料は、ガラスまたは他の材料である。他の材料は、約0℃〜約Tb℃の範囲内の温度でベース基板と同様の熱膨張特性を有するとき、可視光に対して少なくとも部分的に透過させることができる。Tbは、接合温度のことを指す。前記ガラスは、ショットボロフロート33(商標)、パイレックス7740(商標)、またはホヤSD2(商標)及びベース基板シリコンであることがある。
他の適切な基板は、石英、溶融石英、ガラス、溶融ガラス、サファイア、シリコンカーバイド、及びソーダ石灰ガラスを含む。前記基板の厚さは0.01mm〜5mmの間、または0.01〜0.3mmの間に適宜なっている。前記基板は、均一な厚さまたは不均一な厚さであることがある。
前記装置は、チップ、スライド、または他の挿入可能な形態をとることがある。前記装置は、読み込み/検知装置内に挿入されることがあり、または、前記装置は、読み取り/検知装置内に組み込まれることもある。前記装置は、分析の実行のため、一つまたはそれ以上のチャンバーまたはチャネルを含むことがある。これにより、分析は複数の試料を並行して実行されることがある。
前記接合プロセスは、適宜、陽極接合のように前記透明及びベース基板を恒久的に接合するプロセスである。他の接合プロセスは、これに限定されるわけではないが:融合、熱、直接、プラズマ活性化、化学的活性化、誘電性ポリマー、及び接着性の接合方式を含む。
下部薄膜
前記下部薄膜(例えば、図13に示されている)は、適宜、前記ベース基板とは異なった構成であり、及び、下部薄膜は、チャネル及びその周辺領域のバックグラウンドシグナルを減らすよう作用する。この薄膜材料は、積み上げ、蒸着、スパッタリング、スピン薄膜、積層、または前記基板上への表面被覆が適用される。前記材料は、チャネルまたは他の流体要素のエッチング後、または、チャネルまたは他の構造がエッチングされた後に適用される。後者の場合は、前記チャネルまたは他の構造(例えば流体要素)は前記薄膜内に図16に示されたように、エッチングされる。
前記材料は、材料が二酸化シリコンである場合は熱的に積み上げられ、または、材料が窒化シリコンである場合は、低圧化学気相蒸着法(LPCVD)または原子層堆積法(ALD)によって堆積されることがある。
前記下部薄膜に使用される様々な堆積/適用方法は、以下を含む:物理蒸着(PVD)、化学気相成長法(CVD)、プラズマ化学気相成長(PECVD)、大気圧CVD(APCVD)、超高真空CVD(UHVCVD)、エアロゾルアシストCVD(SSCVD)、直接液体注入CVD(DLICVD)、マイクロ波プラズマアシストCVD(MPCVD)、原子層堆積(ALD)、原子層CVD、エピタキシー、分子線エピタキシー(MBE)、金属−有機気相エピタキシー(MOVPE)、有機金属気相エピタキシー(OMVPE)、金属−有機化学気相蒸着(MOVCD)、有機金属化学気相蒸着(OMCVD)、気相エピタキシー(VPE)、メッキ、蒸着、熱蒸着、電子ビーム蒸着、パルスレーザー蒸着、陰極アーク蒸着、スパッタリング、化学溶液堆積、スピン薄膜、ラングミュアブロジェット膜、スプレー薄膜など。
前記下部薄膜材料の厚さは、約1nm〜約5000nm、または約500nm〜約1000nmで異なることがある。前記厚さは均一である必要がなく、及び、適宜、約20nm〜約500nmである。添付した図に示すように、前記薄膜は、前記薄膜がつながっている前記基板の表面形状に適合していることがある。
前記薄膜材料は、好適には少なくとも部分的に電気絶縁体である。前記材料は、窒化シリコン(SiNxまたはShN4)が選択されることがある。他の可能性としては、これに限定されるわけではないが、誘電体、セラミック、二酸化シリコン(SiO)、酸化シリコン、ガラス、石英、溶融シリカ、SiOx、酸窒化シリコン、SiNxOy、水素化二酸化シリコン、水素化窒化シリコン、水素化酸窒化シリコンが含まれる。
高K誘電体及びチタンを含む化合物(TiSiO、TiO、TiN、酸化チタン、水素化酸化チタン、窒化チタン、水素化窒化チタン)は好適である。道央に、タンタルを含む化合物:TaO、TaSiO、TaOxNy、Ta、TaCN、酸化タンタル、水素化酸化タンタル、窒化タンタル、水素化窒化タンタルは好適である。
HfO、HfSiO、HfZrOx、HfN、HfON、HfSiN、HfSiON、酸化ハフニウム、水素化酸化ハフニウム、窒化ハフニウム、水素化窒化ハフニウムのようなハフニウム化合物、ジルコニウム化合物(ZrO、ZrSiO、ZrN、ZrSiN、ZrON、ZrSiON、酸化ジルコニウム、水素化酸化ジルコニウム、窒化ジルコニウム、水素化地位かジルコニウム)も好適である。Al、AlN、TiAlN、TaAlN、WAlN、酸化アルミニウム、水素化酸化アルミニウム、窒化アルミニウムを含むアルミニウム化合物が有用である。
SiN、ワイアード、低カリウム誘電体、フッ素ドープされた二酸化シリコン、炭素ドープされた二酸化シリコン、多孔質二酸化シリコン、及び多孔質炭素ドープされた二酸化シリコンも好適である。いくつかの実施形態では、スピン有機高分子絶縁体、グラファイト、グラフェン、カーボンナノチューブ、プラスチック、高分子、有機分子、自己組織化単層膜、自己組織化複層膜、または前記化合物を水素化したもの、前記化合物の化学量論的変化(例えば、SiOxよりもSiO、TaxOyの代わりにTa)、それらの組み合わせなどを含むことがある。
前記下部薄膜材料、適用、形態及びトポロジーは、前記チャネル内に配置された対象物から発されるシグナルと比較して、前記装置のバックグラウンドシグナルを減らすよう、または、分析される試料の観察に用いられる蛍光(または他の)ラベルの消光を減らすまたは最小にするよう適宜選択される。このガイドラインを念頭に置くと、対象物を評価する(例えば励起する)及び、実施形態によってはバックグラウンドシグナルのレベルを最適化するのに用いられる一つまたはそれ以上の波長におけるチャネルから発されるシグナルを考慮して、当業者が最適な薄膜を選択するのは困難であろう。
最上部薄膜
前記最上部薄膜材料の組み合わせ、適用手順、トポロジー、形態及び厚さは、好適には前記下部薄膜と同様である。ただし、前記最上部薄膜が、下部基板の代わりに上部透明基板に適用されている場合を除き、それは必ずしも特定のチップ形態で存在するとは限らないこともある。
前記最上部または上部薄膜材料、適用、形態及びトポロジーは、チャネル内に配置された対象物から発するシグナルと比較して、前記装置のバックグラウンドシグナルの効果を減らすよう、及び、分析される試料の観察において用いられる蛍光(またはその他)ラベルの消光を減らすまたは最小にするよう適宜選択される。このガイドラインを念頭に置くと、対象物を評価する(例えば励起する)及び、実施形態によってはバックグラウンドシグナルのレベルを最適化するのに用いられる、一つまたはそれ以上の波長におけるチャネルから発されるシグナルを考慮して、当業者が最適な薄膜を選択するのは困難であろう。
密閉チャネル
前記密閉チャネルの幅は、前記チャネル内で約5nm〜約5mmの間で変化することができる。密閉チャネルの深さは、前記チャネル内で約5nm〜約1mmの間で適宜変化する。前記密閉チャネルの幅は、前記チャネル内で約5nm〜約50ミクロンの間で変化することがあり、及び前記密閉チャネルの深さは、前記チャネル内で約5nm〜約50ミクロンの間で変化することがある。実施形態によっては、前記チャネルは、一定の深さ及び断面のチャネルを定義するが、チャネルは、ユーザーのニーズによって様々な深さまたは断面をもつことがある。一つの例として、チャネルは、比較的広い入口から、狭い通路やチャネルに向けて狭くなる、または、狭い入り口から広がることがある。前記チャネルは、添付された図に示されるように、様々な障害または他の構造をもつことがある。図20及び図21に示されるように、それらはチャネルの床部から天井部に伸び、またはチャネルの高さの少なくとも一部に沿って伸びる。図20及び図21には(下を参照すると)障害物の最上部は、出力チャネルまたは断面内の長方形である。障害物はピラー、カーブなどであることがある。
前記密閉チャネルは媒体内の対象物を適宜含む。媒体は、例えば液体などの流体であることがある。適切な媒体は、気体、液体、固体、プラズマ、真空、蒸気、コロイド、それらの組み合わせなどを含む。前記媒体は、バッファー、防腐剤などになることがある。
チャネルは単一または複数であり、二つまたはそれ以上のチャネルは互いに接続されることがあり、及び、実施形態によっては、共有の容器に接続されることがある。前記チャネルは複数の検体の同時分析を可能にするよう配列され、または多重化されることがある。このようなチャネルの製造方法は、ナノインプリントリソグラフィ、フォトリソグラフィ、電子ビームリソグラフィ、干渉リソグラフィ、シャドウマスキング、ホログラフィックリソグラフィ、イオンビームリソグラフィ、及び当業者に知られている他の方法が含まれる。
チャネルは好適には、(例えば図13に示されるように)正方形または長方形の断面であるが、ユーザーのニーズまたはプロセスの制限によっては、円、卵型、または不規則な断面となる。チャネルの断面は一つまたはそれ以上の寸法によって変化することがある。
ナノ粒子、蛍光体なども前記チャネル内に配置されることもある。ナノチャネル内に配置された(またはナノチャネルを介して移行された)高分子と相互作用できる部分は、装置が、チャネル内に配置されたアイテムと高分子の一部の相互作用に基づいたシグナルを生じることができるように、前記チャネル内に配置されることがある。
チャネルは一つまたはそれ以上の入り口または出口を含むものであっても良い。このような機能により、前記チャネルが、横、上、下、または本質的に任意の方向にアクセスすることを可能にするものである。チャネルを有し、二次元または三次元で配置された流体要素を有する装置は本願発明の範囲内であり、更にチャネルは、好しくは、一つまたはそれ以上の入り口、出口またはその両方と流体連通している。
ベース基板
前記ベース基板は、半導電性、絶縁性、または導電性であって、下部薄膜、上部薄膜、またはその両方を介して透明基板と結合可能な任意の基板材料により構成されている。
前記ベース基板は、目的物の電磁周波数に対して透過的である必要はない。シリコンが本質的に好適であるが、他の材料の選択肢としては、シリコンゲルマニウム、ゲルマニウム、ストレインド・シリコン、ゲルマニウムアンチモンテルル、アルミニウムガリウムヒ素、アルミニウムインジウムガリウムリン、アルミニウムガリウム窒素、アルミニウムガリウムリン、ガリウムヒ素リン、ガリウムヒ素、ガリウム窒素、ガリウムリン、インジウムアルミニウムヒ素、インジウムアルミニウムリン、インジウムアンチモン、ガリウムインジウムアルミニウムヒ素、ガリウムインジウムアルミニウム窒素、ガリウムインジウムヒ素窒素、ガリウムインジウムヒ素リン、ガリウムインジウムヒ素、ガリウムインジウム窒素、ガリウムインジウムリン、ガリウムアンチモン、インジウム窒素、インジウムリン、セレン化カドミウム、カドミウムテルル、セレン化亜鉛(ZnSe)、水銀カドミウムテルル、酸化亜鉛、テルル化亜鉛、硫化亜鉛(ZnS)、アルミニウム、酸化アルミニウム、ステンレススチール、カプトン(商標)、金属、セラミック、プラスチック、高分子、サファイア、シリコンカーバイド、絶縁性シリコン(SOI)、アストロシタル、ホウ酸バリウム、フッ化バリウム、サイレナイトクリスタルBGO/BSO/BTO、ビスマスゲルマニウム、方解石、フッ化カルシウム、ヨウ化セシウム、Fe:LiNbO、溶融石英、溶融シリカ、ガラス、SiO、ガリウム、ガドリニウムガーネット、カリウムリン酸(KDP)、KRS−5、チタンリン酸カリウム、モリブデート鉛、フッ化リチウム、ヨウ化リチウム、ニオブ酸リチウム、タンタル酸リチウム、フッカ化マグネシウム、臭化カリウム、二酸化チタン、塩化ナトリウム、二酸化テルル、セレン化亜鉛、スピングラス、紫外線硬化性材料、ソーダ石灰ガラス、上記の任意の化合物の水素化したもの、上記の化合物の化学量論的変化など、及びそれらの任意の組み合わせを含む。
基板の厚さは、好ましくは約0.01mm〜約1mmである。前記厚さは、約0.1nm〜約1mmであっても良い。
様々な標識が目的物の分析に使用可能であるが、本願発明の使用に対しては、当業者に周知の発光標識が特に適している。対象物に使用される発光標識は、典型的には、蛍光、発光、化学的発光、燐光などによって励起される。蛍光は一般的に用いられる方法である。適切な標識は、有機蛍光体、量子ドット、金属ドット、ポリマービーズ、ランタニドキレート、ナノ粒子、蛍光ビーズ、蓄光ビーズ、半導体ナノ粒子、デンドリマー、分子アンテナなどおよびそれらの任意の組み合わせが含まれている。TOTO−3は、典型的な蛍光体である。他の蛍光体が使用されることもある。
分析標的は、分子、高分子、一本鎖DNA、二本鎖DNA、一本鎖核酸ポリマー、二本鎖核酸ポリマー、RNA、ポリマー、モノマー、酵素、タンパク質、ペプチド、共役高分子、自己組織化分子、細胞成分断片、細胞内小器官、ウイルスなど、及び、それらの任意の組み合わせを含む。本発明は特にDNA解析の使用に適していると考えられる。
本発明は、分析装置のバックグラウンドシグナルを減らす方法、ベース基板、透明基板、またはその両方に下部薄膜を配置する方法を含み、さらに、ベース基板は、チャネルの少なくとも一つの境界を定義する。前記下部薄膜は電磁放射の特定の波長で発光するチャネルのシグナルを減らすことができる。
前記発光波長は、約1000nm〜約300nmの範囲内である。ユーザーによって、蛍光標識、前記励起波長は、標識の最適励起となるよう選択される。例えば、TOTO−3標識は、好ましくは赤い光(例えば635nm)の範囲内で励起され、及び、標識が励起されたことにより検知されるシグナルは、帯域通過フィルター(665−705nm)を介して反射励起光が削除され、送信される。
接合
接合プロセスは、前記透明及びベース基板を接合するあらゆる好ましい工程であっても良い。実施形態によっては、接合プロセスは、陽極接合である。他の接合工程は、これに制限されるわけではないが、溶融接合、熱接着、直接接合、プラズマ活性化接合、直接酸化結合、ポリマー結合、金属−金属結合、熱圧着、共晶接合、化学活性接合、超音波接合、直接ポリマー接合、粘着性接着、ファンデルワールス結合、及びそれらの任意の組み合わせを含む。
実施例及び非限定的実施形態
図18は、密閉チャネルの端の一連の蛍光画像を示したものであり、チャネルと結合領域の両方を示す。励起波長は赤光(635nm)であり、検出シグナルは帯域通過フィルター(665〜705nm)を通過し、あらゆる反射励起光が取り除かれる。酸化ケイ素の厚みが増加するに従って、透明基板とベース基板が薄膜を介して結合した領域におけるバックグラウンドが635nm以上の波長領域において上昇がみられたのに対して、チャネル領域のバックグラウンドは低いままであった。緑光(532nm)及び青光(473nm)を用いて測定した場合のバックグラウンド値は酸化ケイ素の厚みによる変化は見られなかったことについては、注目すべきである。この実施例では、酸化ケイ素はPECVDを用いて蒸着させ、チャネルは空気を充填した。画像はEMCCDカメラで撮影した。
図18は、特定の標識からの発光を誘導するために用いる照射に暴露した場合にバックグラウンドシグナルをもたらす薄膜を使用することによる課題を示している。図18に示したように、SiO薄膜を用いた装置は、様々な波長にわたって比較的高いバックグラウンド値をもたらし、これは、当該装置のバックグラウンドシグナルと同じ波長で発光する(励起放射に暴露した場合)標識サンプルからのシグナルを分析したいユーザーに対してもたらす。言い換えれば、本図面に示したSiO装置は比較的低いシグナル/ノイズ比を有しており、これは、本装置の比較的高いバックグラウンドシグナルに対応した標識サンプルを選択し、分析することを模索しなければならないという課題をユーザーにもたらすものである。
このような高いバックグラウンド値は、チャネルの端の近辺にある目的物本体のからの検出困難或いは検出不可能な弱小シグナルの検出を可能にする。これは、特に非常に幅の狭いチャネルの場合に問題となるものであり(チャネルがナノチャネルの場合のように、励起放射の波長に近似したものであるか、或いはそれ以下)、その場合、標識目的物本体は、バックグラウンドを上回る十分なシグナル強度を有する。しかしながら前述したように、バックグラウンドを減少させるために二酸化ケイ素薄膜を除いた場合には標識本体の消光がもたらされる。
図19は、酸化ケイ素薄膜が窒化ケイ素薄膜に置き換えたこと以外は図18と同じとした実験を示す。窒化ケイ素は、半導体産業にて一般に使用されている電気的物質、つまり、ほとんどの半導体製造工場で幅広く利用されているであるものが選択される。この実施例では、窒化物を用いたバックグラウンドの増加とは関連がないものである。
図19は、チャネルと境界領域の両方を示した密閉チャネルの端の一連の蛍光画像を示したものである。励起波長は赤光(635nm)であり、検出シグナルは帯域通過フィルター(665〜705nm)を通過し、あらゆる反射励起光が取り除かれる。窒化ケイ素の厚みが増した場合であっても、透明基板とベース基板が薄膜によって結合した領域のバックグランドは明らかな増加又は減少を示していない。緑光(532nm)と青光(473nm)で測定したバックグラウンド値は窒化ケイ素の厚みによる変化は見られなかった。この例では、PECVDにより窒化ケイ素が蒸着されておりと、密閉チャネルは空気で充填した。画像は、EMCCDカメラを用いて撮影した。
図8で示した実施例では、挿入染色(TOTO−3)にて標識した二本鎖ヒト遺伝子DNAを、58nmのSiO薄膜を有する様々な幅の密閉チャネルに流した。チャネルの幅が小さくなると、ベース基板と透明基板が前記SiO薄膜によって結合した領域からの高いバックグラウンド値のために、前記DNAはより見えなくなった。
図20は、様々な幅の密閉チャネル中のDNAの蛍光画像(a)を示す。チャネルと結合領域との間の境界が、結合領域にて発生する高いバックグラウンドと、100nmの幅のチャネル中のDNAの蛍光画像(b)のためにより明確に見える。結合領域(すなわち、ある基板が別の基板に結合している領域)から生じるバックグラウンドのために、この幅ではDNAはほとんど見えなくなる。前記バックグラウンドは、ナノチャネルの非常に狭い幅によって、全体的に高く見える。当該図面における項目(c)は、画像(a)及び(b)によって得られた液体チップの概略図を示す。SiOは、PECVDを用いてエッチングされたケイ素基板の上に58nmの厚みで蒸着し、Schott Borofloat33(登録商標)から成る透明ガラス基板は、シリコンで被覆したSiOに陽極接合させた。TOTO−3標識DNAは、赤光(635nm)で励起し、検出シグナルは帯域通過フィルター(665〜705nm)を通過し、反射励起光を除去した。
図に示したように(例えば図20(b))、SiO薄膜により、(適切な波長で)比較的高いバックグラウンドシグナルを有する装置が得られた。この比較的高いバックグラウンドにより、チャネルの端に近くに位置する目的物(例えば標識DNA)の境界からの弱いシグナルの検出が困難になる。この現象は、例えば、幅が励起放射の波長又はそれ以下に近くなった場合、チャネルがナノスケールの幅になった場合など、チャネルの幅が非常に狭くなる場合に特に急激となる。これらの例では、標識目的物本体は、バックグラウンドを超える十分なシグナル強度を有するが、目的物本体に配置される標識の明るさ、又はその数によって限定され、また、標識物本体を励起するために使用される放射強度によっても限定される。さらに、本明細書において説明したように、酸化ケイ素薄膜を取り除くことにより、標識本体が消光し、分析がより困難となる。
図21に示した本実施例において、挿入染色(TOTO−3)を用いて標識したDNAを液体中、58nmのSiN薄膜で密閉した様々な幅のチャネルに流した。チャネルの幅が小さくなってもDNAは可視のままにとどまり、図20のSiO薄膜と比較した場合、SiN薄膜によってベース基板が透明基板に結合した領域のバックグラウンド値は増加しない。
図21の項目(a)は、様々な幅の密閉チャネル中のDNA蛍光画像を示す。図20(a)と異なり、チャネルの境界線は低いバックグラウンドのために目に見えない。図21(b)は、幅100nmのチャネル中のDNAの蛍光画像を示す。標識DNAのSBRは、図20(b)に示したものより高い。図21(c)は、チップ画像(a)及び(b)から得られる密閉チャネルチップの概略図である。
非限定的実施形態において、SiNは、PECVDを用いてエッチングしたケイ素基板の上に、58nmの厚さで蒸着させた。Schott Borofloat33(登録商標)から成る透明ガラス基板は、ケイ素基板で覆われたSiOに陽極接合させた。TOTO−3標識DNAは、赤光(635nm)で励起し、検出シグナルは帯域通過フィルター(665〜705nm)を通過させて、あらゆる反射励起光を除去した。
SiN薄膜(図21)とSiO薄膜(図20)とを比較することにより、請求発明の別の側面が明らかになる。図20及び図21に示したように、SiN薄膜(SiO薄膜と比較して)は、蛍光で標識された分子が、実験下において、その分子が消光し、少なくとも部分的に発光波長を放射する能力を失うというよりもむしろ、励起放射を照射した場合に蛍光を発することを可能とするものである。
従って、一部の実施形態において、1若しくはそれ以上の薄膜は、分析装置のバックグラウンドシグナルを減少させるために選択される(図18はSiOxを薄膜として使用したサンプル装置のバックグラウンドの痕跡を示したものであり、図19はSiNxを薄膜として使用したサンプル装置のバックグラウンドの痕跡を示したものである)。薄膜は、更に、蛍光標識目的物が、標識の蛍光を消光することなく励起した場合、蛍光を発光する能力をもたらすために選択される(図20(b)は基板が蛍光標識したサンプルに影響を及ぼす消光効果を図示したのに対して、図21(b)はSiNx薄膜を用いた場合には消光が起きないことを示したものである)。
理論に縛られることはないが、特定の薄膜物質は、蛍光分子が励起放射に暴露されている間、蛍光分子を基板から反射した放射を遮断することが可能である。また、特定の理論に縛られることはないが、薄膜物質は、蛍光分子が励起放射に曝されている間、基板から反射した特定の波長の放射を遮断又は吸収することにより、装置からのバックグラウンドシグナルを減少させることを達成することが可能である。
開示した非限定的実施形態は、SiN薄膜、Si、及びBorofloat33(登録商標)基板を有する装置内において、赤光(635nm)にて励起したTOTO−3標識DNAを分析の間にもたらされる請求発明の利点に着目するものでありが、本発明はこのような実験的実施形態に限定されるものでではない。本願明細書の別の箇所で記載したように、請求発明の基板及び薄膜は、様々な物質を含むものであっても良く、当業者であれば、特定の分析方法に対する薄膜、標識/蛍光、及び基板の適切な組み合わせが容易に見いだせる。一部の実施形態において、本願発明は、ユーザーが適切な薄膜を選択した場合、装置のバックグラウンドシグナルを減少させることにより、当該装置が装置内にて処理された蛍光色素分子に与える消光の影響を抑制することが可能となる。
本願明細書において説明したように、消光、或いは蛍光色素分子や発光を反射又は放射する他の標識の能力を限定することは好ましくなく、これは、そのような消光はユーザーがバックグラウンドに対する目的物の問題を解決するのを限定してしまうからである。そのような消光を避けるため(少なくとも抑制する)、本願発明は、ユーザーがそのような標識物のバックグラウンドに対する存在又は位置を解決する機能を促進するものである。SiNは蛍光色素分子の蛍光能力を失わせるものではない(図7及び図21に示すように分析装置のバックグラウンドを抑制する)。当業者であれば、消光を最小限にしながらバックグラウンドを抑制する他の物質の同定は容易であろう。
一部の実施形態において、当該装置は、チャンバー内に配置されている間、励起放射に曝された発光色素分子の消光を最小に抑える比較的低いバックグラウンド物質である、チャンバー物質(例えばSiN)内に配置されたチャネル又はチャンバーを含む。そのようなチャンバーは、例えば、除去された犠牲材料に実質的に適合するチャネルの痕跡を残すために、チャンバー物質内の犠牲物質を処理し、選択的に犠牲材料を除去することなどによって、物質内に形成される。
実施形態
図1は、請求発明に従った装置の概略図を示す。本図面における装置は、互いに結合したA及びBの2つの基板を含む。基板Aは、Dの厚さを有し、基板B(2つ基板の上部)は、Dの厚さを有する。
図に示したように、ポート(入口又は出口)は、装置の外にある環境と流体連通した状態でナノスケール構造が装置上に配置されるように、基板A及びBにわたって広がっている。
相互接続、すなわちマイクロスケールのチャネル又は管路は、装置上に位置するフロントエンド(FE)構造と流体連通したポートに配置される。ポートは、基板全体の厚みにわたって広がっているか、或いは、基板の厚みで部分的に広がっている。
FE構造は、装置で分析される高分子(例えばDNA)を部分的に拡張させるか、或いは伸長させるために作動する。高分子の伸長は、更に、米国特許出願10/484,293において説明されており、これは本参照により完全に本願明細書に組み込まれるものである。好ましいFE構造は、本願明細書の他の箇所に記載されており、カラス型(crow−form)チャネル、ワシ型(eagle−form)チャネル、ピラー、ポスト、及び絡まったり折りたたまれた本体を伸長するために作用する他の構造を含むものであっても良い。そのような構造は、一方又は両方の基板上にある好ましいパターンである。
図1に示したナノチャネル配列装置のように、装置は基板A、基板B、又はそれらの組み合わせの上に作られる(例えば、配列の幾つかの部分は基板Aの上に作られ、他の部分は基板Bの上に作られる)。好ましいナノチャネル及びナノチャネルで処理された高分子の分析方法はすべて、米国特許出願10/484,293号に記載されており、この全体は本参照により本願明細書に完全に組み込まれるものである。
幾つかの実施形態において、本願の分析方法は、DNA目的物を1若しくはそれ以上の標識に暴露する工程と、DNA目的物を本用途に従った装置を経て移動する工程と、更に標識が存在するか(又は存在しないか)についてDNA目的物を(光度的に)調べる工程と、を有する。蛍光染色、及び関連した機器は、そのような分析に適しているかどうかについて考慮される。
ナノチャネル配列は、添付図面に示したように、1若しくはそれ以上のナノチャネルを含むものであっても良く、並行した、曲がりくねった、集束した、ジグザグ型の、湾曲した、或いはその他のパターンであっても良い。
一部の非限定的実施形態において、ナノチャネル配列は、図10に示したように、折り畳まれる1つのナノチャネルを含むものであっても良い。ナノチャネルは、断面が一定であるか或いは変化するものであり、同じ装置にある複数のナノチャネルが異なる大きさであってもよい。
図1に示した装置はまた、他の実施形態において、ナノチャネル配列と、ポート、入口又は他の導管の間に配置されるバックエンド(BE)構造を有する。BE構造は、FE構造(本願明細書の別の箇所で記載)に対して好ましい構造であり、1若しくはそれ以上のチャネル、ピラー、障害物、及び同様のものを含むものであっても良い。そのようなBE構造は、目的物質(例えば高分子)をナノチャネル分析領域から、相互接続部又は他の導管に移動させるのを助けるものである。BEは、目的物質をナノスケール(ナノチャネル)環境から、より大きな(マイクロサイズ又はそれ以上)の構造を含む環境に移動させるのを助けるものである。
図1の装置は寸法が異なるものであっても良い。この装置は、約0.1mm〜約100nmの長さ(L)、約0.1mm〜約100mmの幅(W)、及び約10nm〜約10mmの範囲の好ましい厚みを有する基板(A及びBとして示した)を有するものである。特定の装置は、約1〜約1000の独立したナノチャネル配列デバイスを有するもの、約2〜500の個別ポートを有するものであっても良い。好ましい配列数及びポート数は、ユーザーの必要性に応じて変わる。
図2(a)は、図2〜図5に示した装置の断面図の方向を示す赤色矢印を用いて、ナノデバイスチップの例を示したものである。図2(b)は、請求発明による非限定的製造図の例を示したものである。本実施形態において、液体素子は、最も低い基板の上に形成されており、最も低い基板は上部基板と結合(例えば陽極接合)しており、上部基板はガラス又は好ましい透明物質である。
図3(a)は、製造図の例をしめし、ここで、その上にエッチングされたチャネル素子を含む1つの基板(基板A又はB)は、熱酸化物成長又原子層蒸着(ALD)などの共形蒸着法のいずれかにより被覆され、その後、融合又は陽極接合によって第2の基板と結合される。図3(b)は、上部基板にエッチングさ走査型電子顕微鏡れたチャネル素子を有する基板の限定された製造図を示すものであり、上部基板は、表面全体又は結合表面のみにわたって成長或いは蒸着されたフイルム(例えば酸化ケイ素)を有する下部基板(例えばシリコン)と陽極接合された透明ガラスが好ましい。チャネルは、両方の基板にエッチングされていても良く、基板が互いに結合している場合には複数のチャネルがもたらされることになり、或いは基板上にあるチャネルが互いに登録されている場合には、1つのチャネルが形成される(図13)。
図4(a)は、両方の基板にエッチングされたチャネル素子を有する2つの基板(基板A又はB)の製造図と、次の下部基板を熱酸化成長又はALDなどの共形蒸着法により被覆工程を示すものである。基板はその後、覆いかぶさった反対基板の表面上にあるチャネルの少なくとも部分的に融合或いは陽極接合によって互いに結合される。図4(b)は、別の非限定的製造図を示すものであり、被覆層を基板の両方の表面上に蒸着し、その後チャネル素子が被覆層及び下部基板にエッチングされ、前記基板はその後、相対する結合表面が少なくとも部分的に重なるように融合又は陽極接合によって結合される。
図5(a)は、図5〜図11で示した装置のチャネルパターンを上から見た図を矢印で示したナノチップの例である。
図5(b)は、4ポートの実施形態と、2ポートの実施形態の構造の非限定的配置を示したものである。矢印はサンプル(例えばDNA)の流れの方向を示したものである。サンプルは、示した方向に流れる必要性はなく、前記流れの方向は制止しても良く、必要であれば逆になっても良い。
本実施形態は、ポート、相互接続領域、FE及びBE領域、及びナノチャネル配列の間の望ましい関係を示したものである。そのような方法によってこれらの構成要素を配置することにより、当該装置は、目的物(例えばDNA或いは他の高分子)が、入口ポートのセンチメートルスケール(10−2m)から、相互接続及びFE/BE領域のミリメートルスケール(10−3m)まで、さらにナノチャネル分析領域におけるナノチャネルのナノメートル領域(10−9m)まで、幅広い長さのスケールにて操作することが可能である。図5においては、分析領域を「ナノチャネル配列領域」と示してるが、分析領域は単一のナノチャネル或いは配列の様な構造で配置されてないナノチャネルを含む。
図6(a)はマルチポート装置デザインの実施形態を示す。図6(a)のデザインでは、独立した2ポート装置を8個含む16ポートを有する。これらの実施形態においては、ユーザーが異なる多数の目的物を同時に分析することを可能にする。
図7(a)は、多段階分岐チャネル配列を示す。本実施例では、5個のチャネル配列が重なっており、このチャネルは徐々に断面積が小さくなっており、更に図7(a)の下部に位置するマイクロ流体の入口チャネルとナノチャネル分析領域との橋渡しをする5つの分岐点により結合している。分岐点間の距離は、好ましくは約50ミクロンであり、2つのより小さなチャネルは、好ましくは、各枝分かれ地点にて元のチャネルの半分の断面積を有する。
図示したように、各分岐点において、チャネルは2つの小さなチャネルに分裂している。分岐角は、約0〜約90°までの範囲であっても良いが、好ましくは約30°〜約60°であり、Mは好ましくは約0.4〜約0.6Vである。命名の問題であるが、例えば図7に示す装置では、鋭くとがった或いは参画の分岐点構造によってチャネルが分裂しており、これは「カラス(crow)」チャネルとして知られており、本願明細書の他の部分で詳細に説明している。
目的物(例えば液体耐性高分子)は、分析している間、1〜15、或いはそれ以上に分かれたチャネルを通り、各分岐チャネルの長さ(L)は約5〜約80マイクロメートルの間で変化する。ユーザーは、本願請求装置の目的物質が比較的大きな入口ポートから、ナノスケールのナノチャネル分析領域に侵入する動きを制御できるように、分岐点の数及び第1チャネルに対する第2チャネルの相対的な大きさを変えることが可能である。多段階分岐チャネル構造(図7)を使用しても良い。
図7(b)は、枝分かれした分岐点にて相互接続した異なる大きさのチャネルの2つの配列の走査電子顕微鏡(Scanning Electronic Microscopy:SEM)画像を示す。図7(c)は、分岐点における角度は、約0〜約90°であり得るが、分岐点において比較的鋭く分裂した枝分かれした分岐点デザインを漫画図で示したものである。
図7(d)はチャネル内部で移動する蛍光標識分子の映像から撮った画像を示しており、チャネルと相互接続分岐点をハイライトした。図7(e)は、1つの比較的長い遺伝子DNA分子が、大きなチャネルから分岐したより小さなチャネルに移動して伸長する蛍光画像である。1つのDNA分子によって輪郭が示されているように、分岐点における鋭い分裂がみられる。
図7では、例えば高分子又は他の目的分子が図の上部に示した相互接続領域に侵入し、図の下部に示したナノチャネル配列領域に侵入する前に、5(若しくはそれ以上)の分岐(分裂)点を通過するように、多数の「カラス(crow)」構造が使用されている。
議論したように、分岐点間の距離は約50ミクロンであっても良く(この距離は50ミクロンより大きいか又は小さい可能性があるが)、各分岐点から発生するより小さなチャネルは、各枝分かれの地点にて元のチャネルの約半分の大きさである。従って、第2(又は「分岐」)チャネル内に含まれる液体が利用できる全断面積は、第1(或いは「幹」)チャネルの断面積におおよそ等しいものである。分岐チャネルの長さに沿って、流体が利用可能な実質的に一定な断面積を維持することにより、本願開示装置は、断面積が狭くなった或いは広くなったチャネルにより得られる流動場の変化或いは混乱を最小限に抑えるものである。
図8(a)は、別の多段階で分岐した相互接続チャネル配列の第2のデザイン図を示す。図8(b)は、異なる大きさのチャネルが相互接続した2つの分岐配列の走査電子顕微鏡(SEM)画像を示す。
図8(c)は、分岐点に付近においてより円形或いは起伏のある屈曲部を有する枝分かれした分岐点デザインを示す。図8(d)は、標識分子がチャネル内部を移動する映像から得られる画像を示したものであり、チャネル及び相互接続分岐点をハイライトしており、図8(e)は、1つの長い遺伝子DNA分子が大きなチャネルからより狭いチャネルに移動して伸長する蛍光画像を示す。分岐点において起伏のある屈曲部の2つの異なる段階が単一DNA分子によって輪郭が描かれている。
蛍光画像において、DNAサンプルは、1つの分子に対して5塩基対の比で、内部挿入染色(YOYO−1)ヒト男性遺伝子DNAを用いて染色した。DNAは、5ng/μLの濃度の0.5倍TBA緩衝液中に懸濁した。DNAをキャピラリー流或いは0〜50Vの範囲の電圧を適用させた電場のいずれかを用いてナノチャネルに流した。サンプルの励起はダイオードを放出する光を用いて行い、蛍光発光は60倍目的物を介して収集し、電子倍率(electron multiplying)CCDカメラを用いて検出した。
図8は、「ワシ(eagle)」構造のチャネルを示す。図示したように、第1チャネルから分岐チャネルに分裂した分岐点は、円形ピラーなどの円形構造であることが好ましい。分岐点の半径或いは効果的な断面積は、例えば分岐点の角が、分岐点より前意にあるチャネル内に伸びていることが好ましい。
特定の理論に縛られることはないが、本構造における高分子(或いは他の目的物)は、チャネル内において、図に示したように、(例えば勾配を適用させた)電場路を通過した後、その後に続くチャネルの角というよりはむしろ中心に侵入する。従って、目的分子は、分岐ネットワークにおける他のチャネルよりも特定のチャネルに侵入する傾向が高いということはなく、従って当該ナノチャネル配列では均一にナノチャネルが充填されている。
1つの実施形態において、MはWの0.3〜0.7倍であり、XはWの0.3〜0.5倍である、目的物質がナノチャネル配列に到達する前に通過する分岐点の数は、2〜15であり、各分岐チャネルの長さ(L)は5〜80ミクロンで変化し得る。
特定の実施形態において、複数の「ワシ(eagle)」構造が使用されており、目的物がナノチャネル配列領域に侵入する前の各ワシ(eagle)構造における分岐点の数は5である。非限定的実施形態における分岐点間の距離は、50ミクロンであり(この距離は50ミクロンより大きいか或いは小さいものであっても良いが)、流体が利用可能な全断面積が装置の長さに沿ったいかなる面においても同じであるように、2つの小さな(分岐)チャネルは、元のチャネルの半分の大きさである。
図9(a)は、分岐チャネルとポスト配列の組み合わせを示した別のデザインの図を示す。位置実施形態において、分岐チャネル配列は互いに相互接続しており、チャネル内においてはポスト配列に相互接続している。図9(b)は、チャネル内に埋め込まれた高密度円形ポスト配列の走査電子顕微鏡(SEM)画像を示す。
図9(c)は、分岐チャネルとポスト配列とを有するデザインの図を示す。互いに接合した多段階分岐チャネルを有する一実施形態では、次第に大きさが減少し密度が増加するダイヤモンド型ポストを有する。図9(d)は、より小さな大きさの下流チャネルと相互接続したチャネル内に埋め込まれた高密度ポスト配列の走査電子顕微鏡(SEM)画像を示している。図9(c)は、チャネルを有するポスト配列内を移動する比較的長い遺伝子DNA分子の蛍光画像を示す。
図10(a)は、連続的に接続していると共に、蛇行した構造において並行したナノチャネルが連続して配置された1つの長いナノチャネルを有するデザインを示しており、本構造の配列から得られるある部分を示したものである。図10(b)は、シリコン基板にエッチングを施した蛇行構造のナノチャネルの囲んだ領域の走査電子顕微鏡(SEM)画像を示しており、チャネルの曲がった部分を示す。図10(c)は、ナノチャネル内を移動し、180°で曲がった遺伝子DNA分子の恵子画像を示す。
本構造は、とりわけ、ある1つの視野における伸長した或いは伸長する高分子の可視化への課題に対応している。これは、高分子は非常に長いため、高分子が伸長するのに十分な長さのチャネルは、高倍率顕微鏡の視野の幅よりも長い可能性があるからであり、これは、ユーザーがある1つの視野にて高分子を完全に可視化することを不可能にするものである。
図10に示したように、蛇行した或いはジグザグ型のナノチャネルを有する装置は、1つの視野に収まるチャネルの長さを伸ばし、ユーザーが1つの視野にて伸長した高分子を見通すことができるようにするものである。或いは、そのような装置は、1つの視野における視界を伸長した高分子の実質的な部分を網羅するようにすることも可能である。蛇行、ジグザグチャネルはまた、単一視野における移動高分子の滞留時間を増加させるものでもある。
図11(a)は、一連の並行なナノチャネルが接続した複数の長いナノチャネルを示しており、前の図との違いは、各チャネル段階が、1000nm〜100nmへとチャネル幅が漸進的に減っていることである。図11(b)は、四角く囲んだ部分の、シリコン基板にエッチングを施した蛇行構造ナノチャネルの走査電子顕微鏡(SEM)画像を示しており、下部から上部に向けてチャネル幅が徐々に減少し、その後、比較的広いチャネル出口へとつながっている。
図11(c)は、図11に示したチャネル内を移動し、より小さな領域のより小さな大きさのナノチャネルに侵入し、徐々に引き延ばされた1つの遺伝子DNA分子の蛍光画像を追跡した低速度撮影映像フレーム(各パネルは異なる時点を示す)を示したものである。対照或いは参照標準として作用するために、固定分子の画像を示し、固定分子の長さを、集めた画像フレームのパネル全体にわたって2つの破線で示した。種々の画像パネルを参照すると、最も上にあるパネルは、実際のチップパターンの明視野光学画像を示しており、5つ目のパネルは、DNA分子が角を曲がるところの蛍光画像を示したものである。
図12(a)は、別の非限定的設計の査電子顕微鏡(SEM)画像を示したものであり、この設計では並行であり、直線ではないジグザグパターンで配置した配列を含む。図12(b)は、ジグザグ型チャネル内で伸長した蛍光標識DNA分子の画像を示す。図12(c)は、不規則なナノチャネルパターン(「BNM」の文字)の走査電子顕微鏡(SEM)画像を示しており、このパターンのチャネルはすべて、実質的に同じ幅を有する。図12(d)は、互いに垂直に交差したナノチャネルの2つのセットを示しており、重なった領域は、高密度であって円形ポスト配列のように見える。
製造
製造工程は、基板表面に流体的特徴を生成する工程と、さらに、前記基板表面を第2の基板と結合させて、ポストにより利用可能な密閉流体装置をもたらす工程とを含む。或いは、当該製造工程は、基板表面に流体特徴を製造する工程、第2基板表面状に流体特徴を製造する工程、及び前記2つの基板表面を結合させて、ポストにより利用可能な密閉流体装置を形成する工程を含むものであっても良い。
基板物質は、これらに限らないが、ケイ素、酸化ケイ素、窒化ケイ素、酸化ハフニウム、石英、ガラス、溶融水晶、金属、酸化アルミニウム、金属、セラミック、ポリマー、プラスチック、誘電材料、SiGe、GaAs、GaAlAs、ITO、及びそれらの類似体を含む。一実施形態において、少なくとも1つの基板は、UV、可視、及び赤外線電磁場放射透過性である。
一実施形態において、ナノチャネル配列、フロントエンド/バックエンド、及び相互接続の模様が、基板上(例えばシリコン)に形成され、(例えばフォトリソグラフィーによって)、その後これらのパターンはエッチングによってシリコン上に転写される。種々のパターン及びエッチングの選択が可能である
パターンは、例えばフォトリソグラフィー、ナノインプリントリソグラフィー、エンボス加工、干渉リソグラフィ―、近視野ホログラフィー、密着焼き付け、極紫外線リソグラフィ―、電子線リソグラフィ―、或いはそれらの組み合わせによって達成される。
これらのパターン選択肢は、硬質或いは軟質マスクを使用することにより、パターンの基板への転写を補助する。これらのマスクは、これらに限定されるものではないが、反射防止コーティング、酸化ケイ素、窒化ケイ素、誘電体、金属、有機フイルム、それらの組み合わせ、及び類似体を含む。これらのパターンの選択肢としては、これらに限定されるものではないが、リフトオフ工程、シャドウ蒸着(shadow evaporation)、成長、蒸着、それらの組み合わせ、及び類似の方法など、種々の中間パターン転写法を使用しても良い、
エッチングの選択肢としては、これらに限られるものではないが、化学エッチング、ウェットエッチング、KOHを用いたエッチング、TMAHを用いたエッチング、HFを用いたエッチング、BOEを用いたエッチング、イオンエッチング、反応性イオンエッチング(RIE)、プラズマエッチング、プラズマ支援エッチング、誘導結合プラズマ(ICP)エッチング、ボッシュエッチング、シリコンにおけるパターン化酸化成長(例えばLOCOS)、及びウェットエッチングを用いた除去、それらの組み合わせ、及び類似の方法を含む。
パターンの順序
一実施形態において、ナノチャネル配列及びフロントエンド/バックエンド(FE/BE)は、パターン化とエッチングが同時に行われ、相互接続はその後に行われる。しかしながら、そのように行われる必要はなく、このような流体構成要素のパターン化の順序は変化してもよい。
ナノチャネル配列は干渉リソグラフィ―によってパターン化され、その後フロントエンド/バックエンドのパターン化は、フォトリソグラフィ―によって別の工程で行われる。別の実施形態において、ナノチャネル配列、フロントエンド/バックエンド、及び相互接続はフォトリソグラフィ―或いはナノインプリントリソグラフィ―を用いた1つの工程で適切にパターン化されても良い。別の実施形態において、相互接続、フロントエンド/バックエンド、及びナノチャネル配列が異なる深さとなるように、1つのパターン化工程において、例えばナノインプリント、エンボス加工など、種々の深さを基板に転写可能なパターン化技術が使用される。
ポート
ポートは、フォトリトグラフィー、及び、その後続いて、例えば深部シリコンエッチング(「Bosch Etch」)などのエッチングプロセスによってエッチングすることにより適切にパターンが形成される。しかしながら、ポストの製造には、様々な製造方法の選択が使用可能である。そのような選択肢としては、非限定的ではあるが、RIE、ICPエッチング、プラズマエッチング、レーザー掘削、サンドブラスティング、掘削、ウェットエッチング、化学エッチング、水掘削、超音波掘削、及びそれらの組み合わせが含まれる。
ポートは5〜5000ミクロンの幅(半径)であることが好ましく、深さはそこにある基板の厚みとなる。一実施形態において、ポートは、50〜2000ミクロンの範囲の深さ(半径)を有する。
結合
一実施形態において、当該装置の流体構成要素は、パターン化されたシリコン基板をパターン化されていないガラスウエハーと陽極接合することにより完成する。
一実施形態において、陽極接合されるガラスウエハーは、Pyrex7740、Schott Borofloat33(登録商標)、或いは同様の熱拡張特性を有する任意のガラスであっても良い。他の選択肢としては、好ましくは、これらに限定されるものではないが、融合結合、熱結合、化学結合、石英−石英結合(quartz−quartz bonding)、ガラス−ガラス結合、ポリマー結合、溶媒結合、接着結合、それらの組み合わせ及び類似のものを含む。
陽極及びその他の結合条件は、当業者のユーザーによって容易に最適化される。非限定的な例として、ケイ素及びBorofloat(登録商標)ガラスは、400Vの電圧、約350℃の温度を5分間適用させることにより陽極接合される。陽極接合電圧は、例えば約200V〜約800Vであり、温度は好ましくは約200℃〜約400℃であり、約1〜約100分間適用される。
流体構成要素表面
様々な物質が流体構成要素の表面を構成しており、それらは、これらに限定されるものではないが、ケイ素、酸化ケイ素、窒化ケイ素、酸化ハフニウム、石英、ガラス、溶融水晶、金属、酸化アルミニウム、セラミック、ポリマー、プラスチック、誘電体、SiGe、GaAs、GaAlAs、ITO、有機分子、自己集合単層、自己集合多層、それらの組み合わせ及び類似のものを含む。一実施形態において、流体構成要素は、酸化ケイ素及び/又はガラス表面である誘電体表面を有する。
製造実施例
一つの非限定的実施形態において、結合後の流体構成要素(ナノチャネル配列、フロントエンド/バックエンド、相互接続、及びポスト)は、例えば装置内に暴露された流体が酸化ケイ素又は/及びガラスのみと接触するようになった、酸化ケイ素又は/及びガラス表面を有する。これらの表面は、酸化ケイ素薄膜を、ナノチャネルのパターン化及びエッチング後のエッチングシリコン表面、フロントエンド/バックエンド、相互接続、及びポストに暴露することにより形成される。
原子層蒸着(ALD)によりパターン化及びエッチングされたシリコン基板上に、約1nm〜約5000nmの厚さで酸化物を蒸着する。このシリコンウエハーは、その後ガラス基板と陽極接合される。
酸化ケイ素表面は、幾つかの有用な目的を果たす。まず第1に、酸化ケイ素は、流体中のDNAを移動させるために必要な電場が使用された場合に有用な絶縁フィルムを提供し、その場合の基板はケイ素である。
酸化ケイ素は、更に、当該用途に必要な場合には機能化或いは不動化される。前記層により、更に、すでに存在しエッチングされたナノチャネル上に酸化物を成長或いは蒸着させた場合、ナノチャネルの半径を所望の大きさに変化(調製される)することが可能である。
一実施例において、幅200nm及び深さ150nmのナノチャネルは、50nmの共形酸化物がナノチャネル全体に蒸着された場合には、幅100nm及び深さ100nmに減少する。このように、コーティングをすでに形成された流体構成要素(例えば溝或いはトレンチ)に適用させることにより、液体流動が可能である構成要素の半径を小さくするために、ユーザーが操作可能な方法で構成要素の境界を構築することを可能にするものである。
酸化ケイ素はまた、UV、可視、及び赤外光を含む電場放射の幅広いスペクトラムに対して透明である。
酸化ケイ素及び/又はガラス表面を用いて流体チャネルを形成するための製造方法は幅広く様々な方法がある。
ケイ素上における熱酸化成長
ケイ素が基板に使用される場合、酸化ケイ素表面は、ケイ素表面をケイ源として使用することにより酸化物を成長させることによりもたらされる。例としては、これらに限定されるものではないが、乾燥熱酸化成長、湿潤熱酸化成長を含む。これは、流体構成要素のすべて或いは幾つかをケイ素にパターン化及びエッチングするか、或いは構成要素のいずれもケイ素にパターン化及びエッチングしない場合かに関係なく適用される。限定されないが、ケイ素に基づく実施形態は、添付の図面で説明される。
ケイ素、ガラス、或いは石英上に蒸着した酸化物
酸化物は、両方或いは一方の基板に蒸着される。この例は、これらに限定されるものではないが、PECVD,CVD,LPCVF,熱蒸発、スピンオンガラス、eビーム蒸発、スパッタリング、ALD,及びそれらの組み合わせを含む。代表的な例は、例えば図2〜5に示す。
酸化ケイ素、石英或いはガラス上への直接エッチンング
更に、酸化ケイ素或いはガラス表面は、流体構成要素を直接酸化ケイ素或いはガラスにエッチングすることにより得られる。これは、酸化ケイ素/石英/ガラス基板に直接エッチングをするか、或いはケイ素基板上において酸化ケイ素のフイルムにエッチングを施す
ことにより得られる。図2〜5を参照。
装置構造
図5において、第1の入口及び出口のポートは、電場が適用された場合に、ナノチャネル配列におけるナノチャネル全体に大凡平な電界となるように、およそ互いに向かい合っている。一実施形態において、本願装置の3つの流体構成要素すなわちナノチャネル配列、フロントエンド/バックエンド、及び相互接続すべてが含まれる。
別の実施形態において、フロントエンド及び/又はバックエンドは削除されても良く、この場合、相互接続は、ナノチャネル配列に直接接続する。別の実施形態において、相互接続は削除されても良く、この場合、フロントエンド及び/又はバックエンドはポートに直接接続している。
別の実施形態において、フロントエンド及びバックエンドの両方、並びに相互接続は削除されても良く、従ってこの場合、ナノチャネル配列は直接ポートに接続している。一実施形態において、当該装置は、入口及び出口ポートの間に電場が適用された場合ナノチャネル配列内における均一な電界強度がナノチャネル全体に渡って最大となるように左右対称となっている。
別の実施形態において、ナノチャネル配列の入口は、逆フロントエンド構造(バックエンド(BE)と称する)さらに図5(b)に示した内部接続チャネルへと繋がっている。別の実施形態において、ナノチャネル配列の入口は、直接出口ポートにつながっている(バックエンド及び内部接続が削除されている)。
別の実施形態において、ナノチャネル配列は、出口ポートに繋がっている内部接続に直接繋がっている(バックエンドが削除されている)。別の実施形態において、バックエンドは出口ポートに直接繋がっている(内部接続が削除されている)。
2ポート装置
2ポートチップは、サンプルが充填される入口に1つ、サンプルが取り除かれる出口に1つある。サンプルの移動は、電気浸透流、動電的、電気泳動圧力、キャピラリー、或いはそれらの組み合わせなどの力を利用して、これらの2つのポートを介して直接制御されている。これらのデザインは、単純にキャピラリーサンプル充填を直接操作するという容易さを有しており、これは顕著に有利な効果である。このようなデザインは、ポート数を最小限に減らし、チップ1つあたりに収容可能な独立した装置の数を最大限に増やすものである。
4ポート装置
4ポート装置は、2つの入口(第1/第2)ポートと、2つの出口(第1/第2)ポートを有する。2ポートチップに対して、本デザインの主な利点は、ナノチップ配列全体に渡ってサンプルの移動をより自由にチップ操作することをもたらす点である。サンプルの移動は、例えば、電気浸透流、動電的、電気泳動圧力、キャピラリー、或いはそれらの組み合わせなどの力を利用して、4つのポートを介して直接制御可能である。この用途において、サンプルは第1〜第2の入口ポートを制御された方法によって流れ、入口が認識さると直ちに、サンプルの流れを調節することにより、ナノ流体FE領域に移動する。
勾配フロントエンド及びバックエンド
フロントエンド及びバックエンドは、マイクロ流体及びナノ流体領域の間の境界面として特徴づけられる。フロントエンド(FE)は好ましくはDNAをほどき、伸長させ、マイクロ流体スケール相互接続領域から、より小さなスケールのナノチャネル配列へとの移動を促進する。これは、好ましくは、DNAがナノチャネル又はナノチャネルに近づき更に侵入した場合、DNAが伸長する効果を有する高密度でパターン化され漸進的に小さくなった(より狭い空間になった)構造のネットワーク/配列を通って流れることにより達成される。FEデザインは、好ましくは、様々な属性を有する「枝分かれしたチャネルネットワーク」構造の改良型である。
まず第1に、枝分かれしたチャネルはそれぞれ、2つ若しくはそれ以上のチャネルに分裂する。一実施形態において、枝分かれチャネルの全体の幅は、およそ元の幅と同じであり、全体の断面積はおよそ同じままである。このような方法において、枝分かれしたネットワーク内における流速は、ほぼ一定のままである。
第2に、枝分かれしたネットワークは斬新的に分裂しているため、DNAをナノチャネル配列に均一に充填することを促し、例えば特定のナノチャネル或いはナノチャネル配列内の一連のナノチャネルにバイアスをかけることはない。
更に、枝分かれしたチャネルネットワークは、非常に長いDNA断片のもつれを効果的に解く、斬新的により小さくなった流体チャネルを示す。
任意の分岐点において、枝分かれしたチャネルは同じ幅、長さ、或いは深さである必要はない。それらのチャネルは互いに並行である必要はなく、或いは均一に分布している必要もない。枝分かれしたチャネルはその構造において真っすぐ或いは直線状である必要もない。一部の実施形態において(例えば図9)、DNAをほどくことを更に促進するために枝分かれチャネルがピラー構造を含むものであっても良い。
FE流体構造はおよそ10〜1000nmの深さ及び10000nm以下の幅である。FE構造におけるチャネル(或いはピラー又は他の障害物)は約100〜約500nm、又は約200nm〜約300nmの深さを有するものであっても良い。構造(例えばチャネル、ピラー、及び類似物)はまた、約1〜約10,000nm、約20nm〜約5000nm、又は約50nm〜約1000nm、又は約100nm〜500nmである。
これらの構造物の目的は、マイクロ流体環境からナノ流体環境へと徐々にDNAサンプルを狭い領域に閉じ込めることであるため、一実施形態において、これらの流体構造は1000nmから最終的なナノチャネルまでに及ぶ深さを有し、10000nmから最終的なナノチャネルまでに及幅を有する。しかしながら、このようにFE構造における形状の減少は単一的な減少である必要はなく、形状が連続的に変化する必要もない。例えば、FEの形状(深さ及び幅)の変化は段階的であっても良い。
「カラス型(crow)」構造
図7の「カラス(crow)」構造において、枝分かれしたチャネルFEデザインは、チャネルが2つの新たなチャネルに分裂する比較的鋭い分岐点(分裂)を含む。新しいチャネルは同じ大きさであるか、又は元のチャネルよりも小さい大きさである。分岐角度は、0〜90°の範囲で変化し得る。分岐チャネルの長さは、5〜600ミクロンの間で変化し得る。各分岐段階は同じ長さである必要はない。
「ワシ型(eagle)構造」
「ワシ型(eagle)」のデザインは「カラス(crow)型」のデザインとは異なる。まず、分岐点が丸みのあるピラーの形となっている。第2点目として、分岐点のピラーの寸法は、ピラーの角が前にあるチャネルに突き出ている。このようなデザインとなっている目的は電場経路(又は他の勾配)にある高分子(又はその他の目的物質)が、その後に続くチャネルの(端というよりはむしろ)中心に入りやすいようにするためである。このように、目的物は分岐チャネル内の他のチャネルではなく特定のチャネルに偏ることがないようになっており、ナノチャネル配列内のナノチャンネルにより均一に充填される。「ワシ型(eagle)」構造(「カラス型(crow)」構造のように)は、チャネルの上流、チャネル内、或いはチャネルの下流に配置されたピラーを含む。
更なる実施例
ナノチャネル配列は、当該装置の機能領域を形成しており、ここでDNAが分析される。配列のパターン、幅、深さ、ピッチ、密度、長さ、及び面積は大きく変化する。ナノチャネルは約10nm〜約500nmの深さ、及び約10〜約1000nmの幅であっても良い。ナノチャネルの幅及び深さは、当該装置全体に渡って一定であっても良く、或いは各チャネルの幅及び深さが変化、又はそれぞれのチャネル間で幅及び深さが変化、或いはその両方であっても良い。ナノチャネルは10nm〜10cmの距離で離れており、0.1ミクロン〜50cmの長さであり、更に当該配列は0.1ミクロン〜50cmの全長であっても良い。チャネルは並行或いは非並行であっても良い。これらのチャネルは均一に分布していなくても良い。これらのチャネルは同一の長さであるか、或いは異なる長さであっても良い。これらのチャネルは真っすぐであるか、或いは方向を変えて屈曲していても良い。これらのチャネルは、互いに独立しているか、或いは交差していても良い。
分岐構造における最初のチャネルは約1ミクロン〜50ミクロン、100ミクロン、1000ミクロン、又は10cmの範囲の距離で離れていても良い。チャネル間の最適なピッチ(空間)はユーザーに必要性によるものであり、当業者にとっては困難なく特定することが可能である。
一実施形態において、ナノチャネルは、20〜500nmの深さ及び20〜800nmの深さの並行な配列でパターン化される。特定の装置において、ナノチャネルの幅及び深さは一定である。ナノチャネルは、100〜2000nmの空間で離れており、直線である。ナノチャネルの長さは50ミクロンから5000ミクロンで変化する。しかしながら、ナノチャネルの幅、深さ又はその両方がナノチャネルの長さに沿って変化するような実施形態を含む種々の異なるナノチャネル配列の実施形態が実現可能である。
相互接続
相互接続流体は、100nm〜100ミクロンの深さ及び0.5ミクロン〜1000ミクロンの幅を有する。一実施形態において、深さの範囲は200nm〜20ミクロンであり、幅の範囲は1ミクロンから50ミクロンである。
更なる説明
幾つかの実施形態において、本発明は、いずれかの或いは両方の基板がパターン化された、第2の基板(B)に結合した基板(A)を含む流体装置をとして記載されている。製造工程は、陽極接合などの結合工程によって、ケイ素基板をガラス基板に結合させた密閉されたマクロ及びナノ流体構成要素を記載している。
チップの機能領域は好ましくは2つの基板の接点に位置しており、1つの或いは多数の独立したナノチャネル配列装置が1つ或いは両方の基板表面に作られる。このような装置は好ましくは流体が1若しくは両方の基板に渡って広がった導管ポートを介してチップ外部環境と流通している。
開示されている装置は、好ましくは以下のものを含む。
ナノチャネル領域−中心装置領域:ここで目的物である高分子(例えばDNA)が伸長し、直線化し、画像化され、分析される。
勾配フロントエンド(FE)及びバックエンド(BE)−ミクロン、サブミクロン、或いはナノメートル範囲の断面半径を有する相互接続配列し枝分かれしたチャネル。FE又はBEはポスト、ピラー、井戸、溝、及びそれらの組合わせなどのマクロ〜ナノスケールの大きさの構造が繰り返されており、そのような構造は当該装置のマクロ流体及びナノ流体領域と交差している。
相互接合−マイクロ流体領域:目的物サンプルを入口ポートからFE領域、更にBE領域から出口ポートまで移動させる導管へと移動させるマクロ流体チャネルのネットワーク。
ポート:基板全体に渡って好ましくはエッチングによって作られた穴であり、3次元の流体連結を介して、チップ内において当該装置外部環境からナノ流体領域(好ましくは基板A及びBとの間に配置される)に流体連通することを可能にするものであり
これらに限定されるものではないが、ケイ素、酸化ケイ素、窒化ケイ素、酸化ハフニウム、石英、ガラス、溶融石英、金属、酸化アルミニウム、金属、セラミック、ポリマー、プラスチック、誘電体、SiGe、GaAs、GaAlAs、ITO、有機分子、自己集合単層、自己集合多層、或いはそれらの組み合わせなどを含む、様々な物質が流体構成要素の表面を構成する。
本願発明は、原子層蒸着(ALD)、圧力促進化学蒸気蒸着(PECVD)、熱成長、或いは他のエントロピー或いは異方性物質の蒸着法による誘電性表面を有する流体構成要素を有する装置を開示するものである。このステップによると、流体構成要素における生物的分子の電場操作並びを操作して絶縁すること、並びに従来の製造方法によって製造された流体チャネルを更に抑制すること提供するものである。
本願発明は、機能化され更に必要であれば不動態化することが可能なナノチャネル構成要素表面に関する開示を含み、前記表面はUV、可視、及び赤外光を含む電場放射の幅広いスペクトルに対して透明である。
ナノ流体装置は、図で示したように、多数のポートを有し、種々の使用及び角度を有する、干渉して斬新的に枝分かれしたチャネルパターンのデザインを有する。
当該装置は、干渉し合いながら、斬新的に枝分かれしたチャネルを有し、枝分かれした分岐仕様及び角度を有する。分岐チャネル及びポスト或いはピラーの配列の様々な組合わせが、開示した装置の異なる領域間で相互作用するために使用されてもよく、異なる幅のチャネル間で相互作用するために使用されても良い。

Claims (100)

  1. 分析装置であって、
    第1の基板と、
    第2の基板と、
    当該分析装置の外側の環境と流体連通する第1の相互接続チャネルを配置するための、前記第1の基板、前記第2の基板、又はその両方の少なくとも一部を介して伸長した第1の入口ポートと、
    約10,000nm未満の範囲の断面寸法を有することを特徴とする少なくとも1つ第1のチャネルと、少なくとも2つの第2のチャネルとを含み、前記第1の相互接続チャネルをナノチャネル分析領域と流体連通させる第1のフロントエンド分岐チャネル領域と、
    前記第1のチャネル断面寸法より小さい断面寸法を有することを特徴とする少なくとも1つのナノチャネルを含むナノチャネル分析領域であって、前記第1のチャネルの断面寸法の、前記ナノチャネルの断面寸法に対する比は、約100〜約10,000である
    分析装置。
  2. 請求項1記載の分析装置において、前記第1の基板、前記第2の基板、又はその両方は、シリコン、SiGe、Ge、ストレインドシリコン(strained silicon)、GeSbTe、AlGaAs、AlGaInP、AlGaN、AlGaP、AlAsP、GaAs、GaN、GaP、InAlAs、InAlAs、InAlP、InSb、GaInAlAs、GaInAIN、GaInAsN、GaInAsP、GaInAs、GaInN、GaInP、GaSb、InN、CdTe、セレン化亜鉛(ZnSe)、HgCdTe、ZnO、ZnTe、硫化亜鉛(ZnS)、アルミニウム、酸化アルミニウム、ステンレススチール、Kapton(TM)、金属セラミック、プラスチック、ポリマー、サファイア、炭化ケイ素、シリコン・オン・インシュレータ(SOI)、astrosital、ホウ酸バリウム、フッ化バリウム、シレナイト(sillenite)結晶BGO/BSO/BTO、ゲルマン酸ビスマス、方解石、フッ化カルシウム、ヨウ化セシウム、Fe:LiNbO、石英ガラス(fused quartz)、石英、溶融石英(fused silica)、SiO、ガリウム、ガドニウムガーネット、リン酸二水素カリウム(KDP)、KRS−5、チタンリン酸カリウム、モリブデン酸鉛、フッ化リチウム、ヨウ化リチウム、ニオブ酸リチウム、タンタル酸リチウム、フッ化マグネシウム、臭化カリウム、二酸化チタニウム、塩化ナトリウム、二酸化テルリウム、セレン化亜鉛、スピンオンガラス、紫外線硬化材料、ソーダ石灰ガラス、上記化合物の水素化形態、上記化合物の化学両論的変形物(stoichiometric variations)又はそれらのあらゆる組み合わせである。
  3. 請求項1記載の分析装置において、前記第1の基板は、約10nm〜約10,000nmの範囲の厚みを有するものである。
  4. 請求項1記載の分析装置において、前記第1の基板は、約100nm〜約1000nmの範囲の厚みを有するものである
  5. 請求項1記載の分析装置において、前記第2の基板は、約10nm〜約10,000nmの範囲の厚みを有するものである
  6. 請求項5記載の分析装置において、前記第2の基板は、約100nm〜約1000nmの範囲の厚みを有するものである
  7. 請求項1記載の分析装置において、前記入口は、約5ミクロン〜約5000ミクロンの範囲の半径を有するものである。
  8. 請求項1記載の分析装置において、前記入口は、約50ミクロン〜約1000ミクロンの範囲の半径を有するものである。
  9. 請求項1記載の分析装置において、前記第1の相互接続チャネルは、約100nm〜約100ミクロンの範囲の深さを有するものである。
  10. 請求項1記載の分析装置において、前記第1の相互接続チャネルは、約500nm〜約1000ミクロンの範囲の深さを有するものである。
  11. 請求項1記載の分析装置において、前記第1のチャネルは、約10nm〜約10,000nmの範囲の幅を有するものである。
  12. 請求項1記載の分析装置において、前記第1のチャネルは、約100nm〜約1000nmの範囲の幅を有するものである。
  13. 請求項1記載の分析装置において、前記第1のチャネルは、約10nm〜約1000nmの範囲の深さを有するものである。
  14. 請求項1記載の分析装置において、前記第1のチャネルは、約50nm〜約100nmの範囲の深さを有するものである。
  15. 請求項1記載の分析装置において、前記第1のフロントエンド分岐チャネル領域は、前記第1のチャネルを少なくとも2つの第2チャネルに分けるスプリッター構造を有するものである。
  16. 請求項15記載の分析装置において、前記スプリッター構造は、前記第1のチャネルの中心線に対して約0〜約90°の範囲の角度の少なくとも1つの面を有するものである。
  17. 請求項15記載の分析装置において、前記第2のチャネルの幅はそれぞれ、前記第1のチャネルの幅の約30%〜約70%の範囲である。
  18. 請求項15記載の分析装置において、前記第2のチャネルの長さは、約1ミクロン〜約500ミクロンの範囲である。
  19. 請求項15記載の分析装置において、前記第2のチャネルの長さは、約10ミクロン〜約50ミクロンの範囲である。
  20. 請求項15記載の分析装置において、前記第2のチャネルのそれぞれは、当該第2のチャネルの中心線に対して約0〜約90°の範囲の角度の少なくとも1つの面を有するスプリッターによって、2つの第3のチャネルに分かれるものである。
  21. 請求項20記載の分析装置において、前記第2のチャネルのそれぞれは、当該第2のチャネルの中心線に対して約30〜約60°の範囲の角度の少なくとも1つの面を含むスプリッターによって2つの第3のチャネルに分かれるものである。
  22. 請求項15記載の分析装置において、前記スプリッター構造は、成形部分を有するものである。
  23. 請求項22記載の分析装置において、前記スプリッター構造は、勾配によって前記第1のチャネルを通って進むfluidborne本体が、前記スプリッター構造から第2のチャネルのいずれかに入るように実質的に等分されているものである。
  24. 請求項22記載の分析装置において、前記スプリッターは前記第1チャネルから前記第2のチャネルの少なくとも一部を覆うオーバーハングを規定する構造となっているものである。
  25. 請求項24記載の分析装置において、前記オーバーハングは、前記第2のチャネルの幅の約5%〜約50%の幅である。
  26. 請求項25記載の分析装置において、前記第2のチャネルの幅は、前記第1のチャネルの幅の約30%〜約70%の範囲である。
  27. 請求項26記載の分析装置において、前記第2のチャネルの幅は、前記第1のチャネルの幅の約45%〜約55%の範囲である。
  28. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルは、約1nm〜約1000nmの範囲の幅を有するものである。
  29. 請求項28記載の分析装置において、前記少なくとも1つのナノチャネルは、約10nm〜約500nmの範囲の幅を有するものである。
  30. 請求項29記載の分析装置において、前記少なくとも1つのナノチャネルは、約10nm〜約100nmの範囲の深さを有するものである。
  31. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルは、約0.1ミクロン〜約50ミクロンの範囲の長さを有する少なくとも1つの線形セグメントを有するものである。
  32. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルは、少なくとも約30°の少なくとも1つの屈曲部を有するものである。
  33. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルは、少なくとも約90°の少なくとも1つの屈曲部を有するものである。
  34. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルは、少なくとも約180°の少なくとも1つの屈曲部を有するものである。
  35. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルは、一定の幅、一定の深さ、又はその両方を有するものである。
  36. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルは、種々の幅、種々の深さ、又はその両方を有するものである。
  37. 請求項1記載の分析装置において、前記ナノチャネル分析領域は、第1の後部分岐チャネル領域と流体連通するものである。
  38. 請求項1記載の分析装置において、前記第1のバックエンド分岐チャネル領域は、2つの第2のチャネルに分けられた少なくとも1つの第1のチャネルを有するものである。
  39. 請求項38記載の分析装置において、前記第2のチャネルのそれぞれは、前記第1のチャネルよりも小さな断面積を有するものである。
  40. 請求項39記載の分析装置において、前記第2のチャネルの少なくとも1つは、前記ナノチャネル分析領域と流体連通するものである。
  41. 請求項40記載の分析装置において、前記第1のチャネルは、第2の相互接続チャネルと流体連通するものである。
  42. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルの断面寸法に対する、前記入り口の断面寸法の比は、約1〜約10の範囲である。
  43. 請求項1記載の分析装置において、前記少なくとも1つのナノチャネルの断面寸法に対する、前記入り口の断面寸法の比は、約10〜約10の範囲である。
  44. 請求項1記載の分析装置において、前記第1のフロントエンド分岐チャネル領域及び前記ナノチャネル分析領域は、同じ基板に配置されるものである
  45. 請求項44記載の分析装置において、前記ナノチャネル分析領域は、第2のナノチャネル分析領域と流体連通するものであり、前記第2のナノチャネル分析領域は、前記第1のナノチャネル分析領域とは異なる基板に配置されるものである。
  46. 分析装置を製造する方法であって、
    第1の基板と第2の基板を結合する工程であって、前記基板の少なくとも1つは、約10nm〜10,000nmの範囲の幅を有するものであって、前記結合は前記基板の間に配置された密閉管路をもたらすものである、結合工程を有する
    方法。
  47. 請求項46記載の方法において、前記結合は、陽極接合、熱接合、或いはそれらの組み合わせを有するものである。
  48. 請求項46記載の方法であって、この方法は、更に、前記第1の基板、第2の基板、又はその両方の少なくとも一部の上部に薄膜を配置する工程であって、前記薄膜は前記基板間の結合を強化するものである、前記配置する工程を有するものである。
  49. 請求項48記載の方法において、前記薄膜は、前記密閉管路内部の少なくとも一部を前記基板の少なくとも1つから電気的に隔離するものである。
  50. 請求項48記載の方法において、前記薄膜は、前記閉塞管路の断面積を一定値に減少させるように配置されるものである。
  51. 請求項50記載の方法において、前記薄膜は、前記閉塞管路の断面積を少なくとも約1%減少させるように配置されるものである。
  52. 請求項51記載の方法において、前記薄膜は、前記閉塞管路の断面積を少なくとも約5%減少させるように配置されるものである。
  53. 請求項52記載の方法において、前記薄膜は、前記閉塞管路の断面積を少なくとも約10%減少させるように配置されるものである。
  54. 請求項46記載の方法であって、この方法は、更に、前記チャネルの断面積を減少させるように、前記チャネルの少なくとも一部に薄膜を配置する工程を含むものである。
  55. 請求項46記載の方法において、前記結合は、前記基板の間に2若しくはそれ以上の閉塞管路をもたらすものである。
  56. 請求項55記載の方法において、前記2つの管路の幅の比は、約1〜約10の範囲である。
  57. 請求項55記載の方法において、前記2つの管路の幅の比は、約1〜約10の範囲である。
  58. 請求項46記載の方法において、前記第1の基板、前記第2の基板、若しくはその両方は、誘電体を含むものである。
  59. 請求項46記載の方法において、前記第1の基板、前記第2の基板、若しくはその両方は、半導体を含むものである。
  60. 分析方法であって、
    高分子の少なくとも一部が伸長するように連続的に減少した幅の少なくとも2つのチャネルを通って前記高分子が移動する工程であって、前記チャネルの最大幅と最小幅の比は約1〜約10の範囲である、前記移動する工程と、
    10nm〜約1000nmの幅を有するチャネルの第1領域に位置するときに前記高分子のシグナルを検出する工程と、
    前記シグナルを前記高分子の特性と関連付ける工程と
    を有する、方法。
  61. 請求項60記載の方法において、前記移動する工程は、電気勾配、圧力勾配、磁場、熱勾配、又はそれらの組み合わせを適用させることにより達成されるものである。
  62. 請求項60記載の方法において、前記検出は、光学的、電気的、磁気的、電磁的、又はそれらの組み合わせを適用させることにより達成されるものである。
  63. 請求項60記載の方法であって、この方法は、更に、前記高分子が連続して増加した幅の少なくとも2つのチャネルを通って移動する工程を有するものである。
  64. 請求項60記載の方法であって、この方法は、更に、前記高分子の少なくとも一部が前記チャネルの第1の領域に再度入るように、前記高分子の方向を反対にするように、前記勾配の方向を逆転させる工程を含むものである。
  65. 請求項64記載の方法において、この方法は、更に、前記高分子が前記第1の領域に位置する時に、前記高分子からのシグナルを検出する工程を有するものである。
  66. 請求項60記載の方法において、前記チャネルの最大幅及び最小幅の比は、約100〜約10の範囲である。
  67. 分析装置であって、
    第1の基板と第2の基板であって、前記第1の基板と第2の基板は、前記基板の間に配置されたチャネルを定義するものであり、前記第1の基板及び第2の基板の少なくとも1つは、約10nm〜約2500nmの範囲の少なくとも1つの波長を有することを特徴とする電磁場放射の部分的な通路を許容するものである、第1の基板と第2の基板と、
    前記第1の基板、前記第2の基板、又はその両方の少なくとも一部の上に配置された第1の薄膜であって、前記薄膜の少なくとも一部は、前記第1及び第2の基板の間に配置されたチャネルの少なくとも一部を定義するものであり、前記薄膜は、当該装置が約10nm〜約2500nmの幅の波長を有する電磁気放射を施した場合に、前記第1の薄膜の無い同一の装置と比較して、当該装置のバックグラウンドシグナルの減少をもたらすものである、前記薄膜と
    を有するものである、分析装置。
  68. 請求項67記載の分析装置において、前記第1の薄膜は、前記第1の基板、前記第2の基板、又はその両方に結合しているものである。
  69. 請求項67記載の分析装置部おいて、前記第1の基板は、前記の第2の基板に結合しているものである。
  70. 請求項67記載の分析装置において、前記第1の薄膜は、窒化ケイ素を含むものである。
  71. 請求項67記載の分析装置において、前記第1の薄膜は、酸窒化ケイ素、SiO、水素化二酸化ケイ素、水素化窒化ケイ素、水素化酸窒化ケイ素、High−K誘導体、TiSiO、TiO、TiN、酸化チタニウム、水素化酸化チタニウムチタニウム、窒化チタニウム、水素化窒化チタニウムのチタニウム化合物、TaO、TaSiO、TaO、TaO、Ta、TaCN、酸化タンタル、水素化酸化タンタル、窒化タンタル、水素化窒化タンタル、HfO、HfSiO、HfZrO、HfN、HfON、HfSiN、HfSiON、酸化ハフニウム、水素化酸化ハフニウム、窒化ハフニウム、水素化窒化ハフニウム、ZrO、ZrSiO、ZrN、ZrSiN、ZrON、ZrSiON、酸化ジルコニウム、水素化酸化ジルコニウム、窒化ジルコニウム、水素化窒化ジルコニウム、Al、AlN、TiAlN、TaAlN、WAIN、酸化アルミニウム、水素化酸化アルミニウム、窒化アルミニウム、水素化窒化アルミニウム、SiN、WN、low−K誘導体、炭素ドープ酸化ケイ素、多孔質酸化ケイ素、多孔質炭素ドープ酸化ケイ素、スピンオン有機ポリマー誘導体、グラファイト、グラフェン、炭素ナノチューブ、プラスチック、ポリマー、有機分子、自己組織化単分子層、自己組織化多分子層、脂質二層、前記化合物のいずれかの水素化形態、化学両論的変形物、又はそれらの組み合わせを含むものである。
  72. 請求項67記載の分析装置において、前記第1の基板、前記第2の基板、又はその両方は、ガラス、シリコン、又はそれらの組み合わせを含むものである。
  73. 請求項67記載の分析装置において、前記第1の基板、前記第2の基板、又はその両方の少なくとも1つは、石英、石英ガラス、サファイア、炭化ケイ素、ソーダ石灰、ゲルマニウム、ゲルマニウムケイ素、ガリウム、インジウム、カドミウム、会えん、アルミニウム、ステンレススチール、Kapton(登録商標)ポリマー物質、ポリマー、半導体物質、金属、セラミック、又はそれらの組み合わせを含むものである。
  74. 請求項67記載の分析装置において、前記第1の基板又は前記第2の基板の少なくとも1つは、電磁放射の少なくとも1つの周波数を透過させるものである。
  75. 請求項67記載の分析装置部おいて、前記第1の基板又は前記第2の基板の少なくとも1つは可視光を透過させるものである
  76. 請求項72記載の分析装置において、前記ガラスは、Schott Borofloat(登録商標)33ガラス、Pyrex 7740(登録商標)ガラス、Hoya SD2(登録商標)ガラス、又はそれらの組み合わせを含むものである。
  77. 請求項67記載の分析装置において、前記第1の基板又は前記第2の基板の少なくとも1つは、約0.01mm〜約5mmの範囲の厚みを有するものである。
  78. 請求項67記載の分析装置において、前記第1の薄膜は、約1mm〜約5000mmの厚みを有するものである。
  79. 請求項78記載の分析装置において、前記第1の薄膜は、約10nm〜約1000nmの範囲の厚みを有するものである。
  80. 請求項79記載の分析装置において、前記第1の薄膜は、約30nm〜約120nmの範囲の厚みを有するものである。
  81. 請求項67記載の分析装置において、前記チャネルは、約5nm〜5mmの範囲の幅と、約5nm〜約1mmの深さを有するものである。
  82. 請求項67記載の分析装置において、前記チャネルは、様々な断面積を有することを特徴とするものである。
  83. 請求項67記載の分析装置において、当該装置は、更に、第2の薄膜を有するものである。
  84. 請求項83記載の分析装置において、前記第2の薄膜は、当該装置が約10nm〜約2500nmの範囲の波長を有する電磁気放射に曝された場合に、前記第1の薄膜の無い同一の装置と比較して、当該装置のバックグラウンドシグナルの減少をもたらすものである。
  85. 請求項83記載の分析装置において、前記第2の薄膜は、窒化ケイ素を含むものである。
  86. 請求項83記載の分析装置において、前記第2の薄膜は、酸窒化ケイ素、SiO、水素化二酸化ケイ素、水素化窒化ケイ素、水素化酸窒化ケイ素、High−K誘導体、TiSiO、TiO、TiN、酸化チタニウム、水素化酸化チタニウムチタニウム、窒化チタニウム、水素化窒化チタニウムのチタニウム化合物、TaO、TaSiO、TaO、TaO、Ta、TaCN、酸化タンタル、水素化酸化タンタル、窒化タンタル、水素化窒化タンタル、HfO、HfSiO、HfZrO、HfN、HfON、HfSiN、HfSiON、酸化ハフニウム、水素化酸化ハフニウム、窒化ハフニウム、水素化窒化ハフニウム、ZrO、ZrSiO、ZrN、ZrSiN、ZrON、ZrSiON、酸化ジルコニウム、水素化酸化ジルコニウム、窒化ジルコニウム、水素化窒化ジルコニウム、Al、AlN、TiAlN、TaAlN、WAIN、酸化アルミニウム、水素化酸化アルミニウム、窒化アルミニウム、水素化窒化アルミニウム、SiN、WN、low−K誘導体、炭素ドープ酸化ケイ素、多孔質酸化ケイ素、多孔質炭素ドープ酸化ケイ素、スピンオン有機ポリマー誘導体、グラファイト、グラフェン、炭素ナノチューブ、プラスチック、ポリマー、有機分子、自己組織化単分子層、自己組織化多分子層、脂質二層、前記化合物のいずれかの水素化形態、化学両論的変形物、又はそれらの組み合わせを含むものである。
  87. 請求項83記載の分析装置において、前記第2の薄膜は、約1nm〜約5000nmの範囲の厚みを有するものである。
  88. 請求項67記載の分析装置であって、前記第1の薄膜は、当該装置内に配置された蛍光分子が前記第1の基板、第2の基板、若しくはその両方により、消光するのを防ぐものである。
  89. 分析装置であって、
    前記基板内に密閉されたチャネルを定義するように構成された基板であって、
    前記基板は、約10nm〜約2500nmの範囲の少なくとも1つの周波数成分を有する電磁波放射に対して透過するものである、
    分析装置。
  90. 請求項89記載の分析装置において、前記チャネルは、チャネルとして特徴付けされるものである。
  91. 請求項89記載の分析装置において、前記チャネルは、約5nm〜約5mmの範囲の少なくとも1つの断面積を有するものである。
  92. 請求項91記載の分析装置において、前記チャネルは、約50nm〜約500nmの範囲の少なくとも1つの断面積を有するものである。
  93. 請求項89記載の分析装置において、前記基板は、窒化ケイ素を含むものである。
  94. 分析装置を製造する方法であって、
    第1の基板と第2の基板とのに配置されたチャネルを定義するように、前記第1の基板、前記第2の基板、及び第1の薄膜を配置する工程であって、
    前記第1の薄膜は、当該装置が約10nm〜約2500nmの範囲の波長を有する電磁気放射に曝された場合に、前記第1の薄膜の無い同一の装置と比較して、当該装置のバックグラウンドシグナルの減少をもたらすものである、配置する工程と、
    前記第1の基板、第2の基板、又はその両方と、前記第1の薄膜とを結合させる工程と、
    を有する、方法。
  95. 請求項94記載の方法において、この方法は、更に、
    前記第1の基板、前記第2の基板、前記第1の薄膜、又はそれらの組み合わせと結合した第2の薄膜を有するものである。
  96. 請求項94記載の方法において、前記結合は、陽極接合を有するものである。
  97. 請求項94記載の方法において、前記第1の薄膜は、当該薄膜を有さない同一の装置と比較して、当該装置内に配置された蛍光色素分子の消光を抑制するように選択されるものである。
  98. 分析装置を製造する方法であって、
    約10nm〜約5000nmの範囲の波長を有する電磁波放射を透過する物質を含むワークピース内に犠牲テンプレートを配置する工程と、
    前記ワークピース内に配置されたチャネルをもたらすように、前記犠牲テンプレートの少なくとも一部を除去する工程であって、
    前記チャネルの少なくとも一部は、約5nm〜約5000nmの範囲の断面積を有するものである、
    方法。
  99. 蛍光標識分子を分析する方法であって、
    前記蛍光標識分子の少なくとも一部を分析装置内に配置する工程であって、
    前記分析装置は、第1の基板、第2の基板、及び第1の薄膜を含み、前記第1の基板と前記第2の基板との間に配置されたチャネルをもたらすように配置されたものであり、前記第1の薄膜は、前記第1の基板、前記第2の基板、又はその両方と結合したものであり、
    前記蛍光標識分子は、約10nm〜約2500nmの範囲の励起波長の電磁放射にサンプルを曝した場合に、放射波長の電磁放射を放出する能力を有し、
    前記第1の薄膜は、当該装置が励起波長の電磁放射に曝された場合に、前記第1の薄膜の無い同一の装置と比較して、当該装置のバックグラウンドシグナルの減少をもたらすものである、前記配置する工程と、
    前記蛍光標識分子からの放出された放射波長の電磁波放射を収集する工程と
    を有する、方法。
  100. 請求項99記載の方法において、前記相利の前記バックグラウンドシグナルは、前記第1の基板、前記第2の基板、前記薄膜、又はそれらの組み合わせに起因するものである。
JP2011512694A 2008-06-06 2009-06-05 集積分析装置及び関連した製造方法及び分析技術 Pending JP2011523061A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US5939908P 2008-06-06 2008-06-06
US61/059,399 2008-06-06
PCT/US2009/046427 WO2009149362A2 (en) 2008-06-06 2009-06-05 Integrated nanofluidic analysis devices, fabrication methods and analysis techniques

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014233087A Division JP2015096854A (ja) 2008-06-06 2014-11-17 集積分析装置及び関連した製造方法及び分析技術

Publications (2)

Publication Number Publication Date
JP2011523061A true JP2011523061A (ja) 2011-08-04
JP2011523061A5 JP2011523061A5 (ja) 2015-01-15

Family

ID=41398897

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011512694A Pending JP2011523061A (ja) 2008-06-06 2009-06-05 集積分析装置及び関連した製造方法及び分析技術
JP2014233087A Pending JP2015096854A (ja) 2008-06-06 2014-11-17 集積分析装置及び関連した製造方法及び分析技術

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014233087A Pending JP2015096854A (ja) 2008-06-06 2014-11-17 集積分析装置及び関連した製造方法及び分析技術

Country Status (9)

Country Link
US (4) US9533879B2 (ja)
EP (1) EP2296813A2 (ja)
JP (2) JP2011523061A (ja)
KR (3) KR101767125B1 (ja)
CN (2) CN104359874B (ja)
AU (1) AU2009256064B2 (ja)
CA (2) CA2727095C (ja)
HK (1) HK1207418A1 (ja)
WO (1) WO2009149362A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013148583A (ja) * 2012-01-20 2013-08-01 Ortho-Clinical Diagnostics Inc 多数の試薬セルを有するアッセイ装置

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102271732B (zh) 2008-11-14 2014-12-31 得克萨斯大学体系董事会 纳米通道装置和相关方法
US9194838B2 (en) 2010-03-03 2015-11-24 Osaka University Method and device for identifying nucleotide, and method and device for determining nucleotide sequence of polynucleotide
EP2574169B1 (en) * 2010-05-19 2022-04-13 Nanomedical Systems, Inc. Nano-scale coatings and related methods suitable for in-vivo use
GB201017905D0 (en) 2010-10-25 2010-12-01 Mir Kalim U Preparation and analysis of samples
WO2012061818A1 (en) * 2010-11-05 2012-05-10 Life Technologies Corporation Flowcells and flowcell reaction chambers
JP2012101196A (ja) * 2010-11-11 2012-05-31 Tokyo Electron Ltd 濾過用フィルタの製造方法
GB201111237D0 (en) * 2011-06-30 2011-08-17 Isis Innovation Nanochip
WO2013039778A2 (en) 2011-09-12 2013-03-21 The University Of North Carolina At Chapel Hill Devices with a fluid transport nanochannel intersected by a fluid sensing nanochannel and related methods
EP2805281A4 (en) * 2012-01-18 2015-09-09 Singular Bio Inc METHOD FOR ILLUSTRATING LINEAR MOLECULES FOR DETECTING STRUCTURE VARIATIONS AND SEQUENCING
US9989515B2 (en) 2012-02-10 2018-06-05 The University Of North Carolina At Chapel Hill Devices with fluidic nanofunnels, associated methods, fabrication and analysis systems
KR101314420B1 (ko) * 2012-02-21 2013-10-04 광운대학교 산학협력단 마이크로-나노 채널을 이용한 3차원 에너지 변환 소자 및 그 제조 방법
KR101248271B1 (ko) * 2012-02-21 2013-03-27 광운대학교 산학협력단 마이크로-나노 채널을 이용한 에너지 변환 소자 및 그 제조 방법
US9535033B2 (en) 2012-08-17 2017-01-03 Quantum Biosystems Inc. Sample analysis method
US9421315B2 (en) 2012-09-05 2016-08-23 The Charles Stark Draper Laboratory, Inc. Compact hydraulic manifold structure for shear sensitive fluids
US9651539B2 (en) * 2012-10-28 2017-05-16 Quantapore, Inc. Reducing background fluorescence in MEMS materials by low energy ion beam treatment
JP2015535087A (ja) * 2012-11-19 2015-12-07 ザ ジェネラル ホスピタル コーポレイション 統合多重化測光モジュールのためのシステムおよび方法
US9656212B2 (en) * 2013-01-08 2017-05-23 The Charles Stark Draper Laboratory, Inc. Compact hydraulic manifold structure for shear sensitive fluids
US20140221218A1 (en) * 2013-02-05 2014-08-07 Bionano Genomics, Inc. Methods for single-molecule analysis
US10844424B2 (en) 2013-02-20 2020-11-24 Bionano Genomics, Inc. Reduction of bias in genomic coverage measurements
WO2015130696A1 (en) 2014-02-25 2015-09-03 Bionano Genomics, Inc. Reduction of bias in genomic coverage measurements
JP6542676B2 (ja) 2013-02-20 2019-07-10 バイオナノ ジェノミクス、 インコーポレイテッド ナノフルイディクスにおける分子の特性解析
EP2962117B1 (en) * 2013-02-28 2019-10-09 The University of North Carolina At Chapel Hill Nanofluidic devices with integrated components for the controlled capture, trapping, and transport of macromolecules and related methods of analysis
US9255288B2 (en) 2013-03-13 2016-02-09 The University Of North Carolina At Chapel Hill Nanofluidic devices for the rapid mapping of whole genomes and related systems and methods of analysis
WO2015013332A1 (en) * 2013-07-22 2015-01-29 President And Fellows Of Harvard College Microfluidic cartridge assembly
US20150037787A1 (en) * 2013-07-31 2015-02-05 International Business Machines Corporation Polynucleotide configuration for reliable electrical and optical sensing
EP3047282B1 (en) 2013-09-18 2019-05-15 Quantum Biosystems Inc. Biomolecule sequencing devices, systems and methods
JP2015077652A (ja) 2013-10-16 2015-04-23 クオンタムバイオシステムズ株式会社 ナノギャップ電極およびその製造方法
EP3107597B1 (en) 2014-02-17 2018-12-05 The Charles Stark Draper Laboratory, Inc. Microfluidic manifold for shear sensitive fluids
US10438811B1 (en) 2014-04-15 2019-10-08 Quantum Biosystems Inc. Methods for forming nano-gap electrodes for use in nanosensors
WO2015170782A1 (en) * 2014-05-08 2015-11-12 Osaka University Devices, systems and methods for linearization of polymers
WO2016182811A1 (en) * 2015-05-11 2016-11-17 The University Of North Carolina At Chapel Hill Fluidic devices with nanoscale manifolds for molecular transport, related systems and methods of analysis
US10391486B2 (en) 2015-10-30 2019-08-27 International Business Machines Corporation Fluidic cell designs for interfacing microfluidic chips and nanofluidic chips
US9733232B1 (en) * 2016-01-25 2017-08-15 International Business Machines Corporation Nanopillar arrays with interfaces for controlled polymer stretching and effective translocation into nanochannels
US10365564B2 (en) 2017-08-09 2019-07-30 Saudi Arabian Oil Company Calcite channel nanofluidics
WO2019118445A1 (en) * 2017-12-12 2019-06-20 Trustees Of Boston University Disposable fluidic cartridge for interferometric reflectance imaging sensor
EP4159872A1 (en) 2018-06-25 2023-04-05 Bionano Genomics, Inc. Labeling of dna
US20210310945A1 (en) * 2018-07-31 2021-10-07 Sekisui Chemical Co., Ltd. Inspecting method, inspecting instrument, and inspecting device
US10761428B2 (en) 2018-08-28 2020-09-01 Saudi Arabian Oil Company Fabricating calcite nanofluidic channels
US11342479B2 (en) 2018-09-11 2022-05-24 Facebook Technologies, Llc Reducing bowing of materials before wafer-to-wafer bonding for LED manufacturing
US11056611B2 (en) 2018-09-11 2021-07-06 Facebook Technologies, Llc Mesa formation for wafer-to-wafer bonding
US11145786B2 (en) 2018-09-11 2021-10-12 Facebook Technologies, Llc Methods for wafer-to-wafer bonding
US10898895B2 (en) 2018-09-13 2021-01-26 Talis Biomedical Corporation Vented converging capillary biological sample port and reservoir
CN109289873B (zh) * 2018-10-29 2021-09-10 江苏大学 一种异质结材料及制备方法和用途
US10926227B2 (en) 2018-12-03 2021-02-23 Saudi Arabian Oil Company Fabricating calcite nanofluidic channels
WO2020199168A1 (zh) * 2019-04-03 2020-10-08 京东方科技集团股份有限公司 微纳米通道结构、传感器及其制备方法、微流体装置
US11008627B2 (en) 2019-08-15 2021-05-18 Talis Biomedical Corporation Diagnostic system
US11300554B2 (en) 2020-01-14 2022-04-12 Saudi Arabian Oil Company Calcite channel structures with heterogeneous wettability
EP3885042A1 (en) * 2020-03-24 2021-09-29 Imec VZW Method for fabricating a microfluidic device
WO2022058295A1 (en) 2020-09-15 2022-03-24 INSERM (Institut National de la Santé et de la Recherche Médicale) Method for diagnosing diseases induced by repeat expansion using optical mapping
US11813608B2 (en) 2020-09-22 2023-11-14 Oregon State University Fiber substrate-based fluidic analytical devices and methods of making and using the same
CN112169851B (zh) * 2020-10-13 2022-03-29 中国科学院微电子研究所 一种微流道入口盖板及其制备和使用方法
CN114516658B (zh) * 2020-11-18 2023-07-25 香港城市大学深圳研究院 两步化学气相沉积法生长稀氮化GaNSb纳米线
WO2022136532A1 (en) 2020-12-22 2022-06-30 Perseus Biomics Bv Genomic analysis method
US11454097B2 (en) 2021-01-04 2022-09-27 Saudi Arabian Oil Company Artificial rain to enhance hydrocarbon recovery
CN113278946A (zh) * 2021-05-17 2021-08-20 四川瑞能晶石科技有限公司 一种mpcvd制备金刚石的方法
CN113117634A (zh) * 2021-05-27 2021-07-16 广州大学 一种重金属吸附剂及其制备方法和应用
CN113548641B (zh) * 2021-07-27 2023-06-23 中国科学院重庆绿色智能技术研究院 一种限域介电击穿固态纳米孔器件的制备方法及其产品和应用
US11961702B2 (en) 2021-12-09 2024-04-16 Saudi Arabian Oil Company Fabrication of in situ HR-LCTEM nanofluidic cell for nanobubble interactions during EOR processes in carbonate rocks
CN114428039A (zh) * 2022-01-27 2022-05-03 中国石油大学(北京) 致密储层流体相态实验模型及致密储层流体相态实验方法
US11787993B1 (en) 2022-03-28 2023-10-17 Saudi Arabian Oil Company In-situ foamed gel for lost circulation
US11913319B2 (en) 2022-06-21 2024-02-27 Saudi Arabian Oil Company Sandstone stimulation

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002065138A1 (fr) * 2001-02-14 2002-08-22 Riken Micropuce
JP2004045357A (ja) * 2001-08-03 2004-02-12 Nec Corp 分離装置およびその製造方法
JP2006026452A (ja) * 2004-07-12 2006-02-02 Yokogawa Electric Corp 化学反応用カートリッジ駆動機構
US20060275911A1 (en) * 2005-06-03 2006-12-07 Shih-Yuan Wang Method and apparatus for moleclular analysis using nanostructure-enhanced Raman spectroscopy

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2853745B2 (ja) * 1989-04-12 1999-02-03 株式会社日立製作所 光検出電気泳動装置
DE59001976D1 (de) * 1989-05-01 1993-08-19 Wolfram Bohnenkamp Reflexionsfluorimeter.
CA2134474C (en) * 1992-05-01 1999-07-06 The Trustees Of The University Of Pennsylvania Microfabricated sperm handling devices
US7775368B2 (en) * 1995-04-03 2010-08-17 Wisconsin Alumni Research Foundation Micro-channel long molecule manipulation system
IL131332A (en) 1997-02-12 2003-07-31 Eugene Y Chan Methods and products for analyzing polymers
US6132685A (en) 1998-08-10 2000-10-17 Caliper Technologies Corporation High throughput microfluidic systems and methods
JP2000111477A (ja) 1998-09-30 2000-04-21 Hamamatsu Photonics Kk 蛍光分析用基板及び蛍光分析装置
US6635163B1 (en) * 1999-06-01 2003-10-21 Cornell Research Foundation, Inc. Entropic trapping and sieving of molecules
WO2001013088A1 (en) 1999-08-13 2001-02-22 U.S. Genomics, Inc. Methods and apparatuses for stretching polymers
US6927065B2 (en) * 1999-08-13 2005-08-09 U.S. Genomics, Inc. Methods and apparatus for characterization of single polymers
US6762059B2 (en) * 1999-08-13 2004-07-13 U.S. Genomics, Inc. Methods and apparatuses for characterization of single polymers
JP2005505754A (ja) 2001-07-25 2005-02-24 ザ トラスティーズ オブ プリンストン ユニバーシテイ 高スループットのマクロ分子分析用のナノチャンネル・アレイ並びにその準備および使用
CA2396408C (en) 2001-08-03 2006-03-28 Nec Corporation Fractionating apparatus having colonies of pillars arranged in migration passage at interval and process for fabricating pillars
CN1339610A (zh) 2001-10-09 2002-03-13 张添 基因芯片时间分辨荧光检测方法及检测装置
US7069952B1 (en) 2001-11-14 2006-07-04 Caliper Life Sciences, Inc. Microfluidic devices and methods of their manufacture
CA2482566C (en) 2002-04-16 2010-07-20 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
KR100479128B1 (ko) * 2002-07-22 2005-03-28 학교법인 한양학원 디앤에이 교배 검출을 위한 자기변형 바이오센서 및 그 제조방법
EP1620203A2 (en) 2003-04-10 2006-02-01 U.S. Genomics, Inc. Manipulation of polymers in a microchannel
JP4407271B2 (ja) * 2003-12-19 2010-02-03 株式会社日立製作所 チップ、反応分析装置、反応分析方法
US20060065528A1 (en) 2004-02-03 2006-03-30 Gabriel Lopez Nanostructured devices for separation and analysis
US9477233B2 (en) 2004-07-02 2016-10-25 The University Of Chicago Microfluidic system with a plurality of sequential T-junctions for performing reactions in microdroplets
US8017218B2 (en) 2005-03-08 2011-09-13 Forskarpatent I Linkoping Ab Micro and nano structures in an elastomeric material
GB0508983D0 (en) 2005-05-03 2005-06-08 Oxford Gene Tech Ip Ltd Cell analyser
JP4591963B2 (ja) 2005-08-26 2010-12-01 日本電信電話株式会社 近接場光学センサ用ナノ流路およびその作製方法
JP2007278906A (ja) 2006-04-07 2007-10-25 National Institute For Materials Science ナノギャップアレイ及びそれを用いた生体高分子の診断方法
US7811603B2 (en) 2006-05-09 2010-10-12 The Regents Of The University Of California Microfluidic device for forming monodisperse lipoplexes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002065138A1 (fr) * 2001-02-14 2002-08-22 Riken Micropuce
JP2004045357A (ja) * 2001-08-03 2004-02-12 Nec Corp 分離装置およびその製造方法
JP2006026452A (ja) * 2004-07-12 2006-02-02 Yokogawa Electric Corp 化学反応用カートリッジ駆動機構
US20060275911A1 (en) * 2005-06-03 2006-12-07 Shih-Yuan Wang Method and apparatus for moleclular analysis using nanostructure-enhanced Raman spectroscopy

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JPN6013004236; J. T. Mannion,: 'Conformational Analysis of Single DNA Molecules Undergoing Entropically Induced Motion in Nanochanne' Biophysical Journal Vol. 90, 200606, pp. 4538-4545 *
JPN6014029866; C. H. Reccius et al.: 'Compression and Free Expansion of Single DNA Molecules in Nanochannels' PHYSICAL REVIEW LETTERS Vol. 95, 20051231, pp. 268101-1〜268101-4 *
JPN6014029869; N. Kaji et al.: 'Separation of Long DNA Molecules by Quartz Nanopillar Chips under a Direct Current Electric Field' Anal. Chem. Vol. 76, No. 1, 20040101, pp. 15-22 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013148583A (ja) * 2012-01-20 2013-08-01 Ortho-Clinical Diagnostics Inc 多数の試薬セルを有するアッセイ装置
US9689870B2 (en) 2012-01-20 2017-06-27 Ortho-Clinical Diagnostics, Inc. Assay device having multiple reagent cells

Also Published As

Publication number Publication date
EP2296813A2 (en) 2011-03-23
CN102369059A (zh) 2012-03-07
WO2009149362A2 (en) 2009-12-10
CA3060930A1 (en) 2009-12-10
CN102369059B (zh) 2014-09-24
US20220388838A1 (en) 2022-12-08
KR20110016479A (ko) 2011-02-17
CN104359874B (zh) 2018-07-06
CN104359874A (zh) 2015-02-18
CA2727095C (en) 2020-01-07
CA2727095A1 (en) 2009-12-10
KR20170094003A (ko) 2017-08-16
US9533879B2 (en) 2017-01-03
US20110296903A1 (en) 2011-12-08
KR101767125B1 (ko) 2017-08-10
US20210101796A1 (en) 2021-04-08
HK1207418A1 (en) 2016-01-29
AU2009256064B2 (en) 2015-04-30
KR20160014110A (ko) 2016-02-05
US10654715B2 (en) 2020-05-19
WO2009149362A9 (en) 2010-04-29
JP2015096854A (ja) 2015-05-21
AU2009256064A1 (en) 2009-12-10
CA3060930C (en) 2022-03-22
US20170313580A1 (en) 2017-11-02
WO2009149362A3 (en) 2010-10-07
US11292713B2 (en) 2022-04-05

Similar Documents

Publication Publication Date Title
US11292713B2 (en) Integrated analysis device analysis techniques
US10161001B2 (en) Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US10768142B2 (en) Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
AU2015205826B2 (en) Integrated nanofluidic analysis devices, fabrication methods and analysis techniques

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120605

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120605

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130605

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140325

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140715

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141117

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20141117

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20141126

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150123

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160205