KR20150096776A - 모델-기반 계측 및 프로세스 모델의 통합 사용 - Google Patents

모델-기반 계측 및 프로세스 모델의 통합 사용 Download PDF

Info

Publication number
KR20150096776A
KR20150096776A KR1020157019477A KR20157019477A KR20150096776A KR 20150096776 A KR20150096776 A KR 20150096776A KR 1020157019477 A KR1020157019477 A KR 1020157019477A KR 20157019477 A KR20157019477 A KR 20157019477A KR 20150096776 A KR20150096776 A KR 20150096776A
Authority
KR
South Korea
Prior art keywords
model
parameter values
metrology
parameters
determining
Prior art date
Application number
KR1020157019477A
Other languages
English (en)
Other versions
KR102245695B1 (ko
Inventor
알랙산더 쿠즈네초프
안드레이 브이. 슈체그로프
스틸리안 이바노프 판데프
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20150096776A publication Critical patent/KR20150096776A/ko
Application granted granted Critical
Publication of KR102245695B1 publication Critical patent/KR102245695B1/ko

Links

Images

Classifications

    • G06F17/5009
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Abstract

계측-기반 타겟 모델을 프로세스-기반 타겟 모델로 통합하는 측정 모델에 기초하여 측정들을 수행하기 위한 방법들 및 시스템들이 제공된다. 통합 측정 모델들을 사용하는 시스템들은 하나 이상의 타겟들의 구조 및 재료 특성들을 측정하기 위해 사용될 수 있으며 또한 프로세스 파라미터 값들을 측정하기 위해 사용될 수 있다. 프로세스-기반 타겟 모델은 다수의 상이한 방식들로 계측-기반 타겟 모델로 통합될 수 있다. 일부 예들에서, 계측 모델 파라미터들의 값들의 범위들에 관한 제약들은 프로세스-기반 타겟 모델에 기초하여 결정된다. 일부 다른 예들에서, 통합 측정 모델은 프로세스-기반 타겟 모델에 의해 제약되는 계측-기반 타겟 모델을 포함한다. 일부 다른 예들에서, 하나 이상의 계측 모델 파라미터들은 프로세스 모델에 기초하여 다른 계측 모델 파라미터들의 관점에서 표현된다. 일부 다른 예들에서, 프로세스 파라미터들이 계측 모델로 치환된다.

Description

모델-기반 계측 및 프로세스 모델의 통합 사용{INTEGRATED USE OF MODEL-BASED METROLOGY AND A PROCESS MODEL}
본 특허 출원은 2012년 12월 18일에 출원된 "Method for Integrated Use of Model-based Metrology and a Process Model"이란 명칭의 미국 가 특허출원 일련번호 제 61/738,760 호로부터 35 U.S.C. §119 하에서 특허 청구범위 우선권을 주장하며, 상기 출원의 청구대상은 본원에 그 전체가 인용에 의해 통합된다.
설명된 실시예들은 계측 시스템들 및 방법들에 관한 것이며, 더 구체적으로 개선된 파라미터 측정을 위한 방법들 및 시스템들에 관한 것이다.
논리 및 메모리 디바이스들과 같은 반도체 디바이스들은 전형적으로 표본(specimen)에 적용되는 순차적인 프로세싱 단계들에 의해 제조된다. 반도체 디바이스들의 다양한 피처들 및 다수의 구조적 레벨들은 이들 프로세싱 단계들에 의해 형성된다. 예를 들어, 그 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 발생시키는 것과 관련하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스들의 추가적인 예들은 화학-기계적 연마, 에칭, 증착 및 이온 주입을 포함하지만 이들로 제한되는 것은 아니다. 다수의 반도체 디바이스들은 단일 반도체 웨이퍼 상에 제조될 수 있으며, 그 후에 각각의 반도체 디바이스들로 분리될 수 있다.
더 높은 수율을 촉진하기 위해 웨이퍼들 상의 결함들을 검출하도록 반도체 제조 프로세스 동안 다양한 단계들에서 계측 프로세스들이 사용된다. 광학 계측 기술들은 샘플 파괴의 리스크 없이 높은 스루풋(throughput)에 대한 잠재력을 제공한다. 스케터로미터법(scatterometry) 및 반사 측정법(reflectometry) 구현들을 포함하는 다수의 광학 계측 기반 기술들 및 관련 분석 알고리즘들이 임계 치수들, 막 두께들, 나노스케일 구조들의 조성(composition) 및 다른 파라미터들을 특성화하기 위해 공통으로 사용된다.
전통적으로, 박막들 및/또는 반복된 주기적 구조들로 이루어지는 타겟들 상에 광학 계측이 수행된다. 디바이스 제조 동안, 이들 막들 및 주기적 구조들은 전형적으로 실제 디바이스 기하학(geometry) 및 재료 구조 또는 중간 설계를 나타낸다. 디바이스들(예를 들어, 논리 및 메모리 디바이스들)이 더 작은 나노미터-스케일 치수들을 향해 이동함에 따라, 특성화가 더 어려워진다. 다양한 물리적 특성들을 가지는 복잡한 3차원 기하학 및 재료들을 통합하는 디바이스들이 특성화 어려움에 기여한다.
예를 들어, 현대의 메모리 구조들은 고-애스펙트 비, 3차원 구조들이어서 광학 방사선이 바닥 층들까지 관통하기 어렵게 한다. 추가로, 복잡한 구조들(예를 들어, FinFETs)을 특성화하기 위해 요구되는 증가하는 수의 파라미터들은 증가하는 파라미터 상관관계를 유도한다. 결과적으로, 타겟을 특성화하는 측정 모델 파라미터들은 종종 신뢰성있게 디커플링(decoupled)될 수 없다.
이들 도전과제들에 응답하여, 더 복잡한 광학 툴들이 개발되어왔다. 측정들은 넓은 범위들의 여러 머신 파라미터들(예를 들어, 파장, 방위각 및 입사 각 등)에 걸쳐 그리고 종종 동시에 수행된다. 결과적으로, 측정 레시피들을 포함하는 신뢰성있는 결과들을 발생시키기 위한 측정 시간, 계산 시간 및 전체 시간이 상당히 증가한다. 추가로, 넓은 파장 범위들에 걸친 광 강도의 확산(spreading)은 임의의 특정 파장에서 조명 강도를 감소시키며 그 파장에서 수행되는 측정들의 신호 불특정성을 증가시킨다.
장래의 계측 애플리케이션들은 증가하는 작은 해상도 요건들, 멀티-파라미터(multi-parameter) 상관관계, 증가하는 복잡한 기하학 구조들 및 불투명 재료들의 증가하는 사용으로 인해 계측에 대한 도전과제들을 제시한다. 따라서, 개선된 측정들을 위한 방법들 및 시스템들이 바람직하다.
프로세스-기반 타겟 모델을 계측-기반 타겟 모델로 통합하는 것에 기초하여 측정 모델들을 최적화하기 위한 방법들 및 시스템들이 제시된다. 계측 기반 타겟 모델을 프로세스 기반 타겟 모델로 통합함으로써, 계측 모델 및 프로세스 모델 중 하나 또는 둘 다의 예측 결과들이 개선된다.
일 양상에서, 통합 측정 모델들을 사용하는 시스템들은 하나 이상의 타겟들의 구조 및 재료 특성들(예를 들어, 재료 조성, 구조들 및 막들의 치수적 특성들 등)을 측정하기 위해 사용된다. 다른 양상에서, 통합 측정 모델들을 사용하는 측정 시스템들이 프로세스 파라미터 값들을 직접 측정하기 위해 사용된다.
프로세스-기반 타겟 모델은 다수의 서로 다른 방식들로 통합 측정 모델을 발생시키기 위해 계측-기반 타겟 모델로 통합될 수 있다.
일부 예들에서, 계측 모델 파라미터들의 값들의 범위들에 관한 제약들은 프로세스-기반 타겟 모델에 기초하여 결정된다. 더 구체적으로, 제약들은 프로세스-모델 파라미터들의 달성가능한 값들의 범위들에 기초하여 결정된다.
일부 다른 예들에서, 통합 측정 모델은 프로세스-기반 타겟 모델에 의해 제약되는 계측-기반 타겟 모델을 포함한다. 이것은 통합 측정 모델과 관련되는 솔루션(solution) 공간의 크기를 감소시킨다. 이러한 방식으로, 계측-기반 타겟 모델 파라미터들에 관한 제약들의 프로세스-기반 세트는 프로세스-기반 타겟 모델에 의해 정의되며 계측-기반 타겟 모델에 적용된다.
일부 다른 예들에서, 하나 이상의 계측 모델 파라미터들이 프로세스 모델에 기초하여 다른 계측 모델 파라미터들의 관점에서 표현된다. 이것은 통합 측정 모델의 부동 파라미터들의 총수를 감소시키며 파라미터 상관관계를 감소시킨다. 이것은 또한 제조 프로세스에 의해 허용되는 타겟 변화들에 제한되는 더 작은 탐색 공간으로 인해 피팅 엔진(fitting engine)(예를 들어, 회귀 엔진 (regression engine))의 효율성 및 견고성을 증가시킨다.
일부 다른 예들에서, 프로세스 파라미터들은 계측 모델로 치환된다. 이들 프로세스 파라미터들은 그 후에 통합 측정 모델을 사용하여 측정 데이터의 분석의 일부로서 분해된다. 이러한 방식으로, 통합 측정 모델의 파라미터화는 프로세스-기반 변수들을 포함하며 프로세스 파라미터 값들이 측정 신호들로부터 직접 결정된다.
일부 예들에서, 통합 측정 모델은 프로세스 파라미터 값들을 순차적으로 측정하기 위해 사용된다. 통합 측정 모델은 측정 데이터로부터 기하학 파라미터 값들을 결정하기 위해 측정 분석의 일부로서 사용되는 계측-기반 타겟 모델을 포함한다. 통합 측정 모델은 또한 기하학 파라미터 값들로부터 프로세스 파라미터 값들을 결정하기 위해 프로세스-기반 모델을 포함한다.
다른 양상에서, 프로세스 모델은 계측 모델에 기초하여 개선될 수 있다. 일부 예들에서, 프로세스 모델의 교정(calibration)은 계측 모델로부터 획득된 정보를 사용하여 개선된다. 일 예에서, 기하학 프로파일과 프로세스 변화들 사이의 사전-특성화 관계들이 프로세스 레시피 발생을 위해 사용될 수 있다. 추가로, 프로세스 모델은 계측 모델을 최적화하는 방식으로 교정될 수 있다.
또 다른 양상에서, 통합 측정 모델은 프로세스-기반 타겟 모델로부터 전적으로 또는 부분적으로 어셈블링될 수 있다.
또 다른 양상에서, 개시 방법들 및 시스템들은 멀티-타겟 모델링의 문맥에서 사용될 수 있다. 일부 예들에서, 통합 측정 모델은 일부 타겟들이 계측 모델 파라미터들을 사용하여 분해되는 한편, 다른 타겟들이 프로세스 파라미터들을 사용하여 분해되는 다수의 타겟들의 조합 분석을 허용한다. 추가로, 프로세스 모델로부터 도출되는 제약들은 서로 다른 타겟들의 파라미터들을 링크하기 위해 사용될 수 있다.
또 다른 양상에서, 크로스-웨이퍼(cross-wafer) 프로세스 변화 모델은 타겟 구조들의 프로세스-기반 모델들과 조합될 수 있다.
또 다른 양상에서, 통합 측정 모델은 프로세스 툴(예를 들어, 리소그래피 툴, 에칭 툴, 증착 툴 등)에 활성 피드백을 제공하기 위해 사용될 수 있다. 예를 들어, 통합 측정 모델을 사용하여 결정되는 깊이 및 초점 파라미터들의 값들이 원하는 출력을 달성하기 위해 리소그래피 시스템을 조정하도록 리소그래피 툴에 전달될 수 있다. 유사한 방식으로 에칭 파라미터들(예를 들어, 에칭 시간, 확산성 등) 또는 증착 파라미터들(예를 들어, 시간, 농도 등)은 에칭 툴들 또는 증착 툴들 각각에 활성 피드백을 제공하기 위해 통합 측정 모델에 포함될 수 있다.
전술한 바는 요약이며 따라서 필요에 따라 상세의 간략화들, 일반화들 및 생략을 포함하고; 결과적으로, 당업자들은 요약이 단지 예시적이며 어떤 방식으로도 제한하지 않음을 인식할 것이다. 본원에 설명되는 디바이스들 및/또는 프로세스들의 다른 양상들, 발명 특징들 및 장점들은 본원에 설명되는 비-제한적 상세 설명에서 명백해질 것이다.
도 1은 리소그래피 프로세스 시뮬레이션 소프트웨어에 의해 모델링되는 시뮬레이트된 3x3 콘택 홀 어레이의 최상면도(10)를 예시하는 플롯이다.
도 2는 도 1에 예시되는 콘택 홀 어레이의 단일 홀의 측면도(20)를 예시하는 플롯이다.
도 3은 초점 파라미터 값들의 노출 및 깊이의 다른 조합과 각각 관련되는 콘택 홀 프로파일들의 어레이의 측면도(30)를 예시하는 플롯이다.
도 4는 도 3에 예시되는 콘택 홀들의 어레이의 최상면도(40)를 예시하는 플롯이다.
도 5는 도 3-4에 예시되는 초점 노출 매트릭스 결과들의 각각과 관련되는 임계 치수 값들을 예시하는 윤곽 플롯(50)이다.
도 6은 도 3-4에 예시되는 초점 노출 매트릭스 결과들의 각각과 관련되는 측벽 각도 값들을 예시하는 윤곽 플롯(60)이다.
도 7은 도 3-4에 예시되는 초점 노출 매트릭스 결과들의 각각과 관련되는 레지스트 손실 값들을 예시하는 윤곽 플롯(70)이다.
도 8은 초점과 노출 사이의 그리고 임계 치수, 높이 및 측벽 각도 사이의 상관관계들을 예시하는 표(80)이다.
도 9는 2차원 빔 프로파일 반사 측정계(reflectometer)(2-D BPR) 시스템에 의해 측정되는 산화물 층에서의 홀의 간략화 계측 모델을 예시하는 도면(90)이다.
도 10은 2-D BPR 측정과 관련되는 CD와 SWA 사이의 상관관계들을 예시하는 표(100)이다.
도 11은 2-D BPR 측정과 관련되는 초점과 노출 사이의 상관관계를 예시하는 표(110)이다.
도 12a-c는 레지스트 손실, SWA 및 CD 각각의 2-D BPR 측정의 추적 성능을 표시하는 플롯들(120, 130 및 140)을 예시한다. 측정 모델은 레지스트 손실, SWA 및 CD에 의해 파라미터화된다.
도 13a-c는 레지스트 손실, SWA 및 CD 각각의 2-D BPR 측정의 추적 성능을 표시하는 플롯들(150, 160 및 170)을 예시한다. 측정 모델은 레지스트 손실, SWA 및 CD에 의해 파라미터화되며 프로세스 모델에 의해 제약된다.
도 14a-14b는 초점 및 노출 각각의 2-D BPR 측정들과 관련되는 추적 성능을 표시하는 플롯들(180 및 190)을 예시한다. 측정 모델은 초점 및 노출에 의해 파라미터화된다.
도 15는 프로세스 변화로부터 발생하는 형상 변화들을 캡처하기 위해 불연속 10 트랩 모델에 의해 모델링되는 구조를 예시하는 도면(200)이다.
도 16은 도 15에 예시되는 구조의 초점 노출 매트릭스 시뮬레이션 결과들을 예시하는 플롯(210)이다.
도 17a는 프로세스 변화들(초점 및 노출)에 존재하는 프로세스 정보 컨텐츠의 표시로서 사용되는 주된 성분 분석의 결과들을 예시하는 차트(220)이다.
도 17b는 프로세스 변화들(예를 들어, 알파, 베타)에 존재하는 프로세스 정보 컨텐츠의 표시로서 사용되는 주된 성분 분석의 결과들을 예시하는 차트(230)이다.
도 18은 본원에 제시되는 예시적인 방법들에 따른 표본의 특성들을 측정하기 위한 시스템(300)을 예시하는 도면이다.
도 19는 본 발명의 계측 시스템(300)에 의한 구현을 위해 적합한 예시적인 방법(400)을 예시하는 흐름도이다.
도 20은 본 발명의 계측 시스템(300)에 의한 구현을 위해 적합한 예시적인 방법(500)을 예시하는 흐름도이다.
이제 본 발명의 배경 예들 및 일부 실시예들에 대해 상세하게 참조가 이루어질 것이며, 그 예들은 첨부 도면들에 예시된다. 프로세스-기반 타겟 모델을 계측-기반 타겟 모델로 통합하는 것에 기초하여 측정 모델들을 최적화하기 위한 방법들 및 시스템들이 제시된다. 계측 기반 타겟 모델을 프로세스 기반 타겟 모델로 통합함으로써, 계측 모델 및 프로세스 모델 중 하나 또는 둘 다의 예측 결과들이 개선된다.
일반적으로, 광학 계측 기술들은 조사 중인 표본의 물리적 특성들을 측정하는 간접 방법들이다. 대부분의 경우들에서, 측정된 광학 신호들은 관계되는 물리적 특성들을 직접 결정하기 위해 사용될 수 없다. 전통적으로, 측정 프로세스는 특정한 계측 시스템과 측정 타겟의 상호작용의 모델에 기초하여 측정된 광학 신호들을 예측하려 시도하는 계측 모델을 공식화하는 것으로 이루어진다. 계측-기반 타겟 모델은 관계하는 측정 타겟의 물리적 특성들의 관점에서 구조의 파라미터화(예를 들어, 막 두께들, 임계 치수들, 굴절률들, 격자 피치 등)를 포함한다. 추가로, 계측-기반 타겟 모델은 계측 툴 자체의 파라미터화(예를 들어, 파장들, 입사 각들, 편광 각들 등)를 포함한다.
머신 파라미터들(Pmachine)은 계측 툴 자체를 특성화하기 위해 사용되는 파라미터들이다. 예시적인 머신 파라미터들은 입사 각(AOI), 분석기 각도(A0), 편광기 각도(P0), 조명 파장, 수치적 개구(NA) 등을 포함한다. 표본 파라미터들(Pspecimen)은 표본의 기하학 및 재료 특성들을 특성화하기 위해 사용되는 파라미터들이다. 박막 표본에 대해, 예시적인 표본 파라미터들은 굴절률, 유전 함수 텐서(dielectric function tensor), 모든 층들의 공칭(nominal) 층 두께, 층 순서 등을 포함한다.
측정 목적들을 위해, 머신 파라미터들이 알려진 고정 파라미터들로서 처리되며 표본 파라미터들 또는 표본 파라미터들의 서브세트가 알려지지 않은 부동 파라미터들로서 처리된다. 부동 파라미터들은 이론적 예측들과 측정 데이터 사이의 최상의 피트(fit)를 생산하는 피팅 프로세스(예를 들어, 회귀, 라이브러리 매칭(library matching) 등)에 의해 분해된다. 알려지지 않은 표본 파라미터들인 Pspecimen은 변화되며 표본 파라미터 값들의 세트가 모델 출력 값들과 측정 값들 사이의 근접한 매치를 발생시키는 것으로 결정될 때까지 모델 출력 값들이 계산된다.
많은 경우들에서, 표본 파라미터들은 고도로 상관된다. 이것은 계측-기반 타겟 모델의 불안정성을 유도할 수 있다. 일부 경우들에서, 이것은 특정 표본 파라미터들을 고정함으로써 해결된다. 그러나, 이것은 종종 나머지 파라미터들의 추정에서의 상당한 에러들을 발생시킨다. 예를 들어, 하부 층들(예를 들어, 반도체 웨이퍼 상의 반도체 재료 스택의 산화물 베이스 층들)은 웨이퍼의 표면 위에 균일하게 두껍지 않다. 그러나, 파라미터 상관관계를 감소시키기 위해, 웨이퍼의 표면 위에 고정 두께를 가지는 것으로 이들 층들을 처리하는 측정 모델들이 구성된다. 불행하게도, 이것은 다른 파라미터들의 추정에서 상당한 에러들을 유도할 수 있다.
일 양상에서, 통합 측정 모델들을 사용하는 시스템들은 하나 이상의 타겟들의 구조 및 재료 특성들(예를 들어, 재료 조성, 구조들 및 막들의 치수 특성들 등)을 측정하기 위해 사용된다. 통합 측정 모델은 프로세스-기반 타겟 모델을 계측-기반 타겟 모델로 통합하는 것에 기초한다.
다른 양상에서, 통합 측정 모델들을 사용하는 측정 시스템들은 프로세스 파라미터 값들을 직접 측정하기 위해 사용된다. 통합 측정 모델은 프로세스-기반 타겟 모델을 계측-기반 타겟 모델로 통합하는 것에 기초한다.
프로세스-기반 타겟 모델은 프로세스 변수들의 관점에서 표본의 구조적 특성들(예를 들어, 기하학 특성들, 재료 특성들 등)을 예측한다. 계측-기반 타겟 모델과의 통합을 위해 적합한 프로세스-기반 타겟 모델은 광학 계측 툴이 민감한 구조 및/또는 재료 특성들을 예측한다.
도 1-2는 비-제한 예에 의해 프로세스-기반 타겟 모델로부터의 시뮬레이션 결과들을 예시한다. 도 1-2는 KLA-Tencor Corporation, Milpitas, California(USA)로부터 이용가능한 포지티브 레지스트 광학 리소그래피(Positive Resist Optical Lithography: PROLITH)를 사용하여 발생되는 콘택 홀들의 어레이의 극자외선(Extreme Ultraviolet: EUV) 리소그래피 모델을 예시한다. 이러한 예시적인 리소그래피 프로세스 모델이 일반적으로 PROLITH 소프트웨어를 사용하여 발생되더라도, 임의의 프로세스 모델링 기술 또는 툴이 본 특허 문서의 범위 내에서 고려될 수 있다. 도 1은 PROLITH 소프트웨어에 의해 모델링되는 시뮬레이트된 3x3 콘택 홀 어레이의 최상면도(10)를 예시한다. 도 2는 어레이의 단일 홀의 측면도(20)를 예시한다. 측면도로부터, 여러 기하학 파라미터들이 예시된다. 예를 들어, 홀의 높이(H), 측벽 각(SWA) 및 임계 치수(CD)가 예시된다. 예시된 예에서, 임계 치수는 홀의 바닥 위로 5 나노미터들인 홀 직경의 척도이다.
도 2에 예시되는 홀 프로파일에 유사한 홀 프로파일들의 세트를 발생시키기 위해 초점-노출 매트릭스(focus-exposure matrix: FEM) 시뮬레이션 실험이 실행된다. 도 3은 초점 파라미터 값들의 노출 및 깊이의 서로 다른 조합과 각각 관련되는 콘택 홀 프로파일들의 어레이의 측면도(30)를 예시한다. 도 3에 예시되는 결과들은 PROLITH 소프트웨어에 의해 실행되는 일련의 시뮬레이션 실험들로부터 발생되었다. 도 4는 도 3에 예시되는 콘택 홀들의 동일한 어레이의 최상면도(40)를 예시한다. 이 방식에서, 표본의 기하학 특성들(즉, CD, H, SWA)은 프로세스-기반 타겟 모델에 의해 프로세스 변수들(즉, 초점 및 노출)의 관점에서 표현된다.
도 5-7은 CD의 윤곽 플롯(50), SWA의 윤곽 플롯(60) 및 레지스트 손실의 윤곽 플롯(70) 각각을 예시한다. 윤곽 플롯들의 각각은 도 3-4에 예시되는 FEM 실험 결과들과 관련된다. 레지스트 손실은 콘택 홀의 공칭 높이로부터 감산되는 실제 높이이며, 따라서 높이의 척도이다. 도 5-7은 프로세스-기반 타겟 모델로부터 도출되는 프로세스 파라미터들과 계측 파라미터들 사이의 관계를 도식적으로 예시하며, 따라서 계측 파라미터들에 관한 프로세스-기반 제약들을 나타낸다. 그와 같이, 이들 제약들은 통합 측정 모델을 발생시키기 위해 프로세스-기반 모델을 계측 기반 모델과 링크하기 위해 사용될 수 있다.
수식 (1)은 2개의 프로세스-기반 모델 파라미터들(즉, 초점의 깊이, F 및 노출, E)에 의해 3개의 계측-기반 모델 파라미터들(즉, CD, H 및 SWA)을 제약시키는 수식들의 세트를 예시한다.
Figure pct00001
수식 (1)에 의해 예시되는 예에서, 프로세스-기반 제약들이 도 3-7에 예시되는 FEM 시뮬레이션 결과들에 적용되는 간략화된 피팅 함수들(예를 들어, 다항식)에 기초하여 공식화된다. 다른 예들에서, 프로세스-기반 제약들은 그 청구 대상이 본원에서 전체로 통합되는 2006년 3월 7일에 KLA-Tencor Technologies Corporation에 발행된 미국 특허번호 제 7,009,704 호에 의해 설명된 바와 같은 프로세스-기반 기저 함수(basis function)에 기초하여 공식화될 수 있다.
프로세스-기반 타겟 모델은 다수의 상이한 방식들에서 통합 측정 모델을 발생시키기 위해 계측-기반 타겟 모델로 통합될 수 있다.
일부 예들에서, 계측 모델 파라미터들의 값들의 범위들에 관한 제약들은 프로세스-기반 타겟 모델에 기초하여 결정된다. 더 구체적으로, 제약들은 프로세스-모델 파라미터들의 달성가능한 값들의 범위들에 기초하여 결정된다.
예를 들어, 도 3 및 4에 예시된 바와 같이, 초점 및 노출 파라미터 값들의 일부 조합들은 함수적 콘택 홀들을 산출하지 못한다. 예를 들어, 도 3에 예시된 바와 같이, 프로세스-기반 모델 결과들의 매트릭스 구역(31) 내에 강조되는 구조들은 홀을 형성하는데 실패한다. 유사하게, 도 4에 예시된 바와 같이, 프로세스-기반 모델 결과들의 매트릭스의 구역(41) 내에 강조되는 구조들은 홀을 형성하는데 실패한다. 유사하게, 도 5-7에 예시된 바와 같이, 특정 파라미터(즉, CD, SWA 및 레지스트 손실)가 해결될 수 없기 때문에, 블랭크 영역들(51, 61 및 71)에서의 초점 및 노출 파라미터 값들의 조합들은 각각 함수적 구조를 형성하는데 있어서의 실패를 표시한다. 다시 말해, 프로세스-기반 타겟 모델의 결과들에 기초하여 초점 및 노출 파라미터 값들의 특정 범위들이 함수적 구조를 산출하지 못하는 것으로 결정된다. 프로세스-기반 모델 파라미터 값들의 이들 범위들은 후속적인 측정 분석에서 사용되는 계측-기반 파라미터 값들의 범위들을 제한하기 위해 계측-기반 파라미터 값들의 범위들을 제한하기 위해 계측-기반 모델 파라미터 값들의 범위들로 맵핑된다. 이것은 계측 파라미터들의 범위들을 프로세스 기반 모델에 의해 결정된 바와 같은 함수적 구조들로 잠재적으로 제한함으로써 통합 측정 모델과 관련되는 계산 시간을 감소시킨다. 비-제한 예로서, 수식 (1)은 프로세스-기반 모델 파라미터 값들의 범위를 계측-기반 모델 파라미터 값들의 범위들로 맵핑하기 위해 사용될 수 있다.
일부 다른 예들에서, 통합 측정 모델은 프로세스-기반 타겟 모델에 의해 제약되는 계측-기반 타겟 모델을 포함한다. 일 예에서, CD, H 및 SWA와 같은 계측 파라미터들을 분해하기 위해 수행되는 측정 분석은 수식 (1)에 의해 제약된다. 다시 말해, 제약 수식 (1)을 따르는 CD, H 및 SWA에 대한 해답들만이 측정 분석에서 고려될 것이다. 이것은 통합 측정 모델과 관련되는 해답 공간의 크기를 감소시킨다. 이러한 방식으로, 계측-기반 타겟 모델 파라미터들에 관한 제약들의 프로세스-기반 세트는 프로세스-기반 타겟 모델에 의해 정의되며 계측-기반 타겟 모델에 적용된다.
일부 다른 예들에서, 하나 이상의 계측 모델 파라미터들은 프로세스 모델에 기초하여 다른 계측 모델 파라미터들의 관점에서 표현된다. 일 예에서, SWA는 수식 (1)에 기초하여 CD 및 H의 함수로서 표현된다. 이것은 통합 측정 모델의 부동 파라미터들의 총 수를 감소시키며 파라미터 상관관계를 감소시킨다. 이것은 또한 제조 프로세스에 의해 허용되는 타겟 변화들로 제한되는 더 작은 탐색 공간으로 인해 피팅 엔진(예를 들어, 회귀 엔진)의 효율성 및 견고성을 증가시킨다.
일부 다른 예들에서, 프로세스 파라미터들이 계측 모델로 치환된다. 이들 프로세스 파라미터들은 그 후에 통합 측정 모델을 사용한 측정 데이터의 분석의 일부로서 분해된다. 이러한 방식으로, 통합 측정 모델의 파라미터화는 프로세스-기반 변수들(예를 들어, 초점 및 노출)을 포함하며, 프로세스 파라미터 값들은 측정 신호들로부터 직접 결정된다. 예를 들어, 통합 측정 모델은 수식 (1)을 사용하여 계측 모델 파라미터들 CD, H 및 SWA에 대해 프로세스 파라미터들 F 및 E를 치환함으로써 공식화될 수 있다. 측정 데이터에 기초하여 F 및 E에 대해 푼 후에, 대응하는 계측 파라미터들 CD, H 및 SWA는 수식 (1)로부터 계산될 수 있다.
이 방식은 측정 데이터의 분석에 관련되는 파라미터들 중에서의 상관관계들을 감소시키기 위해 선호될 수 있다. 예를 들어, 도 8에 도시되는 표(80)에 예시된 바와 같이, 초점과 노출 사이의 상관관계는 CD, H 및 SWA 사이의 상관관계들보다 훨씬 더 낮다.
도 9는 2차원 빔 프로파일 반사 측정계(2-D BPR) 시스템에 의해 측정되는 산화물 층에서의 홀의 간략화된 계측 모델을 예시하는 도면(90)이다. 실험들의 설계(Design of Experiments: DOE) 시뮬레이션 결과들은 도 10의 표(100)에 예시된 바와 같이 CD와 SWA 사이의 높은 수준의 상관관계를 입증한다. 따라서, 2-D BPR 측정 시스템이 2개의 계측 파라미터들을 구별하는데 있어서 효과적이지 않을 것이 예상된다. 이것은 도 12a-12c에 더 입증된다. 도 12a는 레지스트 손실, SWA 및 CD에 의해 파라미터화되는 계측 모델을 사용하여 레지스트 손실의 2-D BPR 측정의 추적 성능을 표시하는 플롯(120)을 예시한다. 라인(121)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완벽한 추적을 표시한다. 라인(122)은 예시된 데이터 포인트들 중에서 최상의 피트(fit)를 나타내는 라인이다. 도 12a에 예시된 바와 같이, 레지스트 손실을 위한 추적 성능이 양호하다. 도 12b는 레지스트 손실, SWA 및 CD에 의해 파라미터화되는 계측 모델을 사용하여 CD의 2-D BPR 측정의 추적 성능을 표시하는 플롯(130)을 예시한다. 라인(131)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완벽한 추적을 표시한다. 라인(132)은 예시된 데이터 포인트들 중에서 최상의 피트(fit)를 나타내는 라인이다. 도 12b에 예시된 바와 같이, CD를 위한 추적 성능이 열악하다. 도 12c는 레지스트 손실, SWA 및 CD에 의해 파라미터화되는 계측 모델을 사용하여 SWA의 2-D BPR 측정의 추적 성능을 표시하는 플롯(140)을 예시한다. 라인(141)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완벽한 추적을 표시한다. 라인(142)은 예시된 데이터 포인트들 중에서 최상의 피트(fit)를 나타내는 라인이다. 도 12c에 예시된 바와 같이, SWA를 위한 추적 성능이 상당히 열악하다.
도 13a-13c는 레지스트 손실, CD 및 SWA에 의해 파라미터화된 계측 모델이 프로세스 모델에 의해 제약되는 경우에(즉, 레지스트 손실, CD 및 SWA는 초점 및 노출에 의해 제약됨) 통합 측정 모델을 사용하여 레지스트 손실, CD 및 SWA 각각의 2-D BPR 측정들과 관련되는 추적 성능을 예시한다. 도 13a는 레지스트 손실, SWA 및 CD에 의해 파라미터화된 통합 측정 모델을 사용하여 레지스트 손실의 2-D BPR 측정의 추적 성능을 표시하는 플롯(150)을 예시한다. 라인(151)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완벽한 추적을 표시한다. 라인(152)은 예시된 데이터 포인트들 중에서 최상의 피트를 나타내는 라인이다. 도 13a에 예시된 바와 같이, 레지스트 손실에 대한 추적 성능은 상당히 양호하다. 도 13b는 레지스트 손실, SWA 및 CD에 의해 파라미터화된 통합 측정 모델을 사용하여 CD의 2-D BPR 측정의 추적 성능을 표시하는 플롯(160)을 예시한다. 라인(161)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완벽한 추적을 표시한다. 라인(162)은 예시된 데이터 포인트들 중에서 최상의 피트를 나타내는 라인이다. 도 13b에 예시된 바와 같이, CD에 대한 추적 성능은 도 12b에 예시된 추적 성능에 비교하여 개선된다. 도 13c는 레지스트 손실, SWA 및 CD에 의해 파라미터화된 통합 측정 모델을 사용하여 SWA의 2-D BPR 측정의 추적 성능을 표시하는 플롯(170)을 예시한다. 도 13c에 예시된 바와 같이, SWA에 대한 추적 성능은 상당히 열악한 상태로 남아있다.
도 14a-14b는 모델이 초점 및 노출에 의해 파라미터화되는 경우의 통합 측정 모델을 사용할 때, 초점 및 노출 각각의 2-D BPR 측정들과 관련되는 추적 성능을 예시한다. 도 14a는 초점 및 노출에 의해 파라미터화된 통합 측정 모델을 사용하여 초점의 2-D BPR 측정의 추적 성능을 표시하는 플롯(180)을 예시한다. 라인(181)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완벽한 추적을 표시한다. 라인(182)은 예시된 데이터 포인트들 중에서 최상의 피트를 나타내는 라인이다. 도 14a에 예시된 바와 같이, 초점에 대한 추적 성능은 상당히 양호하다. 유사하게, 도 14b는 초점 및 노출에 의해 파라미터화된 통합 측정 모델을 사용하여 노출의 2-D BPR 측정의 추적 성능을 표시하는 플롯(190)을 예시한다. 라인(191)은 추정 파라미터 값이 실제 파라미터 값과 동일한 경우의 완벽한 추적을 표시한다. 라인(192)은 예시된 데이터 포인트들 중에서 최상의 피트를 나타내는 라인이다. 도 14b에 예시된 바와 같이, 노출에 대한 추적 성능은 상당히 양호하다. 도 11의 표(110)에 예시된 바와 같이, 초점과 노출 사이의 상관관계는 SWA 및 CD 사이의 상관관계들보다 상당히 작다. 따라서, 2-D BPR 측정은 CD 및 SWA보다 더 큰 성공으로 초점 및 노출을 분해할 수 있다.
이러한 방식으로, 관련된 측정 프로세스 파라미터들(예를 들어, 초점의 깊이, 노출, 에칭 시간, 증착 시간 등)을 정확하게 측정하기 위해 통합 측정 모델이 사용된다. 이 방법은 정확도 및 측정 시간을 개선하면서, 측정 신호와 측정 프로세스 파라미터들 사이에 전달되는 정보를 상당히 증가시킨다.
다른 예에서, 통합 측정 모델은 프로세스 시뮬레이션 결과들에 기초하여 구축된다. 전형적으로, 계측-기반 타겟 모델은 실제 타겟의 간단한 근사화이다. 예를 들어, 레지스트 라인 측정을 위한 계측 모델은 종종 간단한 사다리꼴(trapezoid)이며, 여기서 SWA, CD 및 H가 측정된다. 이것은 프로세스 변화들(예를 들어, 초점 및 노출에서의 변화들)로 인한 타겟 기하학의 실제 변동의 상당한 근사화이다. 결과적으로, 서로 다른 프로세스 파라미터 값들의 실제 기하학 영향이 측정에 의해 캡처되지 않기 때문에 고도로 간략화 계측 모델로부터의 측정 결과들에 기초하여 초점 및 노출을 특성화하기 위한 시도들은 성과없음을 증명할 수 있다.
예시로서, 도 16은 초점 노출 매트릭스에서의 복잡한 측벽 형상들을 가지는 타겟 프로파일들을 예시한다. 고도의 간략화 계측 모델이 사용된다면, 도 16에 예시되는 프로세스 변화 정보의 일부분이 손실된다. 그러나, 일부 예들에서 더 복잡한 기하학 파라미터화를 포함하는 통합 측정 모델은 프로세스로부터 발생하는 형상 프로파일들을 효과적으로 캡처한다. 이 방식으로, 프로세스 파라미터 값들을 결정하기 위해 측정 신호들이 효과적으로 분석될 수 있다.
도 15는 프로세스 변화로부터 발생하는 형상 변화들을 캡처하기 위해 사용되는 불연속 10 트랩(discretized 10 trap model)(12 DOF) 모델을 예시한다. 모델은 서로 다른 높이들에서 11개의 CD들을 포함한다. 측정 CD들은 프로세스 변화들을 반영하며 CD, SWA 및 HT에 의해 파라미터화된 단일 사다리꼴 기하학 모델보다 더 정확하게 초점의 깊이 및 노출을 예측할 수 있다. 이러한 방식으로, 통합 측정 모델은 도 16에 예시되는 프로세스 모델 시뮬레이션 결과들에 기초하여 구축되는 도 15에 예시된 계측 모델이다. 측벽 형상 변화가 도 16에 예시되며 도 15에 예시되는 모델에 의해 캡처되더라도, 다른 형상 변화들이 고려될 수 있다(예를 들어, 레지스트 라인 토폴로지(topology) 변경들 등).
일부 예들에서, 프로세스 파라미터 값들을 순차적으로 측정하기 위해 통합 측정 모델이 사용된다. 측정 데이터로부터 기하학 파라미터 값들을 결정하기 위해 통합 측정 모델은 측정 분석의 일부로서 사용되는 계측-기반 타겟 모델을 포함한다. 통합 측정 모델은 또한 기하학 파라미터 값들로부터 프로세스 파라미터 값들을 결정하기 위해 프로세스-기반 모델을 포함한다.
일 예에서, 계측 기반 타겟 모델은 Stilian Pandev에 의한 미국 특허공보 제 2013/0110477 호에 설명되는 프로세스 기반 주된 성분 분석(principal component analysis: PCA)에 의해 파라미터화되며, 그 청구 대상은 본원에 인용에 의해 통합된다. 프로세스 기반 PCA 파라미터화는 모델 파라미터들이 측정 정보의 과도한 손실 없이 측정 데이터로부터 효과적으로 분해될 수 있도록 계측-기반 모델의 자유도의 수를 효과적으로 감소시킨다. 일 예에서, 프로세스 변화 공간 내에서, 도 15에 예시되는 기하학적으로 불연속인 모델을 제약하기 위해 모델 감소가 수행된다. 초점 및 노출을 예측하는 프로세스 모델에 대한 입력으로서 측정 기하학(예를 들어, 도 15의 CD들)이 제공된다. 일 예에서, 뉴럴 네트워크가 프로세스 모델로서 사용된다. 뉴럴 네트워크 모델은 측정 CD들에 기초하여 초점 및 노출 파라미터 값들을 예측한다.
추가적인 양상에서, 뉴럴 네트워크 모델은 프로세스 모델 데이터에 의해 트레이닝된다. 더 구체적으로, 뉴럴 네트워크 모델은 도 16에 예시된 것들과 같은 PROLITH 시뮬레이터에 의해 생산되는 형상 프로파일들로 트레이닝된다. 이러한 방식으로, 초점 및 노출 파라미터 값들은 더 많은 프로세스 정보가 뉴럴 네트워크 모델에 의해 캡처되게 허용하면서, 측벽 형상 파라미터들 CD1...CDn 및 HT의 함수로서 표현된다. 이것은 3개의 파라미터들(즉, CD, SWA 및 HT)을 가지는 단일 사다리꼴 모델을 활용하는 것에 비교하여 타겟 측정으로부터 초점 및 노출 추정 측정까지의 정보 손실을 감소시킨다.
도 17a는 프로세스 변화들(초점 및 노출)에 존재하는 프로세스 정보 컨텐츠의 표시로서 사용되는 주된 성분 분석의 결과들을 예시한다. 도 17a는 프로세스 변화 정보가 12 DOF 기하학 모델로 전송되며, 정보가 2개 또는 3개의 PCA 성분들에 의해 효과적으로 캡처됨을 예시한다.
도 17b는 측정 신호들(예를 들어, 알파, 베타)에 존재하는 프로세스 정보 컨텐츠의 표시로서 사용되는 주된 성분 분석의 결과들을 예시한다. 신호 또는 기하학 모델에 전달되는 정보가 더 많을수록, 더 양호한 계측/프로세스 모델이 프로세스 파라미터들(예를 들어, 초점 및 노출)을 추출할 수 있으며 분리할 수 있다.
일부 예들에서, 측정 신호들로부터 직접 프로세스 파라미터 값들을 측정하기 위해 통합 측정 모델이 사용된다.
예를 들어, 이전에 논의된 바와 같이, 프로세스 파라미터들은 계측 기반 타겟 모델로 치환될 수 있다. 이러한 방식으로, 프로세스 파라미터 값들은 측정 데이터로부터 직접 분해된다.
일부 다른 예들에서, 통합 측정 모델은 측정 신호들을 수신하며 초점 및 노출 파라미터 값들을 직접 결정하는 뉴럴 네트워크 모델이다. 뉴럴 네트워크 모델은 프로세스 모델에 의해 발생되는 형상 프로파일들(예를 들어, PROLITH에 의해 발생되며 도 16에 예시되는 형상 프로파일들) 및 계측 모델에 의해 발생되는 대응하는 측정 스펙트럼들을 사용하여 트레이닝된다. 뉴럴 넷(neural net) 트레이닝 동안 정해진 프로세스 변화들에 대한 타겟 프로파일들을 생산하기 위해 프로세스 시뮬레이터(예를 들어, PROLITH)가 사용된다. 각 형상 프로파일에 대응하는 측정 스펙트럼들은 RCWA 엔진에 의해 발생된다. 뉴럴 네트워크는 발생 스펙트럼들로 트레이닝된다. 자유도의 수를 감소시키기 위해 PCA가 발생된 스펙트럼들 상에 수행된다.
측정 동안, 분석 엔진에 의해 측정 스펙트럼들이 수신되며 트레이닝 동안 사용되는 PCA 변환에 의해 측정 스펙트럼들이 주된 성분들(PCs)로 변환된다. 트레이닝된 뉴럴 네트워크 모델은 PC들을 수신하며 초점 및 노출 파라미터들을 직접 결정한다.
다른 예에서, 뉴럴 네트워크는 DOE(FEM) 웨이퍼로부터의 측정 스펙트럼들에 기초하여 트레이닝될 수 있다. 본 예에서, 프로세스 시뮬레이터 또는 모델이 필요하지 않다. 이것은 RCWA 엔진 및 프로세스 시뮬레이터로부터의 에러들을 감소시키지만, DOE 웨이퍼에서의 프로세스 변화들에 대한 요건들을 증가시킨다.
측정 신호들로부터 직접 프로세스 파라미터 값들을 측정하기 위해 통합 측정 모델을 사용함으로써, 중간 모델들(예를 들어, 측정 시스템을 근사화하는 기하학, 재료 또는 다른 모델들)을 제거함으로써 정보 손실이 감소된다. 추가로, 회귀 동작을 제거함으로써 측정 시간이 감소될 수 있다.
다른 양상에서, 프로세스 모델은 계측 모델에 기초하여 개선될 수 있다. 일부 예들에서, 계측 모델로부터 획득되는 정보를 사용하여 프로세스 모델의 교정이 개선된다. 일 예에서, 기하학 프로파일과 프로세스 변화들 사이의 사전-특성화 관계들이 프로세스 레시피 발생을 위해 사용될 수 있다. 추가로, 프로세스 모델은 계측 모델을 최적화하는 방식으로 교정될 수 있다.
훨씬 더 일반적으로, 프로세스 및 계측 모델들의 사용은 입력을 다른 것에 제공하는 하나의 모델로 완전히 통합된다.
또 다른 양상에서, 개시 방법들 및 시스템들이 멀티-타겟 모델링의 문맥에서 사용될 수 있다. 일부 예들에서, 통합 측정 모델은 일부 타겟들은 계측 모델 파라미터들을 사용하여 분해되는 한편 다른 타겟들은 프로세스 파라미터들을 사용하여 분해되는 경우의 다수의 타겟들의 조합 분석을 허용한다. 추가로, 프로세스 모델로부터 도출되는 제약들은 서로 다른 타겟들의 파라미터들을 링크시키기 위해 사용될 수 있다.
여러 예들이 리소그래피 프로세스 모델 및 관련 초점 및 노출 계측들을 참조하여 이전에 설명되더라도, 본원에 설명되는 방법들 및 시스템들은 다른 프로세스 모델들(예를 들어, 에칭 또는 증착 프로세싱) 및 다른 계측들(예를 들어, 에칭 및 증착 계측들)에 관련할 수 있다. 본원에 설명되는 방법들 및 시스템들은 또한 다른 참조 계측 기술들(예를 들어, SEM, TEM, AFM, X-ray)과 관련할 수 있다. 더욱이, 본원에 설명되는 방법들 및 시스템들은 광학 계측 시스템들(예를 들어, 분광 엘립소미터들(ellipsometers), 반사 측정계들, BPR 시스템들 등)을 참조하여 논의되지만, 또한 다른 모델-기반 계측들(예를 들어, 오버레이(overlay), CD-SAXS, XRR 등)에 적용될 수 있다.
또 다른 양상에서, 크로스-웨이퍼 프로세스 변화 모델은 타겟 구조들의 프로세스-기반 모델들과 조합될 수 있다. 종종 프로세스는 크로스-웨이퍼 프로세스 특정 패턴을 생성하는 전체 웨이퍼에 영향을 미친다. 일 예에서, 막 증착 프로세스가 종종 웨이퍼에 걸친 방사 대칭 패턴을 가지는 막 두께를 발생시킴이 공통으로 관찰된다.
일부 예들에서, 정확한 통합 측정 모델을 생성하기 위해 단일 타겟에서 인코딩되는 프로세스 변화 정보와 조합하여 크로스-웨이퍼 프로세스 정보가 사용된다. 일 예에서, 하부 막들의 하나 이상의 크로스-웨이퍼 막 모델들이 PROLITH에 의해 설정된 프로세스 변화들에 기초하여 파라미터화된 10 트랩 모델과 조합된다. 격자를 프로세스 공간에서의 변화에 제약시키며 하부 막들을 예상된 대칭 막에 제약시킴으로써, 격자 대 막 상관관계들이 상당히 감소되며 측정 정확도가 개선된다.
또 다른 양상에서, 프로세스 툴(예를 들어, 리소그래피 툴, 에칭 툴, 증착 툴 등)에 활성 피드백을 제공하기 위해 통합 측정 모델이 사용될 수 있다. 예를 들어, 통합 측정 모델을 사용하여 결정되는 깊이 및 초점 파라미터들의 값들은 원하는 출력을 달성하기 위해 리소그래피 시스템을 조정하도록 리소그래피 툴에 전달될 수 있다. 유사한 방식으로 에칭 파라미터들(예를 들어, 에칭 시간, 확산성 등) 또는 증착 파라미터들(예를 들어, 시간, 농도 등)이 에칭 툴들 또는 증착 툴들 각각에 활성 피드백을 제공하도록 통합 측정 모델에 포함될 수 있다.
도 18은 본원에 제시되는 예시적인 방법들에 따른 표본의 특성들을 측정하기 위한 시스템(300)을 예시한다. 도 18에 도시된 바와 같이, 시스템(300)은 표본(301)의 하나 이상의 구조들의 분광 엘립소미터법 측정들을 수행하기 위해 사용될 수 있다. 본 양상에서, 시스템(300)은 조명기(302) 및 분광기(304)를 갖춘 분광 엘립소미터를 포함할 수 있다. 시스템(300)의 조명기(302)는 표본(301)의 표면 상에 배치되는 구조에 대해 선택된 파장 범위(예를 들어, 150-850 nm)의 조명을 발생시키고 지시하도록 구성된다. 차례로, 분광기(304)는 표본(301)의 표면으로부터 반사되는 조명을 수신하도록 구성된다. 조명기(302)로부터 발산하는 광이 편광된 조명 빔(306)을 생산하기 위해 편광 상태 발생기(307)를 사용하여 편광됨이 더 주목된다. 표본(301) 상에 배치되는 구조에 의해 반사되는 방사선은 편광 상태 분석기(309)를 통하여 분광기(304)에 전달된다. 수집 빔(308)에서 분광기(304)에 의해 수신되는 방사선은 분석기에 의해 통과되는 방사선의 분광기에 의한 스펙트럼 분석을 허용하면서, 편광 상태에 관하여 분석된다. 이들 스펙트럼들(311)은 구조의 분석을 위해 컴퓨팅 시스템(330)에 전달된다.
도 18에 도시된 바와 같이, 시스템(300)은 단일 측정 기술(즉, SE)을 포함한다. 그러나, 일반적으로, 시스템(300)은 임의의 수의 서로 다른 측정 기술들을 포함할 수 있다. 비-제한 예로서, 시스템(300)은 (뮐러(Mueller) 매트릭스 엘립소미터법을 포함하는) 분광 엘립소미터, 분광 반사 측정계, 분광 스케터로미터, 오버레이 스케터로미터, 각도 분해 빔 프로파일 반사 측정계, 편광 분해 빔 프로파일 반사 측정계, 빔 프로파일 반사 측정계, 빔 프로파일 엘립소미터, 임의의 단일 또는 다중 파장 엘립소미터 또는 그의 임의의 조합으로서 구성될 수 있다. 더욱이, 일반적으로, 서로 다른 측정 기술들에 의해 수집되며 본원에 설명되는 방법들에 따라 분석되는 측정 데이터가 다수의 기술들을 통합하는 하나의 툴보다는 오히려, 다수의 툴들로부터 수집될 수 있다.
추가적인 실시예에서, 시스템(300)은 본원에 설명되는 방법들에 따라 통합 측정 모델에 기초하여 측정을 수행하기 위해 사용되는 하나 이상의 컴퓨팅 시스템들(330)을 포함할 수 있다. 하나 이상의 컴퓨팅 시스템들(330)은 분광기(304)에 통신적으로 커플링될 수 있다. 일 양상에서, 하나 이상의 컴퓨팅 시스템들(330)은 표본(301)의 구조의 측정들과 관련되는 측정 데이터(311)를 수신하도록 구성된다.
추가적인 실시예에서, 하나 이상의 컴퓨팅 시스템들(330)은 실시간 임계 치수화(Real Time Critical Dimensioning: RTCD)를 사용하여 실시간으로 모델 파라미터들을 액세스하도록 구성되거나, 본원에 설명되는 방법들에 따른 통합 측정 모델을 결정하기 위한 사전-컴퓨팅 모델들의 라이브러리들을 액세스할 수 있다.
본 개시물 전반에 설명되는 다양한 단계들이 단일 컴퓨터 시스템(330)에 의해, 또는 대안적으로 다중 컴퓨터 시스템(330)에 의해 실행될 수 있음이 인식되어야 한다. 더욱이, 분광 엘립소미터(304)와 같은 시스템의 서로 다른 서브시스템들은 본원에 설명되는 단계들 중 적어도 일부분을 실행하기 위해 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 상술한 설명은 본 발명에 관한 제한으로서 해석되는 것이 아니라 단지 예시일 뿐이다. 또한, 하나 이상의 컴퓨팅 시스템들(330)은 본원에 설명되는 방법 실시예들 중 임의의 실시예의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
추가로, 컴퓨터 시스템(330)은 기술분야에 알려지는 임의의 방식으로 분광기(304)에 통신적으로 커플링될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템들(330)은 분광기(304)와 관련되는 컴퓨팅 시스템들에 커플링될 수 있다. 다른 예에서, 분광기(304)는 컴퓨터 시스템(330)에 커플링되는 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템들(예를 들어, 분광기(304) 등)로부터 데이터 또는 정보를 수신하며 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(330)과 시스템(300)의 다른 서브시스템들 사이의 데이터 링크로서 기능할 수 있다.
통합 계측 시스템(300)의 컴퓨터 시스템(330)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예를 들어, 측정 결과들, 모델링 입력들, 모델링 결과들 등)를 수신하고 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(330)과 다른 시스템들(예를 들어, 메모리 온-보드(on-board) 계측 시스템(300), 외부 메모리, 기준 측정원(320) 또는 다른 외부 시스템들) 사이의 데이터 링크로서 기능할 수 있다. 예를 들어, 컴퓨팅 시스템(330)은 데이터 링크를 통해 저장 매체(즉, 메모리(332) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 분광기(304)를 사용하여 획득되는 스펙트럼 결과들은 영구적 또는 반-영구적 메모리 디바이스(예를 들어, 메모리(332) 또는 외부 메모리)에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과들은 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 들여와질 수 있다. 더욱이, 컴퓨터 시스템(330)은 전송 매체를 통해 다른 시스템들에 데이터를 송신할 수 있다. 예를 들어, 컴퓨터 시스템(330)에 의해 결정되는 통합 측정 모델 또는 표본 파라미터(340)가 외부 메모리에 전달될 수 있으며 저장될 수 있다. 이와 관련하여, 측정 결과들이 다른 시스템에 내보내질 수 있다.
컴퓨팅 시스템(330)은 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서 또는 기술분야에 알려지는 임의의 다른 디바이스를 포함할 수 있지만 그에 제한되는 것은 아니다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터 명령들을 실행하는 하나 이상의 프로세서들을 가지는 임의의 디바이스를 망라하도록 널리 정의될 수 있다.
본원에 설명된 것들과 같은 방법들을 구현하는 프로그램 명령들(334)은 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 19에 예시된 바와 같이, 메모리(332)에 저장되는 프로그램 명령들(334)은 버스(333)를 통해 프로세서(331)에 전송된다. 프로그램 명령들(334)은 컴퓨터 판독가능한 매체(예를 들어, 메모리(332))에 저장된다. 예시적인 컴퓨터-판독가능한 매체는 판독-전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 19는 본 발명의 계측 시스템(300)에 의한 구현을 위해 적합한 방법(400)을 예시한다. 일 양상에서, 방법(400)의 데이터 프로세싱 블록들이 컴퓨팅 시스템(330)의 하나 이상의 프로세서들에 의해 실행되는 사전-프로그램된 알고리즘을 통해 실행될 수 있음이 인식된다. 다음의 설명이 계측 시스템(300)의 문맥에서 제시되는 동안, 본원에서 계측 시스템(300)의 특정 구조적 양상들은 제한들을 나타내지 않으며 예시로서만 해석되어야 함이 인식된다.
블록(401)에서, 측정 데이터량은 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 측정 데이터는 계측 툴(예를 들어, 시스템(300))에 의한 타겟 구조의 측정들과 관련된다.
블록(402)에서, 타겟 구조를 특성화하는 파라미터 값들의 세트는 측정 데이터량을 타겟 구조의 통합 측정 모델에 피팅하는 것에 기초하여 결정된다. 통합 측정 모델은 프로세스 기반 타겟 모델 및 계측 기반 타겟 모델에 기초한다.
블록(203)에서, 파라미터 값들의 세트는 메모리에 저장된다. 파라미터 값들의 제 2 세트는 온-보드 측정 시스템(300), 예를 들어, 메모리(332)에 저장될 수 있거나, 외부 메모리 디바이스에 (예를 들어, 출력 신호(340)를 통해) 전달될 수 있다.
도 20은 본 발명의 계측 시스템(300)에 의한 구현을 위해 적합한 방법(500)을 예시한다. 일 양상에서, 방법(500)의 데이터 프로세싱 블록들은 컴퓨팅 시스템(330)의 하나 이상의 프로세서들에 의해 실행되는 사전-프로그램된 알고리즘을 통해 실행될 수 있음이 인식된다. 다음의 설명이 계측 시스템(500)의 문맥에서 제시되는 동안, 계측 시스템(500)의 특정 구조적 양상들이 제한들을 나타내지 않으며 예시로서만 해석되어야 함이 인식된다.
블록(501)에서, 측정 데이터량은 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(330))에 의해 수신된다. 측정 데이터는 계측 툴(예를 들어, 시스템(300))에 의한 타겟 구조의 측정들과 관련된다.
블록(502)에서, 타겟 구조를 발생시키기 위해 사용되는 프로세스를 특성화하는 하나 이상의 프로세스 파라미터 값들은 타겟 구조의 측정 데이터량 및 통합 측정 모델에 기초하여 결정된다.
블록(503)에서, 하나 이상의 프로세스 파라미터 값들이 메모리에 저장된다. 파라미터 값들은 온-보드 측정 시스템(300), 예를 들어, 메모리(332)에 저장될 수 있거나, 외부 메모리 디바이스에 (예를 들어, 출력 신호(340)를 통해) 전달될 수 있다.
일반적으로, 본원에 설명되는 시스템들 및 방법들은 오프-라인(off-line) 또는 온-툴(on-tool) 측정을 위한 통합 측정 모델을 준비하는 프로세스의 일부로서 구현될 수 있다. 추가로, 양쪽 측정 모델들 및 임의의 재파라미터화 측정 모델은 하나 이상의 타겟 구조들 및 측정 사이트들(sites)을 설명할 수 있다.
본원에 설명된 바와 같이, 용어 "임계 치수"는 구조의 임의의 임계 치수(예를 들어, 바닥 임계 치수, 중간 임계 치수, 최상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조들(예를 들어, 2개의 구조들 사이의 거리) 사이의 임계 치수, 및 2개 이상의 구조들 사이의 변위(예를 들어, 오버레잉 격자 구조들 사이의 오버레이 변위)를 포함한다. 구조들은 3차원 구조들, 패터닝 구조들, 오버레이 구조들 등을 포함할 수 있다.
본원에 설명된 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에 설명된 바와 같이, 용어 "계측 시스템"은 임계 치수 계측, 오버레이 계측, 초점/노출량(dosage) 계측 및 조성 계측과 같은 측정 애플리케이션들을 포함하여, 임의의 양상에서 표본을 특성화하기 위해 적어도 부분적으로 사용되는 임의의 시스템을 포함한다. 그러나, 기술분야의 그와 같은 용어들은 용어 "계측 시스템"의 범위를 본원에 설명된 바와 같이 제한하지 않는다. 추가로, 계측 시스템(100)은 패터닝 웨이퍼들 및/또는 비패터닝 웨이퍼들의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 조사 툴, 에지 조사 툴, 후방측 조사 툴, 매크로-조사(macro-inspection) 툴 또는 (하나 이상의 플랫폼들로부터 동시에 데이터를 관련시키는) 멀티-모드 조사 툴, 및 임계 치수 데이터에 기초하여 시스템 파라미터들의 교정으로부터 유익한 임의의 다른 계측 또는 조사 툴로서 구성될 수 있다.
표본을 프로세싱하기 위해 사용될 수 있는 반도체 프로세싱 시스템(예를 들어, 조사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시예들이 본원에 설명된다. 용어 "표본"은 기술분야에 알려진 수단에 의해 프로세싱될 수 있는(예를 들어, 결함들에 대해 프린트되거나 조사되는) 웨이퍼, 레티클 또는 임의의 다른 샘플을 지칭하도록 본원에 사용된다.
본원에 사용된 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 재료로 형성되는 기판들을 지칭한다. 예들은 단결정 실리콘, 갈륨 비소 및 인화 인듐을 포함하지만 이들에 제한되지 않는다. 그와 같은 기판들은 반도체 제조 설비들에서 공통으로 발견되고 및/또는 프로세싱될 수 있다. 일부 경우들에서, 웨이퍼는 기판(즉, 베어 웨이퍼)만을 포함할 수 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 서로 다른 재료들의 하나 이상의 층들을 포함할 수 있다. 웨이퍼 상에 형성되는 하나 이상의 층들은 "패터닝" 또는 "비패터닝"될 수 있다. 예를 들어, 웨이퍼는 반복가능한 패턴 피처들(features)을 가지는 복수의 다이들을 포함할 수 있다.
"레티클"은 반도체 제조 설비에서의 사용을 위해 릴리즈되거나(released) 릴리즈되지 않을 수 있는 레티클 제조 프로세스 또는 완성 레티클의 임의의 스테이지에서의 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로 그 위에 형성되며 패턴으로 구성되는 실질적으로 불투명 구역들을 가지는 실질적으로 투명 기판으로서 정의된다. 기판은 예를 들어, 어모퍼스(amorphous) SiO2와 같은 유리 재료를 포함할 수 있다. 레티클은 레티클 상의 패턴이 레지스트에 전송될 수 있도록 리소그래피 프로세스의 노출 단계 동안 레지스트-커버된 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층들이 패터닝될 수 있거나 비패터닝될 수 있다. 예를 들어, 웨이퍼는 복수의 다이들을 포함할 수 있으며, 각각은 반복가능한 패턴 피처들을 가진다. 그와 같은 재료의 층들의 형성 및 프로세싱은 궁극적으로 완성 디바이스들을 발생시킬 수 있다. 많은 서로 다른 타입들의 디바이스들은 웨이퍼 상에 형성될 수 있으며, 본원에 사용되는 바와 같은 용어 웨이퍼는 기술분야에 알려지는 임의의 타입의 디바이스가 제조되는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시예들에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어 또는 그 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현된다면, 기능들은 컴퓨터-판독가능한 매체 상에 하나 이상의 명령들 또는 코드로서 저장될 수 있거나 전송될 수 있다. 컴퓨터-판독가능한 매체는 일 장소로부터 다른 장소로의 컴퓨터 프로그램의 전달을 용이하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 일반 목적 또는 특별 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용가능한 매체일 수 있다. 제한이 아닌 예시로서, 그와 같은 컴퓨터-판독가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스들, 또는 명령들 또는 데이터 구조들의 형태에서의 원하는 프로그램 코드 수단을 운반하거나 저장하기 위해 사용될 수 있으며 일반-목적 또는 특별-목적 컴퓨터, 또는 일반-목적 또는 특별-목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속은 적절하게 컴퓨터-판독가능한 매체로 지칭된다. 예를 들어, 소프트웨어가 웹사이트, 서버 또는 동축 케이블, 광섬유 케이블, 트위스트 페어(twisted pair), 디지털 가입자 회선(digital subscriber line: DSL), 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술들을 사용하여 다른 원격 소스로부터 전송된다면, 그 후에 동축 케이블, 광섬유 케이블, 트위스트 페어, DSL 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술들이 매체의 정의 내에 포함된다. 본원에 사용된 바와 같은 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(compact disc: CD), 레이저 디스크, 광학 디스크, 디지털 만능 디스크(digital versatile disc: DVD), 플로피 디스크 및 블루-레이 디스크를 포함하며, 여기서 디스크들(disks)은 대개 자기적으로 데이터를 재생하는 한편, 디스크들은 레이저들로 광학적으로 데이터를 재생한다. 상기의 조합들은 또한 컴퓨터-판독가능한 매체의 범위 내에 포함되어야 한다.
어떤 특정 실시예들이 명령 목적들을 위해 상기에 설명되더라도, 본 특허 문서의 교시들은 일반적인 적용가능성을 가지며 상술한 특정 실시예들에 제한되지 않는다. 따라서, 설명된 실시예들의 다양한 피처들의 다양한 수정들, 적응들 및 조합들이 청구범위에 설명된 바와 같이 본 발명의 범위로부터 이탈하지 않고서 실시될 수 있다.

Claims (31)

  1. 계측 툴(metrology tool)에 의한 타겟 구조의 측정들과 관련되는 측정 데이터량을 수신하는 단계;
    상기 측정 데이터량을 상기 타겟 구조의 통합 측정 모델(integrated measurement model) ― 상기 통합 측정 모델은 프로세스 기반 타겟 모델 및 계측 기반 타겟 모델에 기초함 ― 에 피팅하는(fitting) 것에 기초하여 상기 타겟 구조를 특성화(characterizing)하는 파라미터 값들의 세트를 결정하는 단계; 및
    상기 파라미터 값들의 세트를 메모리에 저장하는 단계를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 프로세스-기반 타겟 모델은 적어도 하나의 프로세스 변수(variable)의 관점에서 상기 타겟 구조를 특성화하는 것인, 방법.
  3. 제 2 항에 있어서,
    상기 프로세스-기반 타겟 모델의 하나 이상의 파라미터들과 상기 계측-기반 타겟 모델의 하나 이상의 파라미터들 사이의 링키지(linkage)에 기초하여 상기 통합 측정 모델을 발생시키는 단계를 더 포함하는, 방법.
  4. 제 3 항에 있어서,
    상기 링키지는 프로세스 모델 파라미터 값들의 범위에 기초하여 결정되는 계측 모델 파라미터의 값들의 범위 상의 제약(constraint)인 것인, 방법.
  5. 제 3 항에 있어서,
    상기 링키지는 상기 프로세스-기반 타겟 모델로부터 결정되는 상기 하나 이상의 계측 파라미터들 상의 제약인 것인, 방법.
  6. 제 3 항에 있어서,
    상기 링키지는 상기 프로세스-기반 타겟 모델로부터 결정되는 2개 이상의 계측 모델 파라미터들 사이의 함수적 관계(functional relationship)인 것인, 방법.
  7. 제 1 항에 있어서,
    상기 측정 데이터량을 상기 타겟 구조의 통합 측정 모델에 피팅하는 것에 기초하여 하나 이상의 프로세스 파라미터 값들을 결정하는 단계; 및
    상기 하나 이상의 프로세스 파라미터 값들을 메모리에 저장하는 단계를 더 포함하는, 방법.
  8. 제 7 항에 있어서,
    상기 하나 이상의 프로세스 파라미터들은 상기 타겟 구조의 상기 통합 측정 모델의 파라미터들인 것인, 방법.
  9. 제 7 항에 있어서,
    상기 하나 이상의 프로세스 파라미터들은 단지 상기 타겟 구조의 상기 통합 측정 모델의 파라미터들인 것인, 방법.
  10. 제 7 항에 있어서,
    상기 하나 이상의 프로세스 파라미터 값들의 결정 단계는, 상기 측정 데이터량을 상기 통합 측정 모델에 피팅하는 것에 기초하여 하나 이상의 계측 파라미터 값들을 결정하는 단계, 및 상기 하나 이상의 프로세스 파라미터들과 상기 하나 이상의 계측 파라미터들 사이의 함수적 관계에 기초하여 상기 하나 이상의 프로세스 파라미터 값들을 결정하는 단계를 포함하는 것인, 방법.
  11. 제 7 항에 있어서,
    상기 하나 이상의 프로세스 파라미터 값들의 결정 단계는, 상기 측정 데이터를 주된 성분들(principal components)로 변환하는 단계, 및 상기 주된 성분들로부터 직접 상기 하나 이상의 프로세스 파라미터 값들을 결정하는 단계를 포함하는 것인, 방법.
  12. 제 7 항에 있어서,
    상기 하나 이상의 프로세스 파라미터 값들은 초점 깊이 값, 노출 값, 에칭 시간 및 증착 시간 중 어느 것을 포함하는 것인, 방법.
  13. 계측 툴에 의한 타겟 구조의 측정들과 관련되는 측정 데이터량을 수신하는 단계;
    상기 측정 데이터량 및 상기 타겟 구조의 통합 측정 모델에 기초하여 상기 타겟 구조를 발생시키기 위해 채용되는 프로세스를 특성화하는 하나 이상의 프로세스 파라미터 값들을 결정하는 단계; 및
    상기 하나 이상의 프로세스 파라미터 값들을 메모리에 저장하는 단계를 포함하는, 방법.
  14. 제 13 항에 있어서,
    상기 하나 이상의 프로세스 파라미터들을 결정하는 단계는,
    상기 측정 데이터량을 상기 계측-기반 타겟 모델에 피팅하는 것에 기초하여 적어도 하나의 기하학 파라미터를 결정하는 단계, 및
    상기 프로세스-기반 타겟 모델 및 상기 적어도 하나의 기하학(geometric) 파라미터에 기초하여 상기 하나 이상의 프로세스 파라미터 값들을 결정하는 단계를 포함하는 것인, 방법.
  15. 제 14 항에 있어서,
    상기 프로세스-기반 타겟 모델은 뉴럴 네트워크 모델(neural network model)인 것인, 방법.
  16. 제 15 항에 있어서,
    프로세스 모델 데이터에 적어도 부분적으로 기초하여 상기 뉴럴 네트워크 모델을 트레이닝(training)하는 단계를 더 포함하는, 방법.
  17. 제 13 항에 있어서,
    상기 통합 측정 모델은 뉴럴 네트워크 모델이며,
    프로세스 모델 데이터, 및 상기 프로세스 모델 데이터에 대응하는 측정 신호들에 적어도 부분적으로 기초하여 상기 뉴럴 네트워크 모델을 트레이닝하는 단계를 더 포함하는, 방법.
  18. 제 13 항에 있어서,
    프로세스-기반 타겟 모델로부터의 시뮬레이션 결과들에 기초하여 상기 통합 측정 모델을 발생시키는 단계를 더 포함하는, 방법.
  19. 제 13 항에 있어서,
    상기 하나 이상의 프로세스 파라미터 값들은 초점 깊이 값, 노출 값, 에칭 시간 및 증착 시간 중 어느 것을 포함하는 것인, 방법.
  20. 제 13 항에 있어서,
    프로세스를 특성화하는 상기 하나 이상의 프로세스 파라미터 값들의 결정 단계는, 상기 측정 데이터를 주된 성분들로 변환하는 단계, 및 상기 주된 성분들로부터 직접 상기 하나 이상의 프로세스 파라미터 값들을 결정하는 단계를 포함하는 것인, 방법.
  21. 타겟 구조의 측정들을 수행하도록 구성되는 검출기 및 조명원을 포함하는 광학 계측 툴; 및
    컴퓨팅 시스템을 포함하며,
    상기 컴퓨팅 시스템은,
    상기 계측 툴에 의한 타겟 구조의 측정들과 관련되는 측정 데이터량을 수신하도록,
    상기 측정 데이터량을 상기 타겟 구조의 통합 측정 모델 ― 상기 통합 측정 모델은 프로세스 기반 타겟 모델 및 계측 기반 타겟 모델에 기초함 ― 에 피팅하는 것에 기초하여 상기 타겟 구조를 특성화하는 파라미터 값들의 세트를 결정하도록,
    상기 파라미터 값들의 세트를 메모리에 저장하도록 구성되는 것인, 시스템.
  22. 제 21 항에 있어서,
    상기 프로세스-기반 타겟 모델은 적어도 하나의 프로세스 변수의 관점에서 상기 타겟 구조를 특성화하는 것인, 시스템.
  23. 제 22 항에 있어서,
    상기 통합 측정 모델은 상기 프로세스-기반 타겟 모델의 하나 이상의 파라미터들과 상기 계측-기반 타겟 모델의 하나 이상의 파라미터들 사이의 링키지에 기초하는 것인, 시스템.
  24. 제 21 항에 있어서,
    상기 통합 측정 모델은 프로세스-기반 타겟 모델로부터의 시뮬레이션 결과들에 기초하는 것인, 시스템.
  25. 제 21 항에 있어서,
    상기 측정 데이터량을 상기 타겟 구조의 상기 통합 측정 모델에 피팅하는 것에 기초하여 하나 이상의 프로세스 파라미터 값들을 결정하는 것; 및
    상기 하나 이상의 프로세스 파라미터 값들을 메모리에 저장하는 것을 더 포함하는, 시스템.
  26. 제 25 항에 있어서,
    상기 하나 이상의 파라미터 값들을 결정하는 것은, 상기 측정 데이터를 주된 성분들로 변환하는 것, 및 상기 주된 성분들로부터 직접 상기 하나 이상의 프로세스 파라미터 값들을 결정하는 것을 포함하는 것인, 시스템.
  27. 제 25 항에 있어서,
    상기 하나 이상의 프로세스 파라미터 값들은 초점 깊이 값, 노출 값, 에칭 시간 및 증착 시간 중 어느 것을 포함하는 것인, 시스템.
  28. 타겟 구조의 측정들을 수행하도록 구성되는 검출기 및 조명원을 포함하는 계측 툴; 및
    컴퓨팅 시스템을 포함하며,
    상기 컴퓨팅 시스템은,
    상기 계측 툴에 의한 타겟 구조의 측정들과 관련되는 측정 데이터량을 수신하도록,
    상기 측정 데이터량 및 상기 타겟 구조의 통합 측정 모델에 기초하여 상기 타겟 구조를 발생시키기 위해 채용되는 프로세스를 특성화하는 하나 이상의 프로세스 파라미터 값들을 결정하도록, 및
    상기 하나 이상의 프로세스 파라미터 값들을 메모리에 저장하도록 구성되는 것인, 시스템.
  29. 제 28 항에 있어서,
    상기 하나 이상의 프로세스 파라미터들을 결정하는 것은,
    상기 측정 데이터량을 상기 계측-기반 타겟 모델에 피팅하는 것에 기초하여 적어도 하나의 기하학 파라미터를 결정하는 것, 및
    상기 프로세스-기반 타겟 모델 및 상기 적어도 하나의 기하학 파라미터에 기초하여 상기 하나 이상의 프로세스 파라미터 값들을 결정하는 것을 포함하는 것인, 시스템.
  30. 제 28 항에 있어서,
    상기 하나 이상의 프로세스 파라미터 값들을 결정하는 것은, 상기 측정 데이터를 주된 성분들로 변환하는 것, 및 상기 주된 성분들로부터 직접 상기 하나 이상의 프로세스 파라미터 값들을 결정하는 것을 포함하는 것인, 시스템.
  31. 제 28 항에 있어서,
    상기 하나 이상의 프로세스 파라미터 값들은 상기 하나 이상의 프로세스 파라미터 값들은 초점 깊이 값, 노출 값, 에칭 시간 및 증착 시간 중 어느 것을 포함하는 것인, 시스템.
KR1020157019477A 2012-12-18 2013-12-17 모델-기반 계측 및 프로세스 모델의 통합 사용 KR102245695B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261738760P 2012-12-18 2012-12-18
US61/738,760 2012-12-18
US14/107,850 US10769320B2 (en) 2012-12-18 2013-12-16 Integrated use of model-based metrology and a process model
US14/107,850 2013-12-16
PCT/US2013/075861 WO2014100037A1 (en) 2012-12-18 2013-12-17 Integrated use of model-based metrology and a process model

Publications (2)

Publication Number Publication Date
KR20150096776A true KR20150096776A (ko) 2015-08-25
KR102245695B1 KR102245695B1 (ko) 2021-04-27

Family

ID=50931930

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157019477A KR102245695B1 (ko) 2012-12-18 2013-12-17 모델-기반 계측 및 프로세스 모델의 통합 사용

Country Status (4)

Country Link
US (1) US10769320B2 (ko)
KR (1) KR102245695B1 (ko)
CN (1) CN104995562B (ko)
WO (1) WO2014100037A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170067885A (ko) * 2014-10-16 2017-06-16 케이엘에이-텐코 코포레이션 다중 패턴화 프로세스의 계측
KR20200135218A (ko) * 2019-05-23 2020-12-02 어플라이드 머티어리얼스, 인코포레이티드 전자 빔 주사 전자 현미경검사를 사용하는 비-파괴적 방식으로의 진보된 반도체 디바이스들에서의 피쳐들의 고해상도 3차원 프로파일링

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
KR102124111B1 (ko) * 2013-10-02 2020-06-18 에이에스엠엘 네델란즈 비.브이. 산업 공정과 관련된 진단 정보를 얻는 방법 및 장치
US9518916B1 (en) 2013-10-18 2016-12-13 Kla-Tencor Corporation Compressive sensing for metrology
US10393647B1 (en) 2013-12-19 2019-08-27 Kla-Tencor Corporation System, method, and computer program product for automatically determining a parameter causing an abnormal semiconductor metrology measurement
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9553033B2 (en) 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10976249B1 (en) 2014-05-12 2021-04-13 Kla-Tencor Corporation Reflective pupil relay system
US10648793B2 (en) 2014-05-15 2020-05-12 Kla-Tencor Corporation Library expansion system, method, and computer program product for metrology
US9506871B1 (en) 2014-05-25 2016-11-29 Kla-Tencor Corporation Pulsed laser induced plasma light source
US10012606B1 (en) 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
KR102548650B1 (ko) 2014-10-03 2023-06-27 케이엘에이 코포레이션 검증 계측 타겟 및 그 설계
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US9710728B2 (en) 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10345095B1 (en) 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US10185303B2 (en) 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US9970863B2 (en) 2015-02-22 2018-05-15 Kla-Tencor Corporation Optical metrology with reduced focus error sensitivity
US10365225B1 (en) 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10502549B2 (en) 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
DE112016001982T5 (de) 2015-04-28 2018-02-15 Kla-Tencor Corporation Recheneffiziente auf röntgenstrahlgestützte messung des overlays
US10190868B2 (en) 2015-04-30 2019-01-29 Kla-Tencor Corporation Metrology system, method, and computer program product employing automatic transitioning between utilizing a library and utilizing regression for measurement processing
US10030965B2 (en) 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US9915524B2 (en) 2015-05-11 2018-03-13 Kla-Tencor Corporation Optical metrology with small illumination spot size
US10345721B1 (en) 2015-06-16 2019-07-09 Kla-Tencor Corporation Measurement library optimization in semiconductor metrology
US10061210B2 (en) * 2015-07-31 2018-08-28 Nanometrics Incorporated 3D target for monitoring multiple patterning process
US10678226B1 (en) 2015-08-10 2020-06-09 Kla-Tencor Corporation Adaptive numerical aperture control method and system
US10295342B2 (en) 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US9921152B2 (en) 2016-01-15 2018-03-20 Kla-Tencor Corporation Systems and methods for extended infrared spectroscopic ellipsometry
US9574992B1 (en) 2016-01-22 2017-02-21 Kla-Tencor Corporation Single wavelength ellipsometry with improved spot size capability
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
JP6821700B2 (ja) 2016-04-22 2021-01-27 ケーエルエー コーポレイション 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10018919B2 (en) 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
US10095122B1 (en) 2016-06-30 2018-10-09 Kla-Tencor Corporation Systems and methods for fabricating metrology targets with sub-resolution features
US10527830B2 (en) 2016-08-12 2020-01-07 Kla-Tencor Corporation Off-axis reflective afocal optical relay
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10082470B2 (en) 2016-09-27 2018-09-25 Kla-Tencor Corporation Defect marking for semiconductor wafer inspection
US10215693B2 (en) 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
US10887580B2 (en) 2016-10-07 2021-01-05 Kla-Tencor Corporation Three-dimensional imaging for semiconductor wafer inspection
US10490462B2 (en) 2016-10-13 2019-11-26 Kla Tencor Corporation Metrology systems and methods for process control
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
WO2018075808A1 (en) 2016-10-20 2018-04-26 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11047806B2 (en) 2016-11-30 2021-06-29 Kla-Tencor Corporation Defect discovery and recipe optimization for inspection of three-dimensional semiconductor structures
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10692227B2 (en) 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
US10209627B2 (en) 2017-01-06 2019-02-19 Kla-Tencor Corporation Systems and methods for focus-sensitive metrology targets
EP3352013A1 (en) * 2017-01-23 2018-07-25 ASML Netherlands B.V. Generating predicted data for control or monitoring of a production process
US10551320B2 (en) 2017-01-30 2020-02-04 Kla-Tencor Corporation Activation of wafer particle defects for spectroscopic composition analysis
US11537837B2 (en) 2017-02-13 2022-12-27 Kla-Tencor Corporation Automated accuracy-oriented model optimization system for critical dimension metrology
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
US10444161B2 (en) 2017-04-05 2019-10-15 Kla-Tencor Corporation Systems and methods for metrology with layer-specific illumination spectra
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10817999B2 (en) 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
CN109791564B (zh) * 2017-07-21 2023-06-16 深圳市汇顶科技股份有限公司 信号计算法中的参数的设定方法及装置
US10429296B2 (en) 2017-07-25 2019-10-01 Kla-Tencor Corporation Multilayer film metrology using an effective media approximation
US11378451B2 (en) 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US10663392B2 (en) 2017-08-09 2020-05-26 Kla Corporation Variable aperture mask
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10365211B2 (en) 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10551166B2 (en) 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
US10739571B2 (en) 2017-10-11 2020-08-11 Kla-Tencor Corporation Lens design for spectroscopic ellipsometer or reflectometer
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11380594B2 (en) 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10580673B2 (en) 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11036898B2 (en) 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
DE102018207882A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
US10677586B2 (en) 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
WO2020122996A1 (en) * 2018-12-12 2020-06-18 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US10733354B2 (en) 2018-12-19 2020-08-04 Globalfoundries Inc. System and method employing three-dimensional (3D) emulation of in-kerf optical macros
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11231362B1 (en) 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US10801953B2 (en) 2019-01-11 2020-10-13 Kla-Tencor Corporation Semiconductor metrology based on hyperspectral imaging
US11379967B2 (en) 2019-01-18 2022-07-05 Kla Corporation Methods and systems for inspection of semiconductor structures with automatically generated defect features
US11422095B2 (en) 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US10804167B2 (en) * 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
JP7108562B2 (ja) * 2019-02-22 2022-07-28 株式会社日立製作所 処理の制御パラメータの決定方法、及び計測システム
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
US11043239B2 (en) 2019-03-20 2021-06-22 Kla Corporation Magneto-optic Kerr effect metrology systems
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11415898B2 (en) 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11309202B2 (en) 2020-01-30 2022-04-19 Kla Corporation Overlay metrology on bonded wafers
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
CN114065687A (zh) * 2020-08-07 2022-02-18 奥特斯奥地利科技与系统技术有限公司 基于人工智能确定用于制造部件承载件的行动规划
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11899375B2 (en) 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
US11713959B2 (en) 2021-03-17 2023-08-01 Kla Corporation Overlay metrology using spectroscopic phase
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
US11906770B2 (en) 2021-10-21 2024-02-20 KLA Corporal Monolithic optical retarder
US20230384237A1 (en) * 2022-05-31 2023-11-30 Kla Corporation Universal metrology model
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050021549A (ko) * 2002-07-25 2005-03-07 팀버 테크놀로지스, 인코포레이티드 광 계측을 위한 모델 및 파라미터 선택
US20100175033A1 (en) * 2009-01-08 2010-07-08 Kla-Tencor Corporation Scatterometry metrology target design optimization
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7009704B1 (en) 2000-10-26 2006-03-07 Kla-Tencor Technologies Corporation Overlay error detection
US6900892B2 (en) 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7092110B2 (en) 2002-07-25 2006-08-15 Timbre Technologies, Inc. Optimized model and parameter selection for optical metrology
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7334202B1 (en) 2005-06-03 2008-02-19 Advanced Micro Devices, Inc. Optimizing critical dimension uniformity utilizing a resist bake plate simulator
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7444196B2 (en) 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US7642100B2 (en) 2006-09-13 2010-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for yield and productivity improvements in semiconductor processing
US7916927B2 (en) 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7567353B2 (en) 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
JP5200276B2 (ja) 2007-03-30 2013-06-05 東京エレクトロン株式会社 インラインリソグラフィ及びエッチングシステム
JP5634864B2 (ja) 2007-05-30 2014-12-03 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation リソグラフィック・プロセスに於ける、プロセス制御方法およびプロセス制御装置
US8069020B2 (en) * 2007-09-19 2011-11-29 Tokyo Electron Limited Generating simulated diffraction signal using a dispersion function relating process parameter to dispersion
US7742889B2 (en) 2008-03-27 2010-06-22 Tokyo Electron Limited Designing an optical metrology system optimized with signal criteria
US7589845B1 (en) 2008-03-27 2009-09-15 Tokyo Electron Limited Process control using an optical metrology system optimized with signal criteria
EP2141490B1 (en) * 2008-07-02 2015-04-01 Stichting IMEC Nederland Chemical sensing microbeam device
US8181128B2 (en) 2008-10-13 2012-05-15 Synopsys, Inc. Method and apparatus for determining a photolithography process model which models the influence of topography variations
US20110246400A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited System for optical metrology optimization using ray tracing
US20110246141A1 (en) 2010-03-31 2011-10-06 Tokyo Electron Limited Method of optical metrology optimization using ray tracing
KR101094411B1 (ko) 2010-05-11 2011-12-15 주식회사 지.엠 4 단자 방식의 인쇄회로기판 검사방법
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050021549A (ko) * 2002-07-25 2005-03-07 팀버 테크놀로지스, 인코포레이티드 광 계측을 위한 모델 및 파라미터 선택
US20100175033A1 (en) * 2009-01-08 2010-07-08 Kla-Tencor Corporation Scatterometry metrology target design optimization
US20120226644A1 (en) * 2011-03-04 2012-09-06 Wen Jin Accurate and Fast Neural network Training for Library-Based Critical Dimension (CD) Metrology

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170067885A (ko) * 2014-10-16 2017-06-16 케이엘에이-텐코 코포레이션 다중 패턴화 프로세스의 계측
KR20200135218A (ko) * 2019-05-23 2020-12-02 어플라이드 머티어리얼스, 인코포레이티드 전자 빔 주사 전자 현미경검사를 사용하는 비-파괴적 방식으로의 진보된 반도체 디바이스들에서의 피쳐들의 고해상도 3차원 프로파일링

Also Published As

Publication number Publication date
US20140172394A1 (en) 2014-06-19
KR102245695B1 (ko) 2021-04-27
WO2014100037A1 (en) 2014-06-26
CN104995562A (zh) 2015-10-21
US10769320B2 (en) 2020-09-08
CN104995562B (zh) 2017-11-21

Similar Documents

Publication Publication Date Title
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
US9721055B2 (en) Measurement model optimization based on parameter variations across a wafer
KR102468971B1 (ko) 모델 기반 단일 파라미터 측정
TWI668774B (zh) 度量系統及方法
US10210606B2 (en) Signal response metrology for image based and scatterometry overlay measurements
CN107741207B (zh) 多重图案化参数的测量
TWI686684B (zh) 量測系統及方法
US10354929B2 (en) Measurement recipe optimization based on spectral sensitivity and process variation
TWI631476B (zh) 用於裝置上之量測之方法及系統
TWI631636B (zh) 以模型爲基礎之量測及一製程模型的整合使用

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant