CN107741207B - 多重图案化参数的测量 - Google Patents

多重图案化参数的测量 Download PDF

Info

Publication number
CN107741207B
CN107741207B CN201710997426.2A CN201710997426A CN107741207B CN 107741207 B CN107741207 B CN 107741207B CN 201710997426 A CN201710997426 A CN 201710997426A CN 107741207 B CN107741207 B CN 107741207B
Authority
CN
China
Prior art keywords
metrology
measurement
pitch
structures
metrology target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710997426.2A
Other languages
English (en)
Other versions
CN107741207A (zh
Inventor
A·V·舒杰葛洛夫
S·克里许南
K·皮特林茨
T·G·奇乌拉
N·沙皮恩
S·I·潘戴夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN107741207A publication Critical patent/CN107741207A/zh
Application granted granted Critical
Publication of CN107741207B publication Critical patent/CN107741207B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Abstract

本发明实施例涉及多重图案化参数的测量。本发明呈现用于评估多重图案化工艺的性能的方法和系统。测量经图案化结构且确定特征化由所述多重图案化工艺引起的几何误差的一或多个参数值。在一些实例中,测量单个图案化目标和多重图案化目标,所收集数据拟合到经组合测量模型,且基于所述拟合确定指示由所述多重图案化工艺引起的几何误差的结构参数的值。在一些其它实例中,收集并分析具有不同于零的衍射级的光以确定指示由多重图案化工艺引起的几何误差的结构参数的值。在一些实施例中,收集不同于零的单个衍射级。在一些实例中,设计度量目标以增强以不同于零的级衍射的光。

Description

多重图案化参数的测量
本申请是申请日为2014年12月23日,申请号为“201480070716.7”,而发明名称为“多重图案化参数的测量”的申请的分案申请。
相关申请案的交叉参考
本专利申请案根据35U.S.C.§119主张2013年12月23日申请的标题为“用于测量多重图案化的参数的方法和设备(Method and Apparatus for Measuring Parameters ofMultiple Patterning)”的第61/920,462号美国临时专利申请案的优先权,所述申请案的全部内容以引用的方式并入本文。
技术领域
所述实施例涉及度量系统和方法,且更具体地涉及用于特征化由多重图案化工艺产生的结构尺寸的参数的改进测量的方法和系统。
背景技术
半导体装置(例如逻辑和存储器装置)通常通过应用到样品的一系列处理步骤来制造。通过这些处理步骤形成半导体装置的各种特征和多个结构层级。例如,光刻尤其是一种涉及在半导体晶片上产生图案的半导体制造工艺。半导体制造工艺的额外实例包含,但不限于,化学机械抛光、蚀刻、沉积和离子植入。多个半导体装置可制造在单个半导体晶片上且接着分离为个别半导体装置。
对于给定光刻系统,现在通常采用多重图案化技术来增加印刷到半导体晶片上的特征的分辨率。图1A到1D描绘通常称为光刻-蚀刻-光刻-蚀刻(LELE)工艺的双重图案化光刻(DPL)技术。图1A描绘硅基层10、界面层(例如二氧化硅)、装置层12、硬掩模层13、牺牲层14和由光刻图案化步骤造成的图案化抗蚀剂层15。图1A中描绘的结构接着经历导致图1B中说明的结构的曝光和蚀刻步骤。在这个结构中,抗蚀剂层15的图案已有效地转印到硬掩模层13。牺牲层14和经图案化抗蚀剂层15都已被移除。采用多个沉积和光刻步骤来达成图1C中说明的结构。图1C说明建立在硬掩模层13的顶部上的另一个牺牲层16和图案化抗蚀剂层17。图案化抗蚀剂层17包含具有与第一图案化抗蚀剂层15相同且也与蚀刻到硬掩模层13中的图案相同的间距的图案。然而,图案化抗蚀剂层17与硬掩模层13的图案偏移达图案化抗蚀剂层17的间距的一半。图1C中描绘的结构接着经历导致图1D中说明的结构的曝光和蚀刻步骤。在这个结构中,抗蚀剂层17的图案已有效地转印到硬掩模层13。牺牲层16和图案化抗蚀剂层17都已被移除。图1D说明蚀刻到硬掩模13中的图案,所述图案是由光刻系统的掩模产生的图案化抗蚀剂层15和17的间距的两倍。
图1D还描绘非优化DPL工艺的效应。理想地,双重图案化结构的标称间距应为恒定值P。然而,由于DPL工艺中的缺陷,所得结构的间距可由于光栅非均匀性而依据位置变化。这通常称为“间距走线(pitch walk)”。偏离标称间距P的变动被描绘为图1D中的ΔP。在另一个实例中,每一所得结构的临界尺寸应为相同标称值CD。然而,由于DPL工艺中的缺陷,所得结构的临界尺寸(例如,中间临界尺寸、底部临界尺寸等等)可依据位置而变化。偏离临界尺寸CD的变动被描绘为图1D中的ΔCD。
间距走线和ΔCD是由DPL工艺中的缺陷(例如两个光刻层之间的错位、光刻工艺的聚焦和曝光的非均匀性、掩模图案误差等等)引起的示范性几何误差。间距走线和ΔCD都引入大于预期的单位晶胞。虽然特别描述间距走线和ΔCD,但是也可预期其它多重图案化误差。
虽然参考图1A到1D描述LELE工艺,但是也可预期引起类似误差的许多其它多重图案化工艺(例如,光刻-光刻-蚀刻、间隔件界定的双重图案化等等)。类似地,虽然参考图1A到1D描述双重图案化工艺,但是例如四重图案化的较高阶图案化工艺中也出现类似误差。通常,例如间距走线和ΔCD的误差在由较高阶图案化工艺产生的结构中更为明显。
度量过程在半导体制造工艺期间的各个步骤中用于以检测晶片上的缺陷以促进较高良率。光学度量技术提供在无样本破坏风险的情况下进行高处理量测量的可能。包含散射计和反射计实施方案和相关联的分析算法的多种基于光学度量的技术通常用以特征化临界尺寸、膜厚度、组合物和纳米级结构的其它参数。
然而,由于光学CD和甚至CD-SEM测量对这些类型的误差缺乏明显的灵敏度的事实,由多重图案化工艺引起的误差的测量特别具有挑战性。
由于日益变小的分辨率的要求、多参数相关性、日益复杂的几何结构和不透明材料使用量的增加,涉及由多重图案化工艺产生的结构的测量的度量应用提出了挑战。因此,期望用于改进测量的方法和系统。
发明内容
呈现用于评估多重图案化工艺的性能的方法和系统。更具体地,测量由多重图案化工艺产生的几何结构且根据本文中描述的方法和系统确定特征化由所述多重图案化工艺引起的几何误差的一或多个参数值。
在一个方面中,基于测量数据到经组合测量模型的拟合确定指示由所述多重图案化工艺引起的几何误差的结构参数的值。从半导体晶片的表面上的多个测量位点收集测量数据。每一测量位点包含至少两个度量目标。第一度量目标由多重图案化工艺的单个图案化步骤产生。第二度量目标由多重图案化步骤产生,包含被采用来产生第一度量目标的图案化步骤。
测量模型是连结结构参数、材料参数或单个图案化度量目标和多重图案化度量目标的结构参数和材料参数的组合的经组合测量模型。以此方式,测量模型在经组合测量模型中捕捉多重图案化工艺的单个步骤和所述多重图案化工艺的至少一个后续步骤。
度量目标被定位成尽可能紧靠在一起以增强经组合测量模型的准确度。在一些实施例中,两个度量目标被定位成在每一测量位点处彼此相邻。通过将度量目标定位成紧靠在一起,用以连结两个度量目标的参数的简化假设不太可能引起明显的误差。
在进一步方面中,基于来自具有已知结构参数值的度量目标的测量数据用公式表示经组合测量模型。更具体地,与单个图案化度量目标相关联的结构参数值(例如,临界尺寸、沟槽深度、侧壁角度等等)和与多重图案化度量目标相关联的结构参数值是已知的。在一些实施例中,模拟度量目标且两个度量目标的结构参数值被计算作为所述模拟的结果。在一些其它实施例中,度量目标被实际制造且由参考测量系统测量,且由参考测量系统确定结构参数值。
在另一个方面中,收集并分析具有不同于零的衍射级的衍射光以确定指示由多重图案化工艺引起的几何误差的至少一个结构参数的值。在一些实施例中,收集并分析不同于零的单个衍射级以确定指示由多重图案化工艺引起的几何误差的至少一个结构参数的值。
在又一个方面中,度量目标被设计为具有相对较大的间距变动以基于以不同于零级的单级或多级衍射的光的测量增强测量灵敏度。
在又一个方面中,基于瑞利异常(Rayleigh anomaly)的存在检测多重图案化误差。当传播级逐渐消失时,出现瑞利异常。光谱奇异性通常表现为测量光谱中的突然转变。
在又一个方面中,本文中描述的测量模型结果用以将主动反馈提供到加工工具(例如,光刻工具、蚀刻工具、沉积工具等等)。例如,使用本文中描述的方法确定的结构参数值可被传达到光刻工具以调整光刻系统以实现所要输出。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等等)或沉积参数(例如,时间、浓度等等)可包含在测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
前述是概要且因此必须含有细节的简化、概述和省略;因此,所属领域的技术人员将明白,概要仅仅是说明性且不以任何方式限制。在本文中陈述的非限制详述中,本文中描述的装置和/或工艺的其它方面、发明特征和优点将变得显而易见。
附图说明
图1A到1D描绘通常称为光刻-蚀刻-光刻-蚀刻(LELE)工艺的双重图案化光刻(DPL)技术的所选择步骤。
图2是说明确定特征化由多重图案化工艺引起的几何误差的一或多个参数值的方法100的流程图。
图3是说明用公式表示并训练可用于确定特征化由多重图案化工艺引起的几何误差的一或多个参数值的经组合模型的方法110的流程图。
图4是说明用公式表示并训练可用于确定特征化由多重图案化工艺引起的几何误差的一或多个参数值的经组合模型的方法120的流程图。
图5描绘半导体晶片130,其具有位于所述晶片的表面上方的各个测量位点处的多个裸片,每一裸片具有不同的已知结构参数值。
图6描绘半导体晶片140,其具有位于所述晶片的表面上方的各个测量位点处的多个裸片,每一裸片具有标称结构参数值。
图7A到7B分别描绘单个图案化单位晶胞和多重图案化单位晶胞的详图。
图8A到8D说明曲线图150到153,曲线图150到153分别表明测量指示由多重图案化工艺引起的几何误差的多个结构参数的结果。
图9说明用于根据本文中呈现的示范性方法测量样品的特性的系统300。
图10描绘安置在半导体晶片的底层160上方的图案化层161。在所描绘实施例中,图案化层161是具有均匀间距的光栅结构。
图11描绘安置在半导体晶片的底层170上方的图案化层171。在所描绘实施例中,图案化层171是具有非均匀间距的光栅结构。
图12说明针对入射角的范围与来自具有80纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图180。
图13说明针对入射角的范围与来自具有90纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图190。
图14说明针对入射角的范围与来自具有100纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图200。
图15说明针对入射角的范围与来自具有120纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图210。
图16说明在照明波长的范围内与固定入射角(70度)的-1级衍射相关联的所得衍射角的曲线图220。
图17说明适用于由例如分别在本发明的图18、19和20中说明的度量系统500、600和700的度量系统实施的方法400。
图18说明用于根据本文中呈现的示范性方法测量样品的特性的度量系统500。
图19说明用于根据本文中呈现的示范性方法测量样品的特性的度量系统600。
图20说明用于根据本文中呈现的示范性方法测量样品的特性的度量系统700。
图21说明指示以一级衍射且针对不同标称间距值收集的光波长的曲线图230。
图22说明指示波长范围内在零间距走线和2纳米间距走线的情况下两个方位角之间的光谱能量差的曲线图240。
图23说明指示波长范围内零间距走线和1纳米间距走线的情况下光谱信号差的曲线图250。
图24说明由完全执行的两个图案化步骤产生的标称装置结构260。
图25说明对应于图24中描绘的标称装置结构260的度量目标270。度量目标270被设计为具有相对较大的间距变动以基于以不同于零级的若干级衍射的光的测量增强测量灵敏度。
具体实施方式
现在将详细参考本发明的背景实例和一些实施例,本发明的实例在附图中加以说明。
呈现用于评估多重图案化工艺的性能的方法和系统。更具体地,测量由多重图案化工艺产生的几何结构且根据本文中描述的方法和系统确定特征化由所述多重图案化工艺引起的几何误差的一或多个参数值。
在一个方面中,基于测量数据和经组合测量模型确定指示由所述多重图案化工艺引起的几何误差的结构参数的值。从半导体晶片的表面上的多个测量位点收集测量数据。每一测量位点包含至少两个度量目标。第一度量目标是由多重图案化工艺的第一图案化步骤产生的单个图案化度量目标。在一些实施例中,单个图案化度量目标是具有恒定标称间距的光栅。第二度量目标是由多重图案化工艺产生的多重图案化度量目标,所述多重图案化工艺包含第一图案化步骤和至少一个后续图案化步骤。在一些实施例中,多重图案化度量目标也是具有小于单个图案化度量目标的恒定标称间距的光栅结构。
测量模型是连结结构参数、材料参数或单个图案化度量目标和多重图案化度量目标两者的结构参数和材料参数的组合的经组合测量模型。以此方式,测量模型在经组合测量模型中捕捉多重图案化工艺的第一步骤和多重图案化工艺的至少一个后续步骤。
度量目标被定位成尽可能紧靠在一起以增强经组合测量模型的准确度。在一些实施例中,两个度量目标被定位成在每一测量位点处彼此相邻。通过将度量目标定位成紧靠在一起,用以连结两个度量目标的参数的简化假设不太可能引起明显的误差。例如,下伏层的厚度对于两个度量目标来说极有可能为相同值,前提是所述目标被定位成紧密靠近。因此,对于相邻度量目标,下伏层的厚度可被视为相同恒定值而不引起明显的误差。
图2说明适用于由度量系统(例如本发明的图9中说明的度量系统300)实施的方法100。在一个方面中,已认识到,方法100的数据处理框可经由通过计算系统330或任何其它通用计算系统的一或多个处理器执行的预编程算法实行。本文中已认识到,度量系统300的特定结构方面并不表示限制且应仅被解释为说明性。
在框101中,由计算系统(例如,计算系统330)接收与半导体晶片的表面上的第一多个测量位点的测量相关联的第一数量的测量数据。
第一多个测量位点中的每一者包含单个图案化度量目标和多重图案化度量目标,所述单个图案化度量目标具有由多重图案化工艺的第一图案化步骤产生的第一光栅间距,所述多重图案化度量目标具有由所述多重图案化工艺的第一图案化步骤和后续图案化步骤产生的第二光栅间距。在一些实施例中,单个图案化度量目标和多重图案化度量目标被安置成在每一测量位点处彼此相邻。
图6描绘半导体晶片140,半导体晶片140具有位于所述晶片的表面上方的各个测量位点处的多个裸片(例如,裸片143)。在图6中描绘的实施例中,裸片位于布置成与所描绘x和y坐标系统148对准的矩形栅格图案的测量位点处。每一裸片包含单个图案化度量目标(例如,单个图案化度量目标146)和多重图案化度量目标(例如,多重图案化度量目标147)。在图6中描绘的实施例中,每一单个图案化度量目标包含由第一图案化步骤产生的线集合(例如,包含线141的线集合)。每一多重图案化度量目标包含由第一图案化步骤产生的线集合以及由多重图案化工艺中的后续步骤产生的内插线的至少一或多个集合(例如包含线142的线集合)。因此,每一单个图案化度量目标包含具有沟槽结构的重复图案的光栅结构,例如图6中描绘的单个图案化单位晶胞144。类似地,每一多重图案化度量目标包含具有沟槽结构的重复图案的光栅结构,例如图6中描绘的多重图案化单位晶胞145。图7A更加详细地描绘单个图案化单位晶胞144。如图7A中描绘,单个图案化单位晶胞144的几何形状以沟槽结构的临界尺寸(CD1)和沟槽结构的深度(TD1)为特征。类似地,图7B更加详细地描绘多重图案化单位晶胞145。如图7B中描绘,多重图案化单位晶胞145的几何形状以沟槽结构的临界尺寸(CD2)和沟槽结构的深度(TD2)为特征。这些结构参数的值指示由多重图案化工艺引起的几何误差。本文中描述的特定结构参数(即,CD和TD)通过非限制实例方式提供。一般来说,可采用许多其它结构参数(例如,侧壁角度、底部临界尺寸等等)来指示由多重图案化工艺引起的几何误差。
晶片140包含标称值结构的阵列。因此,CD1、CD2、TD1和TD2具有相同标称值,而与在晶片140上的位置无关。以此方式,晶片140可被视为产品晶片。
在一些实例中,第一数量的测量数据包含在光谱范围内在不同测量位点处获得的两个椭偏参数(Ψ,Δ)。在一些实例中,第一数量的测量数据与实际产品晶片(例如晶片140)的表面上的测量位点的实际测量相关联。测量数据包含与相关联于每一测量位点的单个图案度量目标和多重图案度量目标相关联的光谱测量。在一些其它实例中,第一数量的测量数据与半导体晶片(例如晶片140)的表面上的测量位点的测量的模拟相关联。类似地,测量数据包含与相关联于每一测量位点的单个图案度量目标和多重图案度量目标相关联的经模拟光谱测量。
在一些实例中,虽然测量数据是光谱测量数据,但是一般来说,测量数据可为指示图案化到半导体晶片的表面上的结构的结构性质或几何性质的任何测量数据。
在框102中,基于第一数量的测量数据和经组合测量模型确定与第一多个测量位点中的每一者相关联的至少一个结构参数值。在经组合测量模型中,特征化单个图案化度量目标的至少一个模型参数至少连结到特征化多重图案化度量目标的模型参数。例如,下伏层(例如,半导体晶片上的半导体材料堆叠的氧化物基层)被假设为在晶片表面的有限局部区域上方具有均匀厚度。因此,第一度量目标和第二度量目标两者的经组合模型中的下伏层的厚度被假设为相同值。在另一实例中,晶片表面的有限局部区域上方的蚀刻状况被假设为均匀,因此有限局部区域内的经图案化特征(即,第一度量目标和第二度量目标)的所得侧壁角度被假设为相同。结构参数的值指示由多重图案化工艺引起的几何误差。在一些实例中,结构参数值是由模型直接计算。在一些其它实例中,结构参数值通过将模型拟合到测量数据而确定。在一个实例中,经组合模型用以由候选结构参数值计算光谱。比较这些结果与测量到的光谱。重复这个过程直到针对结构参数的最佳值最小化光谱差。
在框103中,将结构参数值存储在存储器(例如存储器332)中。
在进一步方面中,基于来自具有已知结构参数值的度量目标的测量数据用公式表示经组合测量模型。更具体地,与由第一图案化步骤产生的单个图案化度量目标相关联的结构参数值(例如临界尺寸、沟槽深度、侧壁角度等等)和与由后续图案化步骤产生的多重图案化度量目标相关联的结构参数值是已知的。在一些实施例中,模拟度量目标且计算两个度量目标的结构参数值作为模拟的结果。在一些其它实施例中,度量目标被实际制造且由参考测量系统测量,且结构参数值由参考测量系统确定。
在一些实例中,经组合测量模型基于多目标模型预测测量到的光学信号,所述多目标模型特征化单个图案化度量目标和多重图案化度量目标两者与特定度量系统的交互。
图3说明适用于由度量系统(例如在本发明的图9中说明的度量系统300)实施的方法110。在一个方面中,已认识到,方法110的数据处理框可经由通过计算系统330或任何其它通用计算系统的一或多个处理器执行的预编程算法实行。本文中已认识到,度量系统300的特定结构方面并不表示限制且应仅被解释为说明性。
在框111中,由计算系统(例如,计算系统330)接收与第二多个测量位点的测量相关联的第二数量的测量数据。第二多个测量位点中的每一者包含单个图案化度量目标和多重图案化度量目标,所述单个图案化度量目标具有由多重图案化工艺的第一图案化步骤产生的第一光栅间距,所述多重图案化度量目标具有由所述多重图案化工艺的第一图案化步骤和后续图案化步骤产生的第二光栅间距。在第二多个测量位点中的每一者处,特征化单个图案化度量目标的至少一个结构参数值和特征化多重图案化度量目标的至少一个结构参数值是已知的。
图5描绘半导体晶片130,半导体晶片130具有位于所述晶片的表面上方的各个测量位点处的多个裸片(例如,裸片133)。在图5中描绘的实施例中,裸片位于布置成与所描绘x和y坐标系统138对准的矩形栅格图案的测量位点处。每一裸片包含单个图案化度量目标(例如,单个图案化度量目标136)和多重图案化度量目标(例如,多重图案化度量目标137)。在图5中描绘的实施例中,每一单个图案化度量目标包含由第一图案化步骤产生的线集合(例如,包含线131的线集合)。每一多重图案化度量目标包含由第一图案化步骤产生的线集合以及由多重图案化工艺中的后续步骤产生的内插线的至少一或多个集合(例如,包含线132的线集合)。因此,每一单个图案化度量目标包含具有沟槽结构的重复图案的光栅结构,例如单个图案化单位晶胞134。类似地,每一多重图案化度量目标包含具有沟槽结构的重复图案的光栅结构,例如多重图案化单位晶胞135。
类似于图7A中描绘的单个图案化单位晶胞144,单个图案化单位晶胞134的几何形状以沟槽结构的临界尺寸(CD1)和沟槽结构的深度(TD1)为特征。类似于图7B中描绘的多重图案化单位晶胞145,多重图案化单位晶胞135的几何形状以沟槽结构的临界尺寸(CD2)和沟槽结构的深度(TD2)为特征。
晶片130包含具有不同已知结构参数值的裸片阵列。因此,CD1、CD2、TD1和TD2依据它们在晶片130上的位置而具有不同已知值。以此方式,晶片130可被视为实验设计(DOE)晶片。期望DOE晶片包含单个图案化度量目标和多重图案化度量目标的矩阵,所述矩阵横跨期望由下伏工艺窗产生的结构参数值(例如CD1、TD1、CD2、TD2)的全范围。如图5中描绘,对于裸片的不同列(x方向上的列索引),CD1的值改变,而CD2的值保持恒定。相反地,对于裸片的不同行(y方向上的行索引),CD1的值保持恒定,而CD2的值改变。以此方式,晶片130包含裸片的矩阵,所述裸片依据它们在矩阵中的位置而包含不同值的CD1和CD2。此外,CD1和CD2的值涉及预期由工艺窗产生的CD1和CD2的值。
在一些实例中,第二数量的测量数据包含在光谱范围内在不同测量位点处获得的两个椭偏参数(Ψ,Δ)。在一些实例中,第二数量的测量数据与DOE晶片(例如,晶片130)的表面上的测量位点的实际测量相关联。测量数据包含与相关联于每一测量位点的单个图案度量目标和多重图案度量目标相关联的光谱测量。在一些其它实例中,第二数量的测量数据与DOE晶片(例如,晶片130)的表面上的测量位点的测量的模拟相关联。类似地,测量数据包含与相关联于每一测量位点的单个图案度量目标和多重图案度量目标相关联的经模拟光谱测量。
在一些实例中,虽然测量数据是光谱测量数据,但是一般来说,测量数据可为指示图案化到半导体晶片的表面上的结构的结构性质或几何性质的任何测量数据。
在框112中,确定多目标模型使得多目标模型捕捉单个图案化度量目标和多重图案化度量目标的几何特征。
就每一所关注的度量目标的物理性质(例如,膜厚度、临界尺寸、折射率、光栅间距等等)而言,多目标模型包含单个图案化度量目标和多重图案化度量目标两者的参数化。此外,模型包含测量工具自身的参数化(例如,波长、入射角、偏振角等等)。此外,谨慎执行模拟逼近(例如,切块、严格耦合波分析(RCWA)等等)以避免引入过大的误差。定义离散化和RCWA参数。
机器参数(Pmachine)是用来特征化度量工具自身的参数。示范性机器参数包含入射角(AOI)、分析器角度(A0)、偏振角(P0)、照明波长、数值孔径(NA)等等。样品参数(Pspecimen)是用来特征化样品的几何和材料性质的参数。对于薄膜样品,示范性样品参数包含折射率、介电函数张量、所有层的标称层厚度、层序列等等。
在许多实例中,模型参数尤其在与多重图案化工艺的不同图案化步骤相关联的度量目标之间高度相关。这可导致多目标模型的不稳定。为解决这个问题,将捕捉由多重图案化工艺的第一图案化步骤产生的单个图案化度量目标的几何特征的结构参数值和捕捉由多重图案化工艺的后续图案化步骤产生的多重图案化度量目标的几何特征的结构参数值连结于经组合目标模型中。例如,下伏层(例如,半导体晶片上的半导体材料堆叠的氧化物基层)被假设为在晶片表面的有限局部区域上方具有均匀厚度。因此,第一度量目标和第二度量目标两者的经组合模型中的下伏层的厚度被假设为相同值。在另一个实例中,晶片表面的有限局部区域上方的蚀刻状况被假设为均匀,因此有限局部区域内的经图案化特征(即,第一度量目标和第二度量目标)的所得侧壁角度被假设为相同。
在另一个实例中,多目标模型包含多个不同度量目标,连结它们之间的共同参数。这有助于减小相关性、增加灵敏度且增加对大工艺变动的稳健性。
在框113中,基于第二数量的测量数据和已知结构参数值训练多目标模型。执行一系列模拟、分析和回归以完善多目标模型且确定将浮动哪些模型参数。
在框114中,基于经训练多目标模型的模拟针对与单个图案化度量目标相关联的结构参数值的范围产生第一测量数据库。类似地,基于经训练多目标模型的模拟针对与多重图案化度量目标相关联的结构参数值的范围产生第二测量数据库。在一些实例中,基于多目标模型的模拟针对至少一个所关注的结构参数(例如,CD1、CD2、TD1和TD2)的已知值的范围产生合成光谱库。
在框115中,将第一测量值库和第二测量值库存储在存储器(例如,存储器332)中。
出于测量目的,多目标模型的机器参数被视为已知固定参数,且多目标模型的样品参数或样品参数的子集被视为未知浮动参数。浮动参数通过在理论预测与测量到的数据之间产生最佳拟合的拟合过程(例如,回归、库匹配等等)求解。未知样品参数Pspecimen是变化的且计算模型输出值直到确定在模型输出值与测量到的值之间导致紧密匹配的样品参数值的集合。
以此方式,通过将第一数量的测量数据拟合到多目标模型确定指示由多重图案化工艺引起的几何误差的至少一个结构参数值。在一些实例中,拟合至少部分基于第一测量库和第二测量库。
在一些其它实例中,仅基于原始测量数据(例如,光谱)产生单个图案度量目标和多重图案度量目标的经组合测量模型。以此方式,减小与详细几何模型相关联的误差和逼近。
在一个进一步方面中,仅基于从包含单个图案度量目标和多重图案度量目标(模拟或实际)的测量位点收集的训练数据(例如,经模拟光谱或从实验设计(DOE)晶片收集的光谱)产生经组合测量模型。
图4说明适用于由度量系统(例如本发明的图9中说明的度量系统300)实施的方法120。在一个方面中,已认识到,方法120的数据处理框可经由通过计算系统330或任何其它通用计算系统的一或多个处理器执行的预编程算法实行。本文中已认识到,度量系统300的特定结构方面并不表示限制且应仅被解释为说明性。
在框121中,由计算系统(例如,计算系统330)接收与第二多个测量位点的测量相关联的第二数量的测量数据。第二多个测量位点中的每一者包含单个图案化度量目标和多重图案化度量目标,所述单个图案化度量目标具有由多重图案化工艺的第一图案化步骤产生的第一光栅间距,所述多重图案化度量目标具有由所述多重图案化工艺的第一图案化步骤和后续图案化步骤产生的第二光栅间距。在第二多个测量位点中的每一者处,特征化单个图案化度量目标的至少一个结构参数值和特征化多重图案化度量目标的至少一个结构参数值是已知的。
在一些实例中,第二数量的测量数据与实验设计(DOE)晶片上的第二多个测量位点的测量相关联,且特征化第一度量目标和第二度量目标的至少一个结构参数值在第二多个测量位点中的每一者处由参考测量系统测量。参考度量系统是信任度量系统,例如扫描电子显微镜(SEM)、穿隧电子显微镜(TEM)、原子力显微镜(AFM)或能够准确地测量结构参数值的x射线测量系统。
在一些实施例中,结构参数变动以实验设计(DOE)图案组织在半导体晶片(例如,DOE晶片)的表面上,例如,如本文中参考图5描述。以此方式,测量系统询问晶片表面上与不同结构参数值对应的不同位置。在参考图5描述的实例中,测量数据与以CD1和CD2的已知变动处理的DOE晶片相关联。然而,一般来说,可预期与工艺参数、结构参数或两者的任何已知变动相关联的测量数据。
出于模型训练的目的,可从具有设计参数(例如,结构或工艺参数)的已知扰动的其它位置获取额外测量数据。例如,这些位置可在划线中、装置上,或可处于晶片上的其它位置处,在其它位置中,例如,光刻曝光状况或光罩设计特性在值的范围内改变。在另一实例中,可从不同装置位置(例如,具有致密特征的位置和具有隔离特征的位置或掩模上具有两个不同CD的位置)获取测量数据。一般来说,从以已知方式扰动的不同位置获取测量数据。可从掩模数据、设备数据采集(EDA)数据、工艺数据等等得知扰动。
系统变动的集合通常称为实验设计(DOE)。在一个实例中,聚焦、曝光和叠加中的任一者跨装置或晶片系统地改变。在另一实例中,采用随机聚焦和曝光矩阵(FEM)以减小与底层参数的相关性,如艾兹克松(Izikson)等人的第8,142,966号美国专利中描述,所述专利的全部内容以引用方式并入本文中。
在优选的实施例中,在实际DOE晶片的制造中实施系统变动的集合。随后测量DOE晶片以产生在框121中接收的测量数据。制成晶片包含无法通过模拟容易地建模的系统误差。例如,底层的效应通过真实晶片的测量更准确地捕捉。可通过针对固定底层状况修改制造期间的工艺参数(例如,聚焦和曝光变动)解除底层贡献与测量响应的相关性。在另一个实例中,可通过从具有变化的顶层拓扑和恒定的底层状况的特征取得多个数据集合来减轻底层贡献。在一个实例中,顶层可包含周期性结构且底层可为非周期性的。
测量位置可被选择来增加测量灵敏度。在一个实例中,在线端处执行的测量对聚焦变化最灵敏。一般来说,应在对待测量的参数的变化最灵敏的结构处进行测量。
虽然优选的是执行DOE晶片的实际测量,但是在一些其它实例中,可模拟DOE晶片对不同的已知结构参数值的测量响应。在这些实例中,合成地产生框121中接收的测量数据。例如,可采用过程模拟器,例如可从(美国)加利福尼亚州苗必达市的科磊公司(KLA-Tencor Corporation)购得的正性抗蚀剂光学光刻(PROLITH)模拟软件。一般来说,在这个专利文件的范围内可预期任何过程建模技术或工具(例如,可从美国北卡罗来纳州卡里市的Coventor,Inc.购得的Coventor模拟软件)。
在框122中,通过减小第二数量的测量数据的尺寸来提取第二数量的测量数据的一或多个特征。虽然这个框是任选的,但是当它被采用时,至少部分基于所述一或多个所提取特征确定输入-输出测量模型。
一般来说,可通过多种已知方法(包含主分量分析、非线性主分量分析、从第二数量的测量数据选择个别信号、和对第二数量的测量数据进行过滤)减小第二数量的测量数据的尺寸。
在一些实例中,使用主分量分析(PCA)或非线性PCA分析测量数据以提取最强烈地反映存在于不同测量位点处的工艺参数、结构参数或两者的变动的特征。在一些其它实例中,可应用信号滤波技术以提取最强烈地反映存在于不同测量位点处的参数变动的信号数据。在一些其它实例中,可从存在于测量数据中的多个信号选择最强烈地反映存在于不同测量位点处的参数变动的个别信号。虽然优选的是从测量数据提取特征以减小经历后续分析的数据的尺寸,但是其并非严格必需。在此意义上,框122是任选的。
在框123中,至少部分基于第二数量的测量数据确定输入-输出测量模型。
基于从测量数据提取的特征或替代地直接从测量数据确定输入-输出测量模型。输入-输出测量模型被结构化来接收在一或多个测量位点处由度量系统产生的测量数据,且直接确定与每一测量目标相关联的结构参数值。在优选的实施例中,输入-输出测量模型被实施为神经网络模型。在一个实例中,基于从测量数据提取的特征选择神经网络的节点数目。在其它实例中,输入-输出测量模型被实施为多项式模型、响应表面模型或其它类型的模型。
在框124中,基于已知结构参数值训练输入-输出测量模型。在一些实例中,使用DOE测量数据和已知结构参数值产生经训练输入-输出测量模型。所述模型被训练使得其输出拟合由DOE光谱界定的工艺变动空间中的所有光谱的经定义预期响应。
在一些实例中,使用经训练输入-输出模型直接由从其它晶片(例如,产品晶片)的实际装置结构收集的测量到的数据(例如,光谱)计算结构参数值,如本文中参考方法100描述那样。以此方式,仅需要从已知样本获取的光谱或经合成产生的光谱来产生测量模型且使用所述模型执行测量。以此方式用公式表示的经组合测量模型接收测量数据(例如,测量到的光谱)直接作为输入且提供结构参数值作为输出,且因此是经训练输入-输出模型。
在潘德夫(Pandev)的第8,843,875号美国专利、潘德夫(Pandev)等人的第2014/0297211号美国专利公开案和舍琴格罗夫(Shchegrov)等人的第2014/0316730号美国专利公开案中描述关于模型产生、训练和用作测量过程的部分的额外细节,所述专利案中的每一者的全部内容以引用方式并入本文中。
图8A到8D分别说明曲线图150到153,所述曲线图分别表明测量CD1、CD2、TD1和TD2的结果。在其它几何参数值的变动存在的情况下,针对CD1、CD2、TD1和TD2的不同值合成地产生DOE光谱。图8A说明以纳米为单位的经预测CD1值到用以产生合成光谱的实际CD1值的模型拟合。图8B说明以纳米为单位的经预测CD2值到用以产生合成光谱的实际CD2值的模型拟合。图8C说明以纳米为单位的经预测TD1值到用以产生合成光谱的实际TD1值的模型拟合。图8D说明以纳米为单位的经预测TD2值到用以产生合成光谱的实际TD2值的模型拟合。如说明,与残留误差相关联的西格玛值在量值上小于0.1纳米。
图9说明用于根据本文中呈现的示范性方法测量样品的特性的系统300。如图9中所示,系统300可用以对样品301的一或多个结构执行光谱椭偏测量。在此方面中,系统300可包含装备有照明器302和光谱仪304的光谱椭偏仪。系统300的照明器302经配置以产生所选择波长范围(例如,150nm到2000nm)的照明并将所述照明引导到安置在样品301的表面上的结构。继而,光谱仪304经配置以接收从样品301的表面反射的照明。进一步注意,使用偏振状态产生器307使从照明器302出射的光偏振以产生偏振照明光束306。通过安置在样品301上的结构反射的辐射传递穿过偏振状态分析器309且到光谱仪304。针对偏振状态分析收集光束308中由光谱仪304接收的辐射,从而允许通过光谱仪对经过分析器的辐射进行光谱分析。这些光谱311被传递到计算系统330以用于结构的分析。
如图9中描绘,系统300包含单个测量技术(即,SE)。然而,一般来说,系统300可包含任何数目的不同测量技术。通过非限制性实例,系统300可被配置为光谱椭偏仪(包含穆勒(Mueller)矩阵椭偏仪)、光谱反射计、光谱散射计、叠加散射计、角度分辨光束轮廓反射计、偏振分辨光束轮廓反射计、光束轮廓反射计、光束轮廓椭偏仪、任何单个或多波长椭偏仪或它们的任何组合。此外,一般来说,可从多个工具而非集成多种技术的一个工具收集通过不同测量技术收集且根据本文所述方法分析的测量数据。
在进一步实施例中,系统300可包含用以基于根据本文中描述的方法开发的测量模型执行测量的一或多个计算系统330。一或多个计算系统330可以通信方式耦合到光谱仪304。在一个方面中,一或多个计算系统330经配置以接收与样品301的结构测量相关联的测量数据311。
应认识到,贯穿本发明所描述的各种步骤可通过单个计算机系统330实行,或替代地可通过多个计算机系统330实行。此外,系统300的不同子系统(例如光谱椭偏仪304)可包含适用于实行本文中描述的步骤的至少一部分的计算机系统。因此,上述描述不应被解释为对本发明的限制,而应仅是说明。此外,一或多个计算系统330可经配置以执行本文中描述的方法实施例中的任一者的任何其它步骤。
此外,计算机系统330可以此项技术中众所周知的任何方式以通信方式耦合到光谱仪304。例如,一或多个计算系统330可耦合到与光谱仪304相关联的计算系统。在另一个实例中,可通过耦合到计算机系统330的单个计算机系统直接控制光谱仪304。
度量系统300的计算机系统330可经配置以通过可包含有线部分和/或无线部分的传输媒体从所述系统的子系统(例如,光谱仪304等等)接收和/或获取数据或信息。以此方式,传输媒体可用作计算机系统330与系统300的其它子系统之间的数据链路。
集成度量系统300的计算机系统330可经配置以通过可包含有线部分和/或无线部分的传输媒体从其它系统接收和/或获取数据或信息(例如,测量结果、建模输入、建模结果等等)。以此方式,传输媒体可用作计算机系统330与其它系统(例如,存储器机载度量系统300、外部存储器、参考测量源320或其它外部系统)之间的数据链路。例如,计算系统330可经配置以经由数据链路从存储媒体(即,存储器332或外部存储器)接收测量数据。例如,使用光谱仪304获得的光谱结果可存储在永久或半永久存储器装置(例如,存储器332或外部存储器)中。就此而言,可从机载存储器或从外部存储器系统导入光谱结果。此外,计算机系统330可经由传输媒体发送数据到其它系统。例如,通过计算机系统330确定的经组合测量模型或结构参数值340可被传达且存储在外部存储器中。就此而言,可将测量结果导出到另一系统。
计算系统330可包含,但不限于个人计算机系统、主机计算机系统、工作站、图像计算机、并行处理器或此项技术中众所周知的任何其它装置。一般来说,术语“计算系统”可被广义定义为涵盖具有一或多个处理器的任何装置,所述一或多个处理器执行来自存储器媒体的指令。
实施例如本文中描述的方法的程序指令334可经由传输媒体(例如导线、缆线或无线传输链路)传输。例如,如图9中说明,存储于存储器332中的程序指令334经由总线333传输到处理器331。程序指令334存储于计算机可读媒体(例如,存储器332)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘、或磁带。
图10描绘安置在半导体晶片的底层160上方的图案化层161。在所描绘实施例中,图案化层161是具有均匀间距的光栅结构。此外,倾斜照明光162入射在图案化层161上,且从图案化层161衍射光163。当图案化层161的光栅结构完全均匀时,如图10中描绘,从图案化层161衍射的光仅是零级衍射光。因此,对于由多重图案化工艺构造的理想结构(ΔCD=0且间距走线=0),对于可用于光学散射计的所有入射角和波长而言,仅存在0级衍射级。
图11描绘安置在半导体晶片的底层170上方的图案化层171。在所描绘实施例中,图案化层171是具有非均匀间距的光栅结构。此外,倾斜照明光172入射在图案化层171上。当图案化层171的光栅结构不均匀时,如图11中描绘,从图案化层171衍射的光包含多个衍射级。多重图案化误差产生具有非均匀间距的光栅图案。因此,这些图案的有效单位晶胞以及结构周期都大得多。这使得能够传播更高的衍射级(例如,一级或负一级)。如图11中描绘,从非均匀图案化层171衍射的光包含零级衍射光173和一级衍射光174。依据所采用的正负号法则,一级衍射光174可被视为具有1或负1的光栅级的衍射光。
典型的半导体度量(例如光谱椭偏仪)涉及零级衍射光的收集和分析。然而,在另一个方面中,收集并分析具有不同于零的衍射级的衍射光以确定指示由多重图案化工艺引起的几何误差的至少一个结构参数的值。在一些实施例中,收集并分析不同于零的单个衍射级(例如-1或1)以确定指示由多重图案化工艺引起的几何误差的至少一个结构参数的值。
入射角与0级角度之间的关系是由等式(1)给定,其中θAOI是照明光的入射角且θOth是0级角度。
θAOI=-θOth (1)
-1级的数值孔径与0级的数值孔径、照明光的波长λ和光栅结构的间距P有关,如由等式(2)给定。
Figure BDA0001442673950000161
图12说明针对入射角的范围与来自具有80纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图180。曲线图线181与具有150纳米的波长的照明光相关联。曲线图线182与具有155纳米的波长的照明光相关联。曲线图线183与具有158纳米的波长的照明光相关联。
图13说明针对入射角的范围与来自具有90纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图190。曲线图线191与具有170纳米的波长的照明光相关联。曲线图线192与具有175纳米的波长的照明光相关联。曲线图线193与具有178纳米的波长的照明光相关联。
图14说明针对入射角的范围与来自具有100纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图200。曲线图线201与具有180纳米的波长的照明光相关联。曲线图线202与具有190纳米的波长的照明光相关联。曲线图线203与具有195纳米的波长的照明光相关联。
图15说明针对入射角的范围与来自具有120纳米间距的光栅的-1级衍射相关联的所得衍射角的曲线图210。曲线图线211与具有190纳米的波长的照明光相关联。曲线图线212与具有215纳米的波长的照明光相关联。曲线图线213与具有230纳米的波长的照明光相关联。
如由图12到15说明,在低到170纳米的照明波长下以相对较高数值孔径(例如,NA=0.9)操作的光学散射计系统能够在与-1级衍射级相关联的衍射角的宽范围内检测90纳米基本间距处的间距走线。类似地,在低到150纳米的照明波长下以相对较高数值孔径(例如,NA=0.9)操作的光学散射计系统能够在与-1级衍射级相关联的衍射角的宽范围内检测80纳米基本间距处的间距走线。
图16说明在照明波长的范围内与固定入射角(70度)的-1级衍射相关联的所得衍射角的曲线图220。曲线图线221与具有120纳米的间距的光栅相关联。曲线图线222与具有105纳米的间距的光栅相关联。曲线图线223与具有90纳米的间距的光栅相关联。
如由图16说明,可在斜角光谱系统(例如可从(美国)加利福尼亚州苗必达市的科磊公司(KLA-Tencor Corporation)购得的
Figure BDA0001442673950000171
系列度量工具)中检测-1衍射级。
图17说明适用于由度量系统(例如分别在本发明的图18、19和20中说明的度量系统500、600和700)实施的方法400。在一个方面中,已认识到,方法400的数据处理框可经由通过计算系统330或任何其它通用计算系统的一或多个处理器执行的预编程算法实行。本文中已认识到,度量系统500、600和700的特定结构方面并不表示限制且应仅被解释为说明性。
在框401中,照明半导体晶片的表面上的测量位点。测量位点包含具有由多重图案化工艺产生的标称光栅间距的度量目标。在一些实施例中,将具有多个不同波长的照明光提供到测量位点。在一些实施例中,照明光以多个不同入射角提供到测量位点。通过以多个波长和入射角提供照明光,改进对间距走线和临界尺寸的变动(例如,ΔCD)的测量灵敏度。
在一些实施例中,度量目标具有不同于对应标称装置结构的间距。例如,如果度量系统对由多重图案化引起的误差(例如,间距走线、临界尺寸变动等等)缺少足够的灵敏度,那么可选择将对应度量目标的间距增大已知量。度量目标的间距被选择为完全在度量工具的灵敏度范围内。以此方式,度量目标用作标称装置结构的代理。
在一些实施例中,度量目标具有包含间距变动的标称光栅结构。然而,对应标称装置结构具有具均匀间距的标称光栅结构。如前文描述,具有完全均匀间距的光栅将展现零级衍射,但是将不会展现更高级衍射。在一些实施例中,度量系统经配置以检测更高级衍射(例如,-1级),但是以零级衍射的光将落在集光光瞳之外。因此,度量系统实际上将忽略展现完全均匀间距的度量目标,且将对展现相对间距变动的度量目标具有有限灵敏度。因此,在一些实施例中,期望在对应标称装置结构的间距完全均匀时引入度量目标的间距的已知变动。以此方式,即使对应装置结构被完美构造(即,展现均匀间距),更高级衍射仍将从度量目标发生。以此方式,度量系统对度量目标的间距的已知变动的响应将指示对应装置结构的间距均匀性。
图24说明由两个图案化步骤产生的标称装置结构260。如图24中描绘,作为第一图案化步骤的部分,制造以数字1标记的第一组沟槽。在所描绘实施例中,这导致具有等于2*P0的间距的光栅。在后续图案化步骤中,制造以数字2标记的第二组沟槽。在所描绘实例中,完全执行图案化步骤且光栅结构的所得间距是均匀的且等于P0
图25说明对应于标称装置结构260的度量目标270。换句话说,度量目标270用作辅助结构或被设计来促进或取代装置结构260的测量的代理结构。度量目标270的测量到的参数指示装置结构260的对应参数。在一个进一步方面中,度量目标270被设计为具有相对较大间距变动以基于以不同于零级的级衍射的光的测量增强测量灵敏度。如图25中描绘,作为第一图案化步骤的部分,制造以数字1标记的第一组沟槽。在所描绘实施例中,这导致具有等于P1+P2的间距的光栅。在后续图案化步骤中,制造以数字2标记的第二组沟槽。在所描绘实例中,完全执行图案化步骤,且光栅结构的所得间距是非均匀的且包含两个不同间距P1和P2
在一些其它实施例中,度量目标具有具均匀间距的标称光栅结构(即,被完美构造的度量目标具有均匀间距),且对应标称装置结构也具有具均匀间距的标称光栅结构。如前文描述,具有完全均匀间距的光栅将展现零级衍射,但是将不会展现更高级衍射。在一些实施例中,度量系统经配置以检测更高级衍射(例如,-1级),但是以零级衍射的光将落在集光光瞳之外。因此,度量系统将忽略展现完全均匀间距的度量目标。因此,在一些实施例中,与相关联于对应标称装置结构的叠加相比,期望将已知偏移引入到相关联于度量目标的叠加中。以此方式,即使对应标称装置结构被完美构造(即,使用正确的标称叠加),更高级衍射仍将由于叠加偏移而从度量目标发生。以此方式,度量系统对与度量目标相关联的叠加的已知偏移的响应将指示对应标称装置结构的间距均匀性。
一般来说,度量目标或标称装置结构或两者都可位于半导体晶片的划线中或位于半导体晶片的功能裸片区域内。
在框402中,检测以不同于零衍射级的衍射级从被照明测量位点衍射的光量。在一些实施例中,从被照明测量位点衍射的光包含多个不同波长。在一些实施例中,从被照明测量位点衍射的光以多个不同集光角度收集。通过以多个波长和集光角度检测衍射光,改进对间距走线和临界尺寸的变动(例如,ΔCD)的测量灵敏度。在一些实施例中,从被照明测量位点衍射的光以多个不同方位角收集。这些平面外测量也可改进对间距走线和临界尺寸的变动的测量灵敏度。
在框403中,基于检测到的光量确定指示由多重图案化工艺引起的几何误差的至少一个结构参数值。
在一些实施例中,检测到的光的直接分析将指示由多重图案化引起的几何误差。例如,评估检测器上的不同位置处的信号强度将指示多个不同间距的存在和它们的量值。在一些实例中,未检测到任何事物,且因此可假设全部光都以零级衍射且因此已实现完全均匀间距。
在一些其它实施例中,采用检测到的光的基于模型的分析来预测指示由多重图案化引起的几何误差的结构参数值。例如,本文中描述的基于模型的方法可用来分析不同于零衍射级的衍射级的衍射测量。
在框404中,将所述至少一个结构参数值存储在存储器(例如,存储器332)中。
图18说明用于根据本文中呈现的示范性方法测量样品的特性的度量系统500。度量系统500包含参考图9描述的编号相同的元件。然而,此外,度量系统500包含位于与照明相同的侧上的检测器312。检测器312经配置以收集以-1衍射级从测量位点310衍射的光313。指示由检测器312检测的光的信号314被传达到计算系统330以供分析。因而,系统500经配置以将倾斜照明提供到样品301的一或多个结构且检测以-1衍射级从样品301衍射的光。
图19说明用于根据本文中呈现的示范性方法测量样品的特性的度量系统600。度量系统600包含参考图9和18描述的编号相同的元件。然而,度量系统600经配置以收集以与照明相同的角度从样品301衍射的光。度量系统600包含分束器315,分束器315经配置以重新引导从测量位点310衍射的收集光313使其朝向检测器312且离开照明光306的光束路径。检测器312经配置以收集以-1衍射级从测量位点310衍射的光313。指示由检测器312检测的光的信号314被传达到计算系统330以供分析。因而,系统600经配置以将倾斜照明提供到样品301的一或多个结构且检测以-1衍射级从样品301衍射的光。
图20说明用于根据本文中呈现的示范性方法测量样品的特性的度量系统700。度量系统700包含参考图9描述的编号相同的元件。在一个方面中,度量系统700包含两个度量系统的组合:倾斜照明光谱椭偏仪(SE)系统和法向入射反射计。SE系统包含照明源302和将照明光306聚焦到样品301的测量位点310上的物镜328。在正常的SE操作期间,零级衍射光由物镜329收集且由检测器304检测。反射计包含照明源320和将照明光321聚焦到测量位点310上的物镜324。在正常的反射计操作期间,零级衍射光由物镜324收集、由分束器322重新引导且由检测器326检测。
在进一步方面中,度量系统700经配置以用来自SE系统的照明光306照明测量位点310且用反射计收集并检测以不同于零的衍射级衍射的光331。类似地,度量系统700经配置以用来自反射计的照明光321照明测量位点310且用SE系统收集并检测以不同于零的衍射级衍射的光330。以这种操作模式,信号327指示以基于倾斜照明的更高级从测量位点310衍射的光。类似地,信号311指示以基于法向入射照明的更高级从测量位点310衍射的光。
图21说明指示针对不同标称间距值以一级衍射且由反射计的检测器326收集的光的波长的曲线图230。照明光是由SE系统(即,照明源302)提供。使用这种途径,对低到近似120纳米标称间距的间距均匀性进行测量是可行的。这对应于大约190nm的可检测波长值。
在另一进一步方面中,基于瑞利异常的存在检测多重图案化误差。当传播级逐渐消失时,瑞利异常出现。光谱奇异性通常表现为测量光谱中的突然转变。
在一些实施例中,度量系统(例如,本文中描述的度量系统)经配置以按多个波长提供照明且按多个方位角提供收集。确定多个波长中的每一者的不同方位角之间的光谱能量差。基于照明波长的范围内不同方位角之间的光谱能量差的突然转变确定由多重图案化工艺引起的几何误差的存在。
预期对于零间距走线,不同方位角之间的光谱能量差对比波长是相对平坦的。然而,对于非零间距走线,光谱能量差在1级波长以下显著地改变。
图22说明指示波长范围内在零间距走线(曲线图线241)和2纳米间距走线(曲线图线241)的情况下两个方位角(0度和30度)之间的光谱能量差(Rss)的曲线图240。度量目标是具有90纳米的标称间距的周期性硅上氧化物结构。每一氧化物结构的高度是100纳米且每一氧化物结构的宽度是20纳米。一级衍射波长是近似171纳米。图22清晰地说明不同方位角下光谱能量之间的差的突然转变,对于包含间距走线的结构,所述突然转变在一级衍射波长下发生。
图23说明指示波长范围内在零间距走线和1纳米间距走线的情况下光谱信号α(曲线图线251)与β(曲线图线252)之间的差的曲线图250。度量目标是具有90纳米的标称间距的周期性硅上氧化物结构。每一氧化物结构的高度是50纳米。图23清晰地说明光谱信号之间的差的突然转变,当存在间距走线时,所述突然转变在一级衍射波长下发生。
在一些其它实施例中,可采用固体浸没技术以包含系统的光瞳内以更高级(即,不同于零的任何级)衍射的光。以此方式,即使对于无大的集光NA的系统,仍可采用相同检测器以检测零级衍射光和更高级衍射光两者。
在又一进一步方面中,采用照明光束的短波长分量以基于结构对短波长照明的响应而突出所述结构是否是周期性的。足够短的照明波长使得能够捕捉一级衍射元件,否则一级衍射元件将逐渐消失。一般来说,期望尽可能多地减小与照明光相关联的波长以增强对小的间距结构的测量灵敏度。因此,在一些实施例中,可期望真空紫外线照明光。
在一些实施例中,可期望采用孔径来根据衍射级分离收集光,即,在集光时分离“0”级与“-1”级。如果照明和集光模式使得“0”级和“-1”级重叠且干扰,那么可能期望遍及光栅实施光束扫描以评估条纹可见度且确定1级的强度。
一般来说,更高级衍射光的检测无需在光瞳平面中进行;也可实施晶片平面测量。
在进一步方面中,收集来自多个目标的测量数据以用于模型建立、训练和测量。在一些实例中,与多个目标相关联的测量数据的使用消除或显著地减小测量结果中底层的影响。在一个实例中,减去来自两个目标的测量信号以消除或显著地减小每一测量结果中底层的影响。与多个目标相关联的测量数据的使用增加嵌入在模型中的样本和过程信息。具体地,包含一或多个测量位点处的多个不同目标的测量的训练数据的使用实现更加准确的测量。
在一个实例中,对于两个经隔离和致密目标,由DOE晶片的光谱测量产生测量模型。接着基于光谱测量数据和已知结构参数值训练测量模型。随后采用所得经训练测量模型以对样本晶片上的两个经隔离和致密目标计算结构参数值。以此方式,每一参数具有它自身的训练模型,所述训练模型从与两个经隔离和致密目标相关联的测量到的光谱(或所提取特征)计算参数值。
在另一进一步方面中,收集来自可在装置上或划线内找到的测量目标和辅助目标两者的测量数据以用于模型建立、训练和测量。
在另一进一步方面中,收集源自于通过多种不同测量技术的组合执行的测量的测量数据以用于模型建立、训练和测量。与多种不同测量技术相关联的测量数据的使用增加嵌入在模型中的样本和过程信息且实现更加准确的测量。测量数据可源自于通过多种不同测量技术的任何组合执行的测量。以此方式,不同测量位点可通过多种不同测量技术测量以增强可用于特征化半导体结构的测量信息。
一般来说,在这个专利文件的范围内可预期任何测量技术或两种或两种以上测量技术的组合。示范性测量技术包含但不限于,光谱椭偏仪(包含穆勒矩阵椭偏测量)、光谱反射计、光谱散射计、叠加散射计、光束轮廓反射计、角度分辨光束轮廓反射计和偏振分辨光束轮廓反射计两者、单个或多个离散波长椭偏仪、透射型小角度x射线散射计(TSAXS)、小角度x射线散射(SAXS)、光栅入射小角度x射线散射(GISAXS)、广角x射线散射(WAXS)、x射线反射法(XRR)、x射线衍射(XRD)、光栅入射x射线衍射(GIXRD)、高分辨率x射线衍射(HRXRD)、x射线光电子光谱学(XPS)、x射线荧光光谱仪(XRF)、光栅入射x射线荧光光谱仪(GIXRF)、低能量电子诱发x射线发射散射计(LEXES)、x射线断层摄影仪和x射线椭偏仪。一般来说,可预期适用于半导体结构的特征化的任何度量技术(包含基于图像的度量技术)。额外传感器选项包含电传感器,例如非接触式电容/电压或电流/电压传感器,所述传感器使装置偏置且用光学传感器(或相反地)或辅助式光学技术(例如XRD、XRF、XPS、LEXES、SAXS)和泵浦探测技术检测所得偏置。在一个实施例中,可使用二维光束轮廓反射计(光瞳成像器)以收集呈小的光斑大小的角度分辨和/或多光谱数据。UV Linnik干涉仪也可用作穆勒矩阵光谱光瞳成像器。
在一些实例中,本文中描述的模型建立、训练和测量方法被实施为可从美国加利福尼亚州苗必达市的科磊公司(KLA-Tencor Corporation)购得的
Figure BDA0001442673950000221
光学临界尺寸度量系统的元件。以此方式,所述模型产生且准备好在由系统收集DOE晶片光谱之后即刻使用。
在一些其它实例中,本文中描述的模型建立和训练方法例如由实施可从美国加利福尼亚州苗必达市的科磊公司(KLA-Tencor Corporation)购得的
Figure BDA0001442673950000223
软件的计算系统脱机实施。所得训练模型可被并入作为可由执行测量的度量系统存取的
Figure BDA0001442673950000222
库的元件。
在另一实例中,本文中描述的方法和系统可应用于叠加度量。光栅测量尤其与叠加测量有关。叠加度量的目标是确定不同光刻曝光步骤之间的变化。由于装置上结构的小的尺寸和通常小的叠加值,执行装置上叠加度量是困难的。
例如,典型的划线叠加度量结构的间距从200纳米到2,000纳米变化。但是,装置上叠加度量结构的间距通常是100纳米或更小。此外,在标称生产环境中,装置叠加仅是装置结构的周期的小部分。相比之下,散射计叠加中使用的代理度量结构通常在较大值下(即,间距的四分之一)偏移以增强对叠加的信号灵敏度。
在这些状况下,使用对小偏移、小间距叠加具有足够灵敏度的传感器架构执行叠加度量。本文中描述的方法和系统可用来基于装置上结构、代理结构或两者获得对叠加灵敏的测量信号。
在获取之后,分析测量到的信号以基于测量到的信号的变动确定叠加误差。在一个进一步方面中,使用PCA分析光谱或角度分辨数据,且训练叠加模型以基于在测量到的信号中检测到的主分量确定叠加。在一个实例中,叠加模型是神经网络模型。在此意义上,叠加模型并非参数模型,且因此不会倾向于具有由不准确建模假设引入的误差。如前文描述,基于标称上与装置特征相同但是具有较大偏移的专用度量结构的测量训练叠加度量模型可有助于克服灵敏度问题。这些偏移可由光罩设计期间待测量的两个层中的特征之间引入的固定设计偏移而引入。所述偏移也可由光刻曝光的变化而引入。叠加误差可通过使用多个变化目标(例如,间距/4和-间距/4)更有效地从压缩信号(例如,PCA信号)提取并且也可减小底层的影响。
一般来说,用于执行本文中呈现的半导体度量的方法和系统可直接应用于实际装置结构或应用于位于裸片中或划线内的专用度量目标(例如,代理结构)。
在又一个方面中,本文中描述的测量模型结果可用以将主动反馈提供到加工工具(例如,光刻工具、蚀刻工具、沉积工具等等)。例如,使用本文中描述的方法确定的结构参数值可被传传达到光刻工具以调整光刻系统以实现所要输出。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等等)或沉积参数(例如,时间、浓度等等)可包含在测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
一般来说,本文中描述的系统和方法可实施为制备用于脱机或工具上测量的测量模型的过程的部分。此外,两个测量模型和任何重新参数化测量模型都可描述一或多个目标结构和测量位点。
如本文中描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等)、任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离)和两个或两个以上结构之间的位移(例如,叠加光栅结构之间的叠加位移等等)。结构可包含三维结构、图案化结构、叠加结构等等。
如本文中描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中描述,术语“度量系统”包含至少部分用以在任何方面(包含测量应用,例如临界尺寸度量、叠加度量、焦点/剂量度量和组合物度量)特征化样品的任何系统。然而,此类技术术语并不限制如本文中描述的术语“度量系统”的范围。此外,度量系统100可被配置用于经图案化晶片和/或未经图案化晶片的测量。度量系统可被配置为LED检验工具、边缘检验工具、背面检验工具、宏观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)和获益于基于临界尺寸数据对系统参数进行校准的任何其它度量或检验工具。
本文中描述可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)的各种实施例。术语“样品”在本文中用于指晶片、光罩或可通过此项技术中众所周知的方式处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中使用,术语“晶片”大体上指由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓和磷化铟。通常可在半导体制造厂中找到和/或处理这些衬底。在一些情形中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的不同材料的一或多个层。形成于晶片上的一或多个层可“经图案化”或“未经图案化”。例如,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为在光罩制造工艺的任何阶段的光罩或可被释放或不可被释放供在半导体制造厂中使用的成品光罩。光罩或“掩模”大体上被定义为具有形成于其上且以图案配置的实质上不透明区域的实质上透明衬底。所述衬底可包含,例如,玻璃材料,例如非晶SiO2。光罩可在光刻工艺的曝光步骤期间安置于抗蚀剂覆盖的晶片上面使得在光罩上的图案可转印到抗蚀剂。
形成在晶片上的一或多个层可能被图案化或可能未被图案化。例如,晶片可包含多个裸片,每一裸片具有可重复图案特征。这些材料层的形成和处理可最终产生成品装置。许多不同类型的装置可在晶片上形成,且如本文中使用的术语晶片希望涵盖在其上制造此项技术中众所周知的任意类型的装置的晶片。
在一或多个示范性实施例中,所描述功能可在硬件、软件、固件或其任何组合中实施。如果在软件中实施,那么功能可作为一或多个指令或程序代码被存储在计算机可读媒体上或通过计算机可读媒体传输。计算机可读媒体包含计算机存储媒体和通信媒体两者,其包含促进计算机程序从一个位置传送到另一个位置的任何媒体。存储媒体可为可由通用或专用计算机存取的任何可用媒体。例如且无限制,此类计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置,或可用于携载或存储呈指令或数据结构的形式的期望程序代码构件且可由通用或专用计算机或者通用或专用处理器存取的任何其它媒体。此外,任何连接被适当地称为计算机可读媒体。例如,如果软件使用同轴缆线、光纤缆线、双绞线、数字用户线(DSL)或无线技术(例如,红外线、无线电和微波)从网站、服务器或其它远程源传输,那么同轴缆线、光纤缆线、双绞线、DSL或无线技术(例如,红外线、无线电和微波)包含于媒体的定义中。如本文中使用的磁盘和光盘包含压缩光盘(CD)、激光光盘、光盘、数字多功能光盘(DVD)、软磁盘和蓝光光盘,其中磁盘通常磁性地再现数据,而光盘借助激光光学地再现数据。上述内容的组合也应包含于计算机可读媒体的范围内。
虽然上文出于指导目的描述某些特定实施例,但这个专利文件的教示具有一般适用性且并不限于上文描述的特定实施例。因此,所描述实施例的各种特征的各种修改、调适和组合可在不脱离如权利要求书中阐述的本发明范围的情况下实践。

Claims (18)

1.一种度量系统,其包括:
照明源,其经配置以照明半导体晶片的表面上的测量位点,其中所述测量位点包含具有由多重图案化工艺产生的具有间距变动的标称光栅结构的度量目标,且其中由所述多重图案化工艺产生的对应标称装置结构具有均匀间距的标称光栅结构;
检测器,其经配置以检测以不同于零衍射级的衍射级从所被照明的测量位点衍射的光量;以及
计算系统,其经配置以:
基于检测到的光量确定指示由所述多重图案化工艺引入的几何误差的至少一个结构参数值;以及
将所述至少一个结构参数值存储在存储器中。
2.根据权利要求1所述的系统,其中所述检测器经配置以多个波长、多个集光角度或者多个波长和多个集光角度的组合检测所述光量。
3.根据权利要求1所述的系统,其中所述照明源经配置以多个波长、多个入射角或者多个波长和多个入射角的组合照明所述测量位点。
4.根据权利要求1所述的系统,其中所述度量目标的间距通过来自于对应标称装置结构的间距的已知量进行衡量。
5.根据权利要求1所述的系统,其中所述照明源经配置以多个波长和多个方位角照明所述测量位点,且其中所述确定涉及确定所述多个波长中的每一者的不同方位角之间的光谱能量差。
6.一种半导体晶片,其包括:
衍射度量目标,其包括具有由多重图案化工艺的第一图案化步骤产生的第一光栅间距的第一组结构和由所述多重图案化工艺的后续图案化步骤产生的第二组结构,所述第二组结构从所述第一组结构偏移达到所述第一光栅间距的一部分,由所述多重图案化工艺的所述第一图案化步骤与所述多重图案化工艺的所述后续图案化步骤产生的所述第一组结构和所述第二组结构包括至少两个不同的间距;以及
标称装置结构,其与所述衍射度量目标对应,所述标称装置结构包含具有由所述多重图案化工艺的所述第一图案化步骤产生的第三光栅间距的第三组结构和由所述后续图案化步骤产生的第四组结构,所述第四组结构从所述第一组结构偏移达到所述第三光栅间距的一部分,由所述多重图案化工艺的所述第一图案化步骤与所述多重图案化工艺的所述后续图案化步骤产生的所述第三组结构和所述第四组结构包括均匀间距。
7.根据权利要求6所述的半导体晶片,其中所述衍射度量目标位于所述半导体晶片的划线中。
8.根据权利要求6所述的半导体晶片,其中所述标称装置结构位于所述半导体晶片的裸片中。
9.根据权利要求6所述的半导体晶片,其中所述衍射度量目标与所对应的标称装置结构具有相同的叠加。
10.根据权利要求6所述的半导体晶片,其中所述衍射度量目标的叠加自所述标称装置结构的叠加偏移已知量。
11.一种度量方法,其包括:
以多个波长和方位角照明半导体晶片的表面上的测量位点,其中所述测量位点包含具有由多重图案化工艺产生的标称光栅间距的度量目标;
检测以不同于零衍射级的衍射级从所被照明的测量位点衍射的光量;
基于所检测到的光量确定指示由所述多重图案化工艺引入的几何误差的至少一个结构参数值,其中所述至少一个结构参数值的确定涉及确定所述多个波长中的每一者的不同方位角之间的光谱能量差;以及
将所述至少一个结构参数值存储在存储器中。
12.根据权利要求11所述的方法,其中所述至少一个结构参数值的所述确定涉及基于模型的测量。
13.根据权利要求11所述的方法,其中所述至少一个结构参数值的所述确定涉及直接分析。
14.根据权利要求11所述的方法,其中所述检测涉及多个波长、多个集光角度或者多个波长和多个集光角度的组合。
15.根据权利要求11所述的方法,其中所述照明涉及多个波长、多个入射角或者多个波长和多个入射角的组合。
16.根据权利要求11所述的方法,其中所述度量目标具有间距变动的标称光栅结构,且其中对应标称装置结构具有均匀间距的标称光栅结构。
17.根据权利要求11所述的方法,其中所述度量目标具有均匀间距的标称光栅结构,且其中与所述度量目标相关联的叠加自与对应标称装置结构相关联的叠加偏移已知量。
18.根据权利要求11所述的方法,其中所述度量目标的间距通过来自于对应标称装置结构的间距的已知量进行衡量。
CN201710997426.2A 2013-12-23 2014-12-23 多重图案化参数的测量 Active CN107741207B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361920462P 2013-12-23 2013-12-23
US61/920,462 2013-12-23
US14/574,021 US9490182B2 (en) 2013-12-23 2014-12-17 Measurement of multiple patterning parameters
US14/574,021 2014-12-17
CN201480070716.7A CN105849885B (zh) 2013-12-23 2014-12-23 多重图案化参数的测量

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201480070716.7A Division CN105849885B (zh) 2013-12-23 2014-12-23 多重图案化参数的测量

Publications (2)

Publication Number Publication Date
CN107741207A CN107741207A (zh) 2018-02-27
CN107741207B true CN107741207B (zh) 2020-08-07

Family

ID=53399655

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201480070716.7A Active CN105849885B (zh) 2013-12-23 2014-12-23 多重图案化参数的测量
CN201710997426.2A Active CN107741207B (zh) 2013-12-23 2014-12-23 多重图案化参数的测量

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201480070716.7A Active CN105849885B (zh) 2013-12-23 2014-12-23 多重图案化参数的测量

Country Status (7)

Country Link
US (3) US9490182B2 (zh)
EP (2) EP3709341A1 (zh)
KR (2) KR102415145B1 (zh)
CN (2) CN105849885B (zh)
IL (2) IL245962A0 (zh)
TW (2) TWI733150B (zh)
WO (1) WO2015100364A1 (zh)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
KR102246286B1 (ko) * 2013-12-30 2021-04-30 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
WO2016124345A1 (en) 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
TWI656409B (zh) * 2015-09-09 2019-04-11 美商克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
WO2017055086A1 (en) 2015-09-30 2017-04-06 Asml Netherlands B.V. Metrology method for process window definition
EP3371657B9 (de) 2015-11-05 2021-12-15 Carl Zeiss SMT GmbH Verfahren und vorrichtung zur charakterisierung eines durch wenigstens einen lithographieschritt strukturierten wafers
DE102015221772A1 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
EP3190464B1 (en) 2015-12-14 2021-08-11 IMEC vzw Method for inspecting a pattern of features on a semiconductor die
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
US10139358B2 (en) * 2016-01-11 2018-11-27 International Business Machines Corporation Method for characterization of a layered structure
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10354873B2 (en) 2016-06-08 2019-07-16 Tokyo Electron Limited Organic mandrel protection process
CN109478022B (zh) * 2016-06-08 2021-12-28 东京毅力科创株式会社 有机芯轴保护方法
CN107918691B (zh) * 2016-10-07 2023-09-29 福特全球技术公司 用于评估信号的方法和装置
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
JP6924261B2 (ja) * 2016-10-20 2021-08-25 ケーエルエー コーポレイション パターニングされたウェハの特性評価のためのハイブリッド計量
WO2018089190A1 (en) * 2016-11-09 2018-05-17 Kla-Tencor Corporation Target location in semiconductor manufacturing
EP3333631A1 (en) 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
US10861755B2 (en) 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
DE102017204719A1 (de) * 2017-03-21 2018-09-27 Carl Zeiss Smt Gmbh Metrologie-Target
WO2018172027A1 (en) * 2017-03-23 2018-09-27 Asml Netherlands B.V. Asymmetry monitoring of a structure
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11378451B2 (en) * 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US10147607B1 (en) 2017-08-24 2018-12-04 Micron Technology, Inc. Semiconductor pitch patterning
EP3451060A1 (en) * 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
EP3470926A1 (en) * 2017-10-16 2019-04-17 ASML Netherlands B.V. Metrology apparatus, lithographic system, and method of measuring a structure
CN111316173B (zh) * 2017-11-29 2024-02-20 科磊股份有限公司 使用装置检验系统的叠加误差的测量
US11156548B2 (en) * 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
US10895541B2 (en) * 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
DE102018201935B4 (de) * 2018-02-08 2022-12-15 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Strukturen auf einem Substrat für die Mikrolithographie
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
JP7345542B2 (ja) * 2018-07-30 2023-09-15 ケーエルエー コーポレイション デバイスオーバレイ誤差低減
CN109155235A (zh) * 2018-08-16 2019-01-04 长江存储科技有限责任公司 使用背面补偿结构的晶圆平整度控制
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
TWI814987B (zh) 2019-02-14 2023-09-11 美商科磊股份有限公司 用於使用誘發拓樸量測半導體裝置晶圓之錯位之系統及方法
EP3719545A1 (en) * 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
US11340060B2 (en) 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
US11003164B2 (en) * 2019-08-30 2021-05-11 Micron Technology, Inc. Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems
US11256177B2 (en) * 2019-09-11 2022-02-22 Kla Corporation Imaging overlay targets using Moiré elements and rotational symmetry arrangements
CN111043988B (zh) * 2019-12-10 2021-04-23 东南大学 一种基于图形学和深度学习的单张条纹投影测量方法
IL279727A (en) * 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets
CN111386441B (zh) * 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN111356896B (zh) 2020-02-24 2021-01-12 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
WO2021168611A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN111397542B (zh) * 2020-03-09 2021-07-06 天地科技股份有限公司 一种基于弱反射光栅的刮板输送机直线度监测系统与方法
CN111504210B (zh) * 2020-04-01 2021-07-20 武汉大学 一种用于节距移动的测量基底及其制备方法、测量方法
WO2022005916A1 (en) * 2020-06-29 2022-01-06 Applied Materials, Inc. Film thickness estimation from machine learning based processing of substrate images
WO2022009204A1 (en) * 2020-07-06 2022-01-13 Nova Ltd. System and method for controlling measurements of sample's parameters
KR20220005913A (ko) * 2020-07-07 2022-01-14 삼성전자주식회사 퓨필 이미지 기반 패턴 균일도 측정 장치와 방법, 및 그 측정 방법을 이용한 마스크 제조방법
KR20230133909A (ko) * 2021-01-28 2023-09-19 노바 엘티디. 시간 도메인 광학 계측 및 반도체 디바이스 검사
US20220290974A1 (en) * 2021-03-11 2022-09-15 Applied Materials Israel Ltd. Optical metrology models for in-line film thickness measurements
US11967535B2 (en) * 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
US20230384237A1 (en) * 2022-05-31 2023-11-30 Kla Corporation Universal metrology model
IL293633B1 (en) * 2022-06-06 2024-02-01 Nova Ltd A system and method for building a library and using it in measurements on designed buildings
CN115876097A (zh) * 2023-03-03 2023-03-31 广州粤芯半导体技术有限公司 光学关键尺寸数据库的建立方法、光学测量结构及其方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101401080A (zh) * 2006-03-08 2009-04-01 东京毅力科创株式会社 在光学计量中增强测量衍射信号的权重函数
CN101495833A (zh) * 2006-07-28 2009-07-29 卡尔蔡司Smt股份公司 确定光学表面的实际形状偏离理想形状的偏差的方法和装置
CN101855715A (zh) * 2007-11-07 2010-10-06 东京毅力科创株式会社 用于获得等密度偏差并控制制造处理的方法和设备
CN103398666A (zh) * 2013-05-27 2013-11-20 电子科技大学 一种用于双层周期性微结构的层间错位测试方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US7570427B2 (en) * 2002-06-28 2009-08-04 Technion Research & Development Foundation Ltd. Geometrical phase optical elements with space-variant subwavelength gratings
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
EP1709490B1 (en) * 2003-12-19 2010-08-04 International Business Machines Corporation Differential critical dimension and overlay metrology
JP4401814B2 (ja) * 2004-02-25 2010-01-20 株式会社日立ハイテクノロジーズ 測長用標準部材及び電子ビーム測長装置
US7298496B2 (en) * 2004-05-21 2007-11-20 Zetetic Institute Apparatus and methods for overlay, alignment mark, and critical dimension metrologies based on optical interferometry
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7557921B1 (en) 2005-01-14 2009-07-07 Kla-Tencor Technologies Corporation Apparatus and methods for optically monitoring the fidelity of patterns produced by photolitographic tools
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4708856B2 (ja) * 2005-05-16 2011-06-22 株式会社日立ハイテクノロジーズ 電子ビーム校正方法及び電子ビーム装置
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
WO2008022099A2 (en) * 2006-08-15 2008-02-21 Api Nanofabrication And Research Corp. Polarizer films and methods of making the same
US8032349B2 (en) 2007-01-25 2011-10-04 International Business Machines Corporation Efficient methodology for the accurate generation of customized compact model parameters from electrical test data
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI416096B (zh) 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
US7940386B1 (en) 2007-07-13 2011-05-10 Kla-Tencor Corporation Scatterometry target employing non-periodic defect features to enhance or optimize target sensitivity to a parameter of interest
US7729873B2 (en) * 2007-08-28 2010-06-01 Tokyo Electron Limited Determining profile parameters of a structure using approximation and fine diffraction models in optical metrology
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
KR101504504B1 (ko) 2008-05-21 2015-03-20 케이엘에이-텐코어 코오포레이션 툴 및 프로세스 효과들을 분리하기 위한 기판 매트릭스
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
CN102112898A (zh) * 2008-08-07 2011-06-29 旭硝子株式会社 衍射光栅、像差校正元件及光学头装置
US8197996B2 (en) 2008-09-19 2012-06-12 Tokyo Electron Limited Dual tone development processes
US8129080B2 (en) 2008-09-19 2012-03-06 Tokyo Electron Limited Variable resist protecting groups
US8024676B2 (en) 2009-02-13 2011-09-20 Tokyo Electron Limited Multi-pitch scatterometry targets
KR101134810B1 (ko) * 2009-03-03 2012-04-13 엘지이노텍 주식회사 발광소자 및 그 제조방법
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
JP5821100B2 (ja) 2010-12-17 2015-11-24 カール ツァイス エスエムエス ゲーエムベーハー フォトリソグラフィマスクによって処理されるウェーハ上の誤差を補正する方法及び装置
RU2540416C2 (ru) * 2011-05-17 2015-02-10 Хонда Мотор Ко., Лтд. Инверторный генератор
US9046475B2 (en) * 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US9709903B2 (en) 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
US8736084B2 (en) * 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US9127927B2 (en) * 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
US8418105B1 (en) 2012-01-12 2013-04-09 GlobalFoundries, Inc. Methods for pattern matching in a double patterning technology-compliant physical design flow
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
NL2010458A (en) * 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9576861B2 (en) * 2012-11-20 2017-02-21 Kla-Tencor Corporation Method and system for universal target based inspection and metrology
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
WO2015080858A1 (en) * 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101401080A (zh) * 2006-03-08 2009-04-01 东京毅力科创株式会社 在光学计量中增强测量衍射信号的权重函数
CN101495833A (zh) * 2006-07-28 2009-07-29 卡尔蔡司Smt股份公司 确定光学表面的实际形状偏离理想形状的偏差的方法和装置
CN101855715A (zh) * 2007-11-07 2010-10-06 东京毅力科创株式会社 用于获得等密度偏差并控制制造处理的方法和设备
CN103398666A (zh) * 2013-05-27 2013-11-20 电子科技大学 一种用于双层周期性微结构的层间错位测试方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Wafer scale submicron optical grating for the picometre measurement of aberrations and stitching errors in step and repeat cameras;Y. Jourlin等;《Microelectronic Engineering》;20020731;第61-62卷;第1101-1106页 *
用衍射光栅进行精密位移检测;森山茂夫等;《国外计量》;19841231(第6期);第11-13、26页 *
非对称双光栅位移测量研究;吕海宝等;《兵工学报》;20001231(第4期);第331-333页 *

Also Published As

Publication number Publication date
EP3709341A1 (en) 2020-09-16
CN105849885B (zh) 2017-11-17
US20180051984A1 (en) 2018-02-22
KR102579585B1 (ko) 2023-09-15
KR102415145B1 (ko) 2022-06-29
TW201940833A (zh) 2019-10-16
CN105849885A (zh) 2016-08-10
US9816810B2 (en) 2017-11-14
US20170003123A1 (en) 2017-01-05
EP3087594A1 (en) 2016-11-02
TWI675179B (zh) 2019-10-21
IL264500B (en) 2021-02-28
US9490182B2 (en) 2016-11-08
KR20160101189A (ko) 2016-08-24
EP3087594B1 (en) 2020-04-29
US10612916B2 (en) 2020-04-07
KR20220097543A (ko) 2022-07-07
EP3087594A4 (en) 2017-10-18
TW201531662A (zh) 2015-08-16
TWI733150B (zh) 2021-07-11
IL264500A (en) 2019-02-28
IL245962A0 (en) 2016-07-31
CN107741207A (zh) 2018-02-27
WO2015100364A1 (en) 2015-07-02
US20150176985A1 (en) 2015-06-25

Similar Documents

Publication Publication Date Title
CN107741207B (zh) 多重图案化参数的测量
CN107408519B (zh) 基于模型的单个参数测量的系统和方法
KR102245698B1 (ko) 다중 패턴화 프로세스의 계측
TWI631476B (zh) 用於裝置上之量測之方法及系統
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
US9255877B2 (en) Metrology system optimization for parameter tracking
KR102137848B1 (ko) 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화
KR20180123162A (ko) 다중 처리 단계로부터의 정보로 반도체 계측
EP3295478A1 (en) Model-based hot spot monitoring
TW201708810A (zh) 自動計量系統之選擇

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant