KR20140148052A - 기판 지지 장치 및 이를 구비하는 기판 처리 장치 - Google Patents

기판 지지 장치 및 이를 구비하는 기판 처리 장치 Download PDF

Info

Publication number
KR20140148052A
KR20140148052A KR20130071452A KR20130071452A KR20140148052A KR 20140148052 A KR20140148052 A KR 20140148052A KR 20130071452 A KR20130071452 A KR 20130071452A KR 20130071452 A KR20130071452 A KR 20130071452A KR 20140148052 A KR20140148052 A KR 20140148052A
Authority
KR
South Korea
Prior art keywords
substrate
ground electrode
substrate support
ground
electrode
Prior art date
Application number
KR20130071452A
Other languages
English (en)
Other versions
KR102038647B1 (ko
Inventor
박용균
서태욱
이내일
Original Assignee
주식회사 원익아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 원익아이피에스 filed Critical 주식회사 원익아이피에스
Priority to KR1020130071452A priority Critical patent/KR102038647B1/ko
Priority to CN201410274021.2A priority patent/CN104241073B/zh
Priority to JP2014126443A priority patent/JP5979182B2/ja
Priority to TW103121392A priority patent/TWI540673B/zh
Priority to US14/311,207 priority patent/US20140373782A1/en
Publication of KR20140148052A publication Critical patent/KR20140148052A/ko
Application granted granted Critical
Publication of KR102038647B1 publication Critical patent/KR102038647B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 처리 공간을 형성하는 챔버, 상기 챔버의 내부에 배치되며 기판이 지지되는 기판 지지대, 및 상기 기판 지지대와 대향하여 배치되고 RF 전원이 인가되는 상부 전극을 포함하고, 상기 상부 전극과 상기 기판 지지대 사이에 형성되는 플라즈마가 상기 기판 지지대의 가장자리 영역까지 균일하게 형성되도록, 상기 기판 지지대는 내부에 서로 이격되고 개별적으로 제어되는 복수의 접지 전극을 구비하는 기판 처리 장치에 관한 것이다. 또한, 본 발명은 기판과 기판 주변부에서 플라즈마 분포 혹은 밀도를 균일하게 제어할 수 있고, 기판의 중심 영역과 가장자리 영역에서 플라즈마 분포 혹은 밀도를 균일하게 제어할 수 있다.

Description

기판 지지 장치 및 이를 구비하는 기판 처리 장치{Substrate support apparatus and substrate process apparatus having the same}
본 발명은 기판 지지 장치 및 이를 구비하는 기판 처리 장치에 관한 것으로서, 상세하게는 플라즈마 분포를 조절할 수 있는 기판 지지 장치 및 이를 구비하는 기판 처리 장치에 관한 것이다.
반도체 메모리 등 각종 전자 소자는 다양한 박막이 적층되어 제조된다. 즉, 기판상에 각종 박막을 형성하며, 이처럼 형성된 박막을 사진-식각 공정을 사용하여 패터닝하여 소자 구조를 형성하게 된다.
박막은 재료에 따라 도전막, 유전체막, 절연막 등 있으며, 박막을 제조하는 방법 또한 매우 다양하다. 박막을 제조하는 방법으로는 크게 물리적 방법 및 화학적 방법 등이 있다. 최근에는 효율적인 박막 제조를 위하여 제조 공정 중에 플라즈마를 활용하고 있다. 플라즈마를 활용하여 기판에 박막을 제조하는 경우, 박막 제조 온도를 낮추고 박막 증착 속도를 증가시킬 수 있다.
그러나, 플라즈마를 활용하는 경우, 공정이 진행되는 챔버 내에서 플라즈마를 원하는 상태로 제어하기 어렵다는 문제가 야기된다.
예를 들면, 기판이 지지되는 기판 지지대와 이와 대향하는 상부 전극이 구비되는 공정 챔버 내부에서 박막을 제조하는 경우, 상부 전극에는 고주파 전원 예컨대, RF(radio frequency) 전원이 인가되고 기판 지지대에 구비된 접지 전극은 접지된다. 이에, 상부 전극과 기판 지지대 사이에 플라즈마가 형성되고, 이를 활용하여 기판에 박막을 형성하게 된다. 그러나, 이때 생성되는 플라즈마는 기판 혹은 기판 지지대의 중심 영역 및 가장자리 영역에서 그 분포 혹은 상태에 차이를 보이게 되는 문제가 있다. 또한, 이처럼 플라즈마 분포 혹은 상태가 영역에 따라 다르게 되면, 기판상에 박막을 균일한 두께로 제조하기 어렵게 된다.
이에, 기판상에 박막을 균일하게 제조하기 위하여 가스 분사기의 구조, 가스 분사 방식 등을 조절하는 기술들이 제안되고 있으나, 이들은 비용과 시간을 과다하게 소모하는 문제가 있다.
본 발명은 기판 및 기판 주변부에서 플라즈마 분포를 균일하게 제어할 수 있는 기판 지지 장치 및 기판 처리 장치를 제공한다.
본 발명은 기판상에 박막을 균일한 두께로 제조할 수 있는 기판 지지 장치 및 기판 처리 장치를 제공한다.
본 발명의 일 실시 형태에 따른 기판 지지 장치는 기판이 지지되는 장치로서, 가장자리 영역에 돌출된 단턱부를 가지며, 상기 기판이 안착되는 기판 지지대; 상기 기판 지지대 내부의 중심 영역에 설치되는 제1 접지 전극; 상기 제1 접지 전극과 이격되고, 상기 기판 지지대 내부의 가장자리 영역에 설치되는 제2 접지 전극; 및 상기 제1 접지 전극 및 제2 접지 전극을 독립적으로 제어하는 제어부; 를 포함한다.
여기서, 기판 지지대는 절연체 재질을 포함할 수 있으며, 기판 지지대는 상기 제1 접지 전극 및 제2 접지 전극 중 적어도 어느 하나의 하측에 발열체를 구비할 수 있다.
상기 제1 접지 전극의 크기는 상기 기판보다 작고, 상기 제2 접지 전극의 내경이 상기 기판보다 크게 형성될 수 있고, 상기 제1 접지 전극의 외주 면에는 제1 굴곡부가 형성되고, 상기 제2 접지 전극의 내주 면에는 상기 제1 굴곡부에 대응하는 제2 굴곡부가 형성될 수도 있다. 굴곡부가 형성되는 경우, 상기 제1 굴곡부의 적어도 일부는 상기 기판의 외측으로 돌출되고, 상기 제2 굴곡부의 적어도 일부는 상기 기판의 내측으로 돌출될 수 있다.
또한, 상기 제1 접지 전극 보다 상기 제2 접지 전극이 더 높은 위치에 배치될 수 있으며, 상기 제2 접지 전극은 상기 단턱부 영역 즉, 단턱부의 하부에 배치될 수 있다.
본 발명의 실시 형태에 따른 기판 지지 장치는 처리 공간을 형성하는 챔버; 상기 챔버의 내부에 배치되며 기판이 지지되는 기판 지지대; 및 상기 기판 지지대와 대향하여 배치되고 RF 전원이 인가되는 상부 전극;을 포함하고, 상기 상부 전극과 상기 기판 지지대 사이에 형성되는 플라즈마가 상기 기판 지지대의 가장자리 영역까지 균일하게 형성되도록, 상기 기판 지지대는 내부에 서로 이격되고 개별적으로 제어되는 복수의 접지 전극을 구비한다.
여기서, 복수의 접지 전극은, 상기 기판에 대응하는 형상의 제1 접지 전극 및 상기 제1 접지 전극의 외측에 배치되는 제2 접지 전극을 포함할 수 있고, 상기 제1 접지 전극의 크기는 상기 기판보다 작고, 상기 제2 접지 전극은 상기 기판의 외측에 배치될 수 있다.
또한, 복수의 접지 전극은, 외주 면에 적어도 일부가 상기 기판의 외측으로 돌출되는 제1 굴곡부가 형성된 제1 접지 전극 및 상기 제1 접지 전극의 외측에 설치되고 상기 제1 굴곡부에 대응하는 제2 굴곡부가 형성된 내주 면을 가지는 제2 접지 전극을 포함할 수 있다.
또한, 기판 처리 장치는 상기 복수의 접지 전극에 형성되는 임피던스를 각각 조절할 수 있는 제어부를 포함할 수 있고, 이때, 제어부는 가변 콘덴서, 가변 코일 및 가변 저항체 중 적어도 어느 하나를 포함할 수 있다. 상기 제어부는 상기 복수의 접지 전극에 서로 다른 임피던스가 형성되도록 할 수 있다.
또한, 기판 지지대는 절연체를 포함하고, 상기 접지 전극은 상기 절연체 내부에 막 형태로 형성될 수도 있다.
본 발명의 실시 형태에 따르면, 기판과 기판 주변부에서 플라즈마 분포 혹은 밀도를 균일하게 제어할 수 있고, 기판의 중심 영역과 가장자리 영역에서 플라즈마 분포 혹은 밀도를 균일하게 제어할 수 있다. 또한, 기판의 중심 영역과 가장자리 영역의 상측에 형성되는 플라즈마 상태를 각 영역에서 동일하거나 유사하게 제어할 수 있다.
이처럼, 플라즈마 분포, 밀도 등을 제어하여, 기판에 형성되는 박막의 두께를 가장자리 영역까지 균일하게 제조할 수 있고, 가장자리 영역에 제조되는 박막과 중심 영역에 제조되는 박막의 특성도 동일하거나 유사하게 제어할 수 있다. 이로부터 기판에 형성되는 박막의 품질을 향상시킬 수 있다.
또한, 본 발명의 실시 형태에 따르면, 어려운 구조 변경이나 복잡한 공정 제어 없이 단순한 구조로 챔버 내에 형성되는 플라즈마 상태를 용이하게 제어할 수 있다.
이에, 박막 제조 공정을 단순한 과정으로 효율적으로 수행할 수 있고, 저비용으로 생산성을 향상시킬 수 있다.
도 1은 본 발명의 실시예에 따른 기판 처리 장치의 구성을 개략적으로 보여주는 단면도.
도 2는 본 발명의 실시 예에 따른 기판 지지 장치의 구성을 개략적으로 보여주는 단면도.
도 3은 본 발명의 실시 예에 따른 기판 지지 장치의 평면도.
도 3은 본 발명의 변형 예에 따른 기판 지지 장치의 평면도.
도 5는 본 발명의 실시 예의 기판 처리 장치에서 플라즈마 생성을 표시하는 개념도.
이하, 첨부된 도면을 참조하여 본 발명의 실시 예를 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시 예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면에서 각 구성요소를 명확하게 표현하기 위하여 두께를 과장하거나 확대하여 표현하였으며, 도면상에서 동일 부호는 동일한 요소를 지칭한다.
도 1은 본 발명의 실시 예에 따른 기판 처리 장치의 구성을 개략적으로 보여주는 단면도이고, 도 2는 본 발명의 실시 예에 따른 기판 지지 장치의 구성을 개략적으로 보여주는 단면도이다.
도 1을 참조하면, 본 발명의 실시 예에 따른 기판 처리 장치는 처리 공간을 형성하는 챔버(10), 챔버의 내부에 배치되며 기판(S)이 지지되는 기판 지지대(20), 및 기판 지지대(20)와 대향하여 배치되고 RF 전원이 인가되는 상부 전극(80)을 포함하고, 상부 전극(80)과 기판 지지대(20) 사이에 형성되는 플라즈마가 기판 지지대(20)의 가장자리 영역까지 균일하게 형성되도록, 기판 지지대(20)는 내부에 서로 이격되고 개별적으로 제어되는 복수의 접지 전극(31,32)을 구비한다. 또한, 기판 처리 장치는 기판지지대(20)를 받치고 이를 이동시키는 회전축 및 챔버 내의 진공 분위기를 형성하는 진공 형성부(70)를 포함한다. 또한, 상기의 상부 전극(80)은 챔버(10)에 가스를 공급하는 가스분사기의 역할도 수행할 수 있다.
이러한, 기판 처리 장치는 챔버(10) 내에 기판(S)을 로딩시킨 후, 기판(S)상에 각종 처리를 행하는 장치로 예컨대 챔버(10) 내에서 반도체 소자를 제조하기 위해서 웨이퍼를 로딩하고, 가스분사기로 공정 가스를 공급하여, 웨이퍼상에 박막을 제조할 수 있다.
챔버(10: 11, 12)는 상부가 개방된 본체(11)와, 본체(11)의 상부에 개폐 가능하게 설치되는 탑리드(12)를 구비한다. 탑리드(12)가 본체(11)의 상부에 결합되어 본체(11) 내부를 폐쇄하면, 챔버(10)의 내부에는 예컨대 증착 공정 등 기판(W)에 대한 처리가 행해지는 공간이 형성된다. 공간은 일반적으로 진공 분위기로 형성되므로, 챔버(10)의 소정 위치 예컨대 챔버(10)의 바닥면이나 측면에는 공간에 존재하는 가스의 배출을 위한 배기관이(71)이 연결되어 있고, 배기관(71)은 진공 펌프(72)에 연결된다. 또한, 본체(11)의 바닥면에는 후술할 기판지지대(30)의 회전축(50)이 삽입되는 관통공이 형성되어 있다. 본체(11)의 측벽에는 기판(S)을 챔버(10) 내부로 반입하거나, 외부로 반출하기 위한 게이트 밸브(미도시)가 형성되어 있다.
기판 지지대(20)는 기판(S)을 지지하기 위한 구성으로서 챔버(10) 내부의 하측에 설치된다. 또한 기판 지지대(20)의 가장자리 영역에는 상부 방향으로 돌출된 단턱부(21)가 형성될 수 있다. 기판 지지대(20)는 회전축(50) 상에 설치된다. 기판 지지대(20)는 소정 두께를 가지는 플레이트형으로, 기판(S)의 형상과 유사한 형상을 가지며, 예컨대 기판이 원형 웨이퍼라면, 원판 형상으로 제작될 수 있다. 물론, 이에 한정되지 않고 다양한 형상으로 변경 가능하다. 기판 지지대(20)는 챔버(10) 내부에 수평방향으로 구비되고, 회전축(50)은 기판 지지대(20)의 저면에 수직으로 연결된다. 회전축(50)은 관통공을 통하여 외부의 모터 등의 구동수단(미도시)에 연결되어 기판 지지대(20)를 상승, 하강 및 회전시킨다. 이때, 회전축(40)과 관통공 사이는 벨로우즈(미도시) 등을 이용하여 밀폐시킴으로써 기판을 처리하는 과정에서 챔버(10) 내부의 진공이 해제되는 것을 방지한다.
기판 지지대(20)는 기판을 안착시켜 지지할 수 있는 형태라면 특별히 그 형태나 구조가 한정되지 않는다. 이때, 기판(S)이 안정적으로 정확한 위치에 안착될 수 있도록 기판 지지대(20)의 중심을 포함하는 영역을 오목하게 오목홈으로 형성할 수 있다. 즉, 도 2에 예시되었듯이, 기판 지지대(20)의 중심을 포함하고 기판(S)의 크기와 같거나 다소 큰 영역을 오목하게 형성하고, 그 외 영역 즉 가장자리 영역에 돌출된 단턱부(21)을 형성할 수 있다. 이때 단턱부(21)는 오목홈 방향으로 하향 경사진 경사면을 구비할 수 있다. 이로부터 챔버(10)로 인입되는 기판(S)는 단턱부(21)로 둘러싸인 오목홈 내측으로 유도되어 기판 지지대(20)의 중심에 맞추어 센터링되면서, 정확한 위치에 안착될 수 있다.
또한, 기판 지지대(20)는 절연체 재질을 포함할 수 있다. 즉, 기판 지지대(20) 전체가 절연체로 제작될 수도 있고 그 일부가 절연체로 제작될 수도 있으며, 절연체층이 기판 지지대(20)의 표면에 코팅되어 형성될 수도 있다. 이때, 절연체로는 여러 가지 세라믹 재료가 사용될 수 있고, 예를들면, 질화 알루미늄(AIN), 탄화 실리콘(SiC) 등이 사용될 수 있다.
또한, 기판 지지대(20)의 내부에는 이를 가열시키기 위한 발열체(40)가 구비될 수 있고, 발열체(40)는 도선(41)을 통하여 외부의 전원과 연결된다. 발열체(40)에 전원이 인가되면 기판 지지대(20)가 가열되며, 이로부터 기판 지지대(20) 상부에 안착되는 기판(S)을 가열할 수 있게 된다. 발열체(40)는 여러 가지 방식 및 구조로 설치될 수 있고, 특별히 한정되지 않는다. 이러한 발열체(40)로는 텅스텐(W), 몰리브덴 (Mo) 등이 사용될 수 있다. 또한, 발열체(40)는 후술하는 접지전극의 하부에 위치할 수 있다. 복수의 접지 전극들 중 적어도 어느 하나의 하측에 구비될 수 있다. 예를 들면, 제1 접지 전극(31) 및 제2 접지 전극(32) 중 적어도 어느 하나의 하측에 발열체를 설치할 수 있다. 물론, 제1 접지 전극(31) 전체와 제2 접지 전극(32)의 일부에 대응하는 영역에 발열체를 설치할 수도 있다.
또한, 기판 지지대(20)의 내부에는 내부에 서로 이격되고 개별적으로 제어되는 복수의 접지 전극이 구비된다. 이와 관련해서는 후술한다.
상부전극(80)은 챔버(10) 내부에서 기판 지지대(20)와 마주보고 이격하여 배치되며 외부의 전원(90)과 연결된다. 상부전극(80)에는 RF(Radio Frequency) 전력을 인가하고 기판 지지대는 접지시켜, 챔버(10) 내의 증착 공간인 반응 공간에 RF를 이용하여 플라즈마를 여기 시키게 된다. 이때, 기판 지지대는 후술하는 접지 전극을 통하여 접지된다. 또한 상부전극(80)은 챔버(10) 내부에 가스를 공급하는 가스 분사기의 역할을 수행할 수 있다. 즉, 상부전극(80)을 통하여 외부에 공급되는 각종 처리 가스를 기판 지지대(20) 측으로 분사할 수 있다. 예컨대, 박막 증착을 위한 공정가스를 분사할 수 있다. 상부전극(80)은 챔버(10)를 형성하는 탑리드(12)에 설치될 수 있고, 서로 다른 종류의 가스를 공급하는 복수의 가스 공급원과 연결될 수 있다. 상부전극(80)은 기판 지지대(20)와 대향하고 이와 유사한 소정 면적을 가지고, 복수의 분사홀을 구비하는 샤워헤드 타입으로 제조될 수도 있다. 물론 챔버(10)에 가스를 공급하는 수단은 상부전극(80)과 별도로 챔버(10) 내에 삽입되는 노즐이나 인젝터 타입으로 제조될 수도 있다. 노즐이나 인젝터 타입의 경우 챔버(10) 측벽을 관통하여 설치될 수도 있다.
하기에서는 접지 전극 및 이를 구비하는 기판 지지 장치를 도면을 참조하여 상세히 설명한다. 도 2는 본 발명의 실시 예에 따른 기판 지지 장치의 구성을 개략적으로 보여주는 단면도이고, 도 3은 본 발명의 실시 예에 따른 기판 지지 장치의 평면도이며, 도 4는 본 발명의 변형 예에 따른 기판 지지 장치의 평면도이다.
도 2를 참조하면, 기판 지지 장치는 가장자리 영역에 돌출된 단턱부(21)를 가지며, 기판(S)이 안착되는 기판 지지대(20), 기판 지지대(20) 내부의 중심 영역에 설치되는 제1 접지 전극(31), 제1 접지 전극(31)과 이격되고 기판 지지대(20) 내부의 가장자리 영역에 설치되는 제2 접지 전극(32) 및 제1 접지 전극(31) 및 제2 접지 전극(32)을 독립적으로 제어하는 제어부(60)을 포함한다. 기판 지지 장치는 상술된 상부전극(80)과의 사이에 플라즈마를 형성하기 위하여, 특히, 상부전극(80)과 기판 지지대(20) 사이에 형성되는 플라즈마가 기판 지지대(20)의 가장자리 영역까지 균일하게 형성되도록, 기판 지지대(20) 내에 복수의 접지 전극을 구비한다.
여기서, 어떤 대상물(예를 들면 기판 혹은 기판 지지대)의 중심 영역은 그 대상물의 중심을 포함하고 외측 방향으로 확장되어 소정 면적을 가지는 영역이며, 가장자리 영역은 그 대상물의 가장자리(에지, edge)를 포함하고 내측 방향으로 확장되어 소정 면적을 가지는 영역이다. 또한, 중심 영역과 가장자리 영역은 경계면을 가지고 만날 수도 있고, 상호 이격되어 분리되는 영역일 수도 있다. 이때, 각 영역의 면적은 특별히 한정되지 않으나, 중심 영역의 면적이 가장자리 영역의 면적과 같거나 그보다 클 수 있다.
접지 전극(30: 31, 32)은 기판(S)에 대응하는 형상의 제1 접지 전극(31) 및 제1 접지 전극(31)의 외측에 배치되는 제2 접지 전극(32)을 포함한다. 또한, 접지 전극(30)은 얇은 플레이트, 얇은 시트 혹은 막(박막 혹은 후막)으로 제조될 수 있다. 또한, 여러 가지 방식으로 코팅되어 형성될 수 있다. 예를 들면, 스크린 프린팅 방법으로 기판 지지대(20)의 내부 면에 형성될 수 있다. 접지 전극(30)은 소정 면적이 채워진 구조로 제조될 수도 있고, 복수의 개구가 형성된 메쉬 구조로 형성될 수도 있다. 또한, 접지 전극(30)은 금속을 포함하는 전기 도전성 재질로 형성되며, 예를 들면 텅스텐, 알루미늄, 몰리브덴, 구리, 써스, 은, 금, 백금, 니켈, 등이 사용될 수 있다. 물론 접지 전극을 접지 전력이 원활하게 인가되면 충분하고, 그 형상이나 구조, 재질 등은 특별히 한정되지 않는다.
제1 접지 전극(31)은 수평 방향으로 소정 면적을 가지며, 기판 지지대(20) 내부에서 기판 지지대(20)의 중심을 포함하며 기판(S)이 차지하는 면적의 대부분을 커버하는 정도의 영역에 매설된다. 제1 접지 전극(31)은 기판(S)에 대응하는 형상으로 형성될 수 있다. 예를 들어, 기판(S)이 원판형의 웨이퍼라면, 제1 접지 전극(31)도 원판형의 형상을 가질 수 있다. 물론 기본 구조를 원판형으로 하면서 변형된 형상일 수도 있다.
제2 접지 전극(32)은 제1 접지 전극(31)과 별로도 이와 접촉되지 않도록 이격되어 기판 지지대(20) 내부에 위치한다. 이때, 이격 간격이 특별히 한정되지는 않으며, 각 접지 전극의 전기적 특성이 개별적으로 제어될 수 있는 정도이면 된다. 제1 접지 전극(31)의 외측에 배치되고 제1 접지 전극(31)을 둘러싸도록 배치될 수 있다. 예를 들어, 도 3에 표시하였듯이, 제1 접지 전극(31)이 원판형인 경우, 제2 접지 전극(32)은 이를 둘러싸는 링 형상을 가질 수 있다.
제1 접지 전극(31)과 제2 접지 전극(32)의 크기, 형상 혹은 배치 구조는 다양하게 변경될 수 있다. 도 3에 표시하였듯이, 제1 접지 전극(31)의 크기는 기판(S) 보다 작고, 제2 접지 전극(32)의 내경은 기판(S) 보다 클 수 있다. 이 경우, 기판(S)의 가장자리 영역은 제1 접지 전극(31)과 제2 접지 전극(32) 사이의 경계 영역의 상부에 위치하게 된다. 또한, 도 4에 표시하였듯이, 제1 접지 전극(31)의 외주 면에는 제1 굴곡부가 형성되고, 제2 접지 전극(32)의 내주 면에는 제1 굴곡부에 대응하는 제2 굴곡부가 형성될 수 있다. 또한 제1 굴곡부의 적어도 일부는 기판(S)의 외측으로 돌출되고, 제2 굴곡부의 적어도 일부는 기판(S)의 내측으로 돌출될 수 있다. 즉, 제1 접지 전극(31)과 제2 접지 전극(32)의 경계영역에서 올록볼록한 굴곡부가 형성되고, 기판(S)의 일부 영역, 정확하게는 가장자리의 일부 영역은 제2 접지 전극(32)의 상부에 위치하고(A1), 기판(S)의 가장자리의 다른 일부 영역은 제1 접지 전극(31)의 상부에 위치하고(A2), 기판(S)의 가장자리의 또 다른 일부 영역은 제1 접지 전극(31)과 제2 접지 전극(32) 사이의 경계 영역의 상부에 위치하게 된다(A3). 이처럼 접지 전극들에 굴곡부들을 형성하면, 접지 전극들 사이의 경계 영역에서 각 접지 전극들의 면적을 확장시킬 수 있고, 경계 영역에서의 급격한 변화를 완화시킬 수 있다.
도 2에서는 제1 접지 전극(31)과 제2 접지 전극(32)을 동일 높이에 설치하였으나, 이들의 높이는 다양하게 변경될 수 있다. 즉, 제1 접지 전극(31) 보다 제2 접지 전극(32)이 더 높은 위치에 배치될 수 있고, 제2 접지 전극(32)은 단턱부(21)에 배치될 수 있다. 제1 접지 전극(31) 및 제2 접지 전극(32)의 높이를 제어하여, 이들의 상부에 형성되는 플라즈마 분포를 보다 정밀하게 제어할 수 있다.
한편, 제1, 2 접지 전극(31, 32)은 이들을 독립적으로 제어하는 제어부(60)와 연결된다. 제어부(60)는 하나의 제어기를 통하여 접지 전극들(31, 32) 개별적으로 제어할 수도 있고, 접지 전극별로 각각 제어기(61, 62)를 연결하고 각 접지 전극을 각기 제어할 수도 있다. 접지 전극들(31, 32)과 제어부(60) 사이는 도선(33, 34)에 의하여 연결되고, 제어부(60)는 그라운드와 연결된다. 이로부터 복수의 접지 전극 즉, 제1, 2 접지 전극(31, 32)에 형성되는 임피던스를 각각 조절할 수 있다. 즉, 제1 접지 전극(31)에 걸리는 임피던스와 제2 접지 전극(32)에 걸리는 임피던스가 서로 다른 값을 가지도록 제어할 수 있다. 이처럼 제1, 2 접지 전극(31, 32)의 임피던스를 다르게 조절하여 이들의 상부에 형성되는 플라즈마 분포 혹은 밀도를 제어할 수 있다. 이때, 제어부는 각종 가변소자를 포함할 수 있다. 즉, 가변 콘덴서, 가변 코일 및 가변 저항체 중 적어도 어느 하나를 포함할 수 있으며, 접지 전극들(31, 32)들의 임피던스는 이들 중 적어도 어느 하나를 가변시켜 제어할 수 있다.
하기에서는 도면을 참조하여, 플라즈마 형성을 설명한다. 도 5는 본 발명의 실시 예의 기판 처리 장치에서 플라즈마 생성을 표시하는 개념도이다.
일반적으로, 챔버 내에서 처리 가스가 플라즈마화 되면, 기판의 표면과 플라즈마와의 경계에는 양이온종에 비하여 전자의 이동 속도가 큰 것에 의하여 고밀도인 양이온종을 포함하는 이온 시스 영역(플라즈마 시스 영역)이 형성된다. 또한 기판 지지대의 표면과 플라즈마와의 경계에도 마찬가지로 이온 시스 영역이 형성되는데, 기판 지지대는 절연체로 형성되어 있기 때문에 기판측 보다 두께가 큰 이온 시스 영역이 형성된다. 이에 기판의 표면과 기판 주변부의 기판 지지대 표면에 형성되는 이온 시스 영역의 두께가 다르게 된다. 또한 기판의 가장자리 영역은 기판 상에 존재하는 이온 시스 영역과 기판 지지대의 표면에 존재하는 이온 시스 영역의 두께 차이로 인하여, 플라즈마 밀도가 급격하게 변화되는 구간이 된다. 이로부터 기판의 가장자리 영역에서의 플라즈마 분포가 불균일하게 됨에 의하여, 기판상에 수행되는 박막 증착 등의 공정이 균일하게 이루어지지 못한다. 이를 해결하기 위해, 박막 증착에 영향을 미치는 변수를 공정 과정(레시피) 조절에 의하여 관리하고 변경할 수 있지만, 기판 가장자리 영역에 존재하는 플라즈마의 급격한 밀도 변화는 관리할 수 없는 요인이었다.
반면, 본 발명의 실시 예에서는 기판 지지대(20) 내부에 복수의 접지 전극(31, 32)을 형성하여, 기판 지지대의 가장자리 영역의 임피던스를 독립적으로 조절할 수 있는 기능을 부여하였다. 이로부터 기판 표면 상부의 이온 시스 영역과 기판 지지대의 표면 상부의 이온 시스 영역의 두께 차이를 줄일 수 있고(S1 --> S2), 플라즈마의 분포영역을 확장할 수 있게 된다. 예를들면, 이는 가변소자를 자동 제어(Automatically Control)하여 챔버 내의 임피던스 성분에서 허수영역의 값인 유도성 리엑턴스 XL성분과 용량성 리엑턴스 Xc 성분을 그리고 필요에 따라 유효(Real) 영역의 값인 저항 R을 제어하는 방식으로 해당 영역의 임피던스 Z를 변화시켜 플라즈마의 분포 영역을 제어 하는 방식이다. 즉, 기판 내측 상부에서의 플라즈마 분포(밀도)와 기판 가장자리 영역 상부 및 기판 지지대 상부의 플라즈마 분포(밀도)를 유사하게 제어할 수 있게 된다. 기판과 기판 주변의 플라즈마 밀도를 거의 유사하게 조절함으로, 기판의 중심 영역과 기판의 가장자리 영역에서 진행되는 각종 공정을 균일하게 수행할 수 있게 된다. 예를 들면 기판상에 박막을 증착하는 경우, 기판의 가장자리 영역에 증착되는 박막의 특성을 기판 중심 영역에 증착되는 박막과 동일하거나 유사한 특성을 가질 수 있도록 할 수 있다.
상기에서는 마주보는 상부전극과 기판 지지대 사이에 RF 전력에 의해 플라즈마를 형성하는 장치를 예시적으로 설명하였으나, 본 발명은 이외에도 다양한 플라즈마 방식 및 구조의 장치에도 적용될 수 있다.
이와 같이, 본 발명의 상세한 설명에서는 구체적인 실시 예에 관해 설명하였으나, 본 발명의 범주에서 벗어나지 않는 한도 내에서 여러 가지 변형이 가능함은 물론이다. 그러므로, 본 발명의 범위는 설명된 실시 예에 국한되어 정해져서는 안되며, 후술하는 특허청구범위뿐만 아니라 이 청구범위와 균등한 것들에 의해 정해져야 한다.
10 : 챔버 20 : 기판 지지대
31 : 제1 접지 전극 32 : 제2 접지 전극

Claims (16)

  1. 기판이 지지되는 장치로서,
    가장자리 영역에 돌출된 단턱부를 가지며, 상기 기판이 안착되는 기판 지지대;
    상기 기판 지지대 내부의 중심 영역에 설치되는 제1 접지 전극;
    상기 제1 접지 전극과 이격되고, 상기 기판 지지대 내부의 가장자리 영역에 설치되는 제2 접지 전극; 및
    상기 제1 접지 전극 및 제2 접지 전극을 독립적으로 제어하는 제어부; 를 포함하는 기판 지지 장치.
  2. 청구항 1에 있어서,
    상기 기판 지지대는 절연체 재질을 포함하는 기판 지지 장치.
  3. 청구항 1에 있어서,
    상기 기판 지지대는 상기 제1 접지 전극 및 제2 접지 전극 중 적어도 어느 하나의 하측에 발열체를 구비하는 기판 지지 장치.
  4. 청구항 1에 있어서,
    상기 제1 접지 전극의 크기는 상기 기판보다 작고, 상기 제2 접지 전극의 내경이 상기 기판보다 큰 기판 지지 장치.
  5. 청구항 1에 있어서,
    상기 제1 접지 전극의 외주 면에는 제1 굴곡부가 형성되고, 상기 제2 접지 전극의 내주 면에는 상기 제1 굴곡부에 대응하는 제2 굴곡부가 형성되는 기판 지지 장치.
  6. 청구항 5에 있어서,
    상기 제1 굴곡부의 적어도 일부는 상기 기판의 외측으로 돌출되고, 상기 제2 굴곡부의 적어도 일부는 상기 기판의 내측으로 돌출되는 기판 지지 장치.
  7. 청구항 1에 있어서,
    상기 제1 접지 전극 보다 상기 제2 접지 전극이 더 높은 위치에 배치되는 기판 지지 장치.
  8. 청구항 1 또는 청구항 7에 있어서,
    상기 제2 접지 전극은 상기 단턱부 하부에 배치되는 기판 지지 장치.
  9. 처리 공간을 형성하는 챔버;
    상기 챔버의 내부에 배치되며 기판이 지지되는 기판 지지대; 및
    상기 기판 지지대와 대향하여 배치되고 RF 전원이 인가되는 상부 전극;을 포함하고,
    상기 상부 전극과 상기 기판 지지대 사이에 형성되는 플라즈마가 상기 기판 지지대의 가장자리 영역까지 균일하게 형성되도록, 상기 기판 지지대는 내부에 서로 이격되고 개별적으로 제어되는 복수의 접지 전극을 구비하는 기판 처리 장치.
  10. 청구항 9에 있어서,
    상기 복수의 접지 전극은, 상기 기판에 대응하는 형상의 제1 접지 전극 및 상기 제1 접지 전극의 외측에 배치되는 제2 접지 전극을 포함하는 기판 처리 장치.
  11. 청구항 10에 있어서,
    상기 제1 접지 전극의 크기는 상기 기판보다 작고, 상기 제2 접지 전극은 상기 기판의 외측에 배치되는 기판 처리 장치.
  12. 청구항 9에 있어서,
    상기 복수의 접지 전극은, 외주 면에 적어도 일부가 상기 기판의 외측으로 돌출되는 제1 굴곡부가 형성된 제1 접지 전극 및 상기 제1 접지 전극의 외측에 설치되고 상기 제1 굴곡부에 대응하는 제2 굴곡부가 형성된 내주 면을 가지는 제2 접지 전극을 포함하는 기판 지지 장치.
  13. 청구항 9에 있어서,
    상기 복수의 접지 전극에 형성되는 임피던스를 각각 조절할 수 있는 제어부를 포함하는 기판 처리 장치.
  14. 청구항 13에 있어서,
    상기 제어부는 가변 콘덴서, 가변 코일 및 가변 저항체 중 적어도 어느 하나를 포함하는 기판 처리 장치.
  15. 청구항 13 또는 청구항 14에 있어서,
    상기 제어부는 상기 복수의 접지 전극에 서로 다른 임피던스가 형성되도록 하는 기판 처리 장치.
  16. 청구항 9에 있어서,
    상기 기판 지지대는 절연체를 포함하고,
    상기 접지 전극은 상기 절연체 내부에 막 형태로 형성되는 기판 처리 장치.
KR1020130071452A 2013-06-21 2013-06-21 기판 지지 장치 및 이를 구비하는 기판 처리 장치 KR102038647B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020130071452A KR102038647B1 (ko) 2013-06-21 2013-06-21 기판 지지 장치 및 이를 구비하는 기판 처리 장치
CN201410274021.2A CN104241073B (zh) 2013-06-21 2014-06-19 基板支撑装置及具备其的基板处理装置
JP2014126443A JP5979182B2 (ja) 2013-06-21 2014-06-19 基板支持装置及びこれを備える基板処理装置
TW103121392A TWI540673B (zh) 2013-06-21 2014-06-20 基板支撐裝置及具備該基板支撐裝置的基板處理裝置
US14/311,207 US20140373782A1 (en) 2013-06-21 2014-06-20 Substrate support apparatus and substrate process apparatus having the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130071452A KR102038647B1 (ko) 2013-06-21 2013-06-21 기판 지지 장치 및 이를 구비하는 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20140148052A true KR20140148052A (ko) 2014-12-31
KR102038647B1 KR102038647B1 (ko) 2019-10-30

Family

ID=52109861

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130071452A KR102038647B1 (ko) 2013-06-21 2013-06-21 기판 지지 장치 및 이를 구비하는 기판 처리 장치

Country Status (5)

Country Link
US (1) US20140373782A1 (ko)
JP (1) JP5979182B2 (ko)
KR (1) KR102038647B1 (ko)
CN (1) CN104241073B (ko)
TW (1) TWI540673B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170013832A (ko) * 2015-07-28 2017-02-07 램 리써치 코포레이션 센서티브 재료들 상에 할라이드 함유 ald 막을 집적하는 방법
WO2017184223A1 (en) * 2016-04-22 2017-10-26 Applied Materials, Inc. Substrate support pedestal having plasma confinement features
WO2020055565A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Semiconductor substrate supports with embedded rf shield
KR20220010526A (ko) * 2019-12-04 2022-01-25 엔지케이 인슐레이터 엘티디 세라믹 히터

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
DE102016213951A1 (de) * 2016-07-28 2018-02-01 Robert Bosch Gmbh Verbesserte Lenkung von Ionen aus einem Plasma auf ein zu beschichtendes Substrat
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
CN110323117A (zh) 2018-03-28 2019-10-11 三星电子株式会社 等离子体处理设备
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
KR102487930B1 (ko) * 2018-07-23 2023-01-12 삼성전자주식회사 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102460310B1 (ko) * 2018-08-20 2022-10-28 주식회사 원익아이피에스 기판 지지대 및 기판 처리 장치
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112838040B (zh) * 2019-11-25 2023-10-20 中微半导体设备(上海)股份有限公司 一种晶圆夹持装置和等离子体处理设备
KR20210143653A (ko) * 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2022057423A (ja) * 2020-09-30 2022-04-11 東京エレクトロン株式会社 プラズマ処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006339391A (ja) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd ドライエッチング装置
JP2008244063A (ja) * 2007-03-27 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2011519117A (ja) * 2008-03-20 2011-06-30 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ内の調整可能接地面
JP2011525694A (ja) * 2008-06-23 2011-09-22 アプライド マテリアルズ インコーポレイテッド 異なる高さの内側及び外側電極を備えたカソード
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3321403B2 (ja) * 1997-12-08 2002-09-03 株式会社東芝 成膜装置及び成膜方法
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2012004160A (ja) * 2010-06-14 2012-01-05 Tokyo Electron Ltd 基板処理方法及び基板処理装置
KR20120034341A (ko) * 2010-10-01 2012-04-12 주식회사 원익아이피에스 기판처리장치의 세정방법
JP2012151504A (ja) * 2012-04-09 2012-08-09 Sony Corp 薄膜形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006339391A (ja) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd ドライエッチング装置
JP2008244063A (ja) * 2007-03-27 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
JP2011519117A (ja) * 2008-03-20 2011-06-30 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ内の調整可能接地面
JP2011525694A (ja) * 2008-06-23 2011-09-22 アプライド マテリアルズ インコーポレイテッド 異なる高さの内側及び外側電極を備えたカソード
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170013832A (ko) * 2015-07-28 2017-02-07 램 리써치 코포레이션 센서티브 재료들 상에 할라이드 함유 ald 막을 집적하는 방법
WO2017184223A1 (en) * 2016-04-22 2017-10-26 Applied Materials, Inc. Substrate support pedestal having plasma confinement features
WO2020055565A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Semiconductor substrate supports with embedded rf shield
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
KR20220010526A (ko) * 2019-12-04 2022-01-25 엔지케이 인슐레이터 엘티디 세라믹 히터

Also Published As

Publication number Publication date
CN104241073B (zh) 2017-06-23
JP2015004131A (ja) 2015-01-08
KR102038647B1 (ko) 2019-10-30
TWI540673B (zh) 2016-07-01
JP5979182B2 (ja) 2016-08-24
CN104241073A (zh) 2014-12-24
US20140373782A1 (en) 2014-12-25
TW201501237A (zh) 2015-01-01

Similar Documents

Publication Publication Date Title
KR20140148052A (ko) 기판 지지 장치 및 이를 구비하는 기판 처리 장치
JP6953133B2 (ja) 容量結合型プラズマ処理装置のエッジリングのrf振幅の制御
JP2018125519A (ja) エッジ均一性制御のための調整可能な延長電極
US20090314432A1 (en) Baffle plate and substrate processing apparatus
KR102487342B1 (ko) 정전척 어셈블리 및 이를 구비하는 플라즈마 처리장치
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
KR102487930B1 (ko) 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
CN105122430A (zh) 用于等离子体蚀刻操作的基板支撑件
KR102623545B1 (ko) 반도체 소자 제조 장치
US20230092887A1 (en) Tuning voltage setpoint in a pulsed rf signal for a tunable edge sheath system
KR20220010585A (ko) 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
WO2021012798A1 (zh) 半导体处理装置及方法
JP2021511663A (ja) 基板支持体のためのプロセスキット
US20210047730A1 (en) Chamber configurations for controlled deposition
JP2023540581A (ja) 堆積及びエッチングのための半導体処理チャンバ
KR102070768B1 (ko) 박막 증착 장치
US20230187189A1 (en) Plasma control apparatus and plasma processing system
KR101280240B1 (ko) 기판 처리 장치
KR101949425B1 (ko) 기판 처리 장치
KR102039799B1 (ko) 텅스텐옥사이드 벌크로 이루어진 플라즈마 장치용 부품
KR101962915B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2023112963A (ja) プラズマ処理装置及びプラズマ処理方法
KR102428432B1 (ko) 기판 제조장치
CN116313714A (zh) 等离子处理装置及其使用方法
TW202137297A (zh) 腔室沉積及蝕刻處理

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant