KR20140109288A - 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 - Google Patents

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 Download PDF

Info

Publication number
KR20140109288A
KR20140109288A KR1020140023540A KR20140023540A KR20140109288A KR 20140109288 A KR20140109288 A KR 20140109288A KR 1020140023540 A KR1020140023540 A KR 1020140023540A KR 20140023540 A KR20140023540 A KR 20140023540A KR 20140109288 A KR20140109288 A KR 20140109288A
Authority
KR
South Korea
Prior art keywords
raw material
gas
film
layer
supplying
Prior art date
Application number
KR1020140023540A
Other languages
English (en)
Other versions
KR101611680B1 (ko
Inventor
가츠요시 하라다
요시로 히로세
츠카사 가마쿠라
아츠시 사노
유고 오리하시
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20140109288A publication Critical patent/KR20140109288A/ko
Application granted granted Critical
Publication of KR101611680B1 publication Critical patent/KR101611680B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

본 발명의 과제는 표면에 절연막이 형성된 기판 위에 박막을 형성할 때, 박막의 단차 피복성, 성막 처리의 생산성을 향상시키는 것이다. 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 절연막의 표면을 트리트먼트하는 공정과, 기판에 대해 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 공정과, 기판에 대해 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 절연막의 표면 위에, 소정 원소를 포함하는 박막을 형성하는 공정을 갖는다.

Description

반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM}
본 발명은 기판 위에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.
반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판에 대해 원료를 공급함으로써, 기판 위에 박막을 형성하는 공정이 행해지는 경우가 있다.
그러나, 성막의 기초로 되는 기판의 표면에 절연막이 형성되어 있으면, 박막의 단차 피복성(스텝 커버리지)이 저하되거나, 핀 홀(브레이크 포인트) 등을 갖는 불연속인 막이 형성되어 버리는 경우가 있다. 특히, 형성하는 박막의 막 두께를, 예를 들어 5Å 내지 100Å의, 소위 박막 영역으로 한 경우나, 기판의 표면에 어스펙트비가 높은 트렌치가 형성되어 있는 경우에, 이와 같은 현상이 현저하게 발생하게 된다. 이 불연속인 막을, 예를 들어 트랜지스터 디바이스의 채널 등에 이용하면, 디바이스의 전기 특성을 열화시켜 버리는 경우가 있다. 또한, 디바이스의 제조 공정에 있어서, 이 불연속인 박막을, 불화 수소(HF) 등을 이용한 에칭 처리의 에칭 스토퍼로서 이용하면, 기초의 기판 표면, 즉 기판 표면에 형성된 절연막이 부분적으로 손상을 받아, 디바이스의 특성이나 제조 수율을 악화시키는 경우가 있다.
또한, 기판의 표면에 절연막이 형성되어 있으면, 기판에 대한 원료의 공급을 개시해도, 기판 위에의 박막의 형성이 좀처럼 개시되지 않아, 인큐베이션 시간이 증가해 버리는 경우가 있다. 그 결과, 디바이스의 생산성이 저하되어, 제조 비용이 증가하는 경우가 있다.
본 발명의 목적은 표면에 절연막이 형성된 기판 위에 박막을 형성할 때, 박막의 단차 피복성, 성막 처리의 생산성을 향상시키는 데 있다.
본 발명의 일 형태에 따르면, 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 공정과, 상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 공정과, 상기 기판에 대해 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
본 발명의 다른 형태에 따르면, 기판을 수용하는 처리실과, 상기 처리실 내로 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급하는 제1 원료 공급계와, 상기 처리실 내로 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 제2 원료 공급계와, 상기 처리실 내로 제3 원료를 공급하는 제3 원료 공급계와, 상기 처리실 내의 표면에 절연막이 형성된 기판에 대해 상기 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 제2 원료를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 제3 원료를 공급하는 처리를 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 처리를 행하도록, 상기 제1 원료 공급계, 상기 제2 원료 공급계 및 상기 제3 원료 공급계를 제어하는 제어부를 갖는 기판 처리 장치가 제공된다.
본 발명의 또 다른 형태에 따르면, 처리실 내에 있어서, 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 수순과, 상기 처리실 내에 있어서, 상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 수순과, 상기 기판에 대해 제3 원료를 공급하는 수순을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 따르면, 표면에 절연막이 형성된 기판 위에 박막을 형성할 때, 박막의 단차 피복성, 성막 처리의 생산성을 향상시키는 것이 가능해진다.
도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로 부분을 종단면도로 도시하는 도면이다.
도 2는 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로 부분을 도 1의 A-A선에 따른 단면도로 도시하는 도면이다.
도 3은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도로서, 컨트롤러의 제어계를 블록도로 도시하는 도면이다.
도 4는 본 실시 형태의 성막 시퀀스에 있어서의 성막 플로우를 도시하는 도면이다.
도 5의 (a)는 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 도시하는 도면이고, (b)는 다른 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 도시하는 도면이다.
도 6은 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍의 변형예 1을 도시하는 도면이다.
도 7은 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍의 변형예 2를 도시하는 도면이다.
도 8은 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍의 변형예 3을 도시하는 도면이다.
도 9는 트리트먼트 처리를 실시함으로써 인큐베이션 시간이 단축되는 모습을 나타내는 그래프이다.
<본 발명의 일 실시 형태>
이하에, 본 발명의 일 실시 형태를 도면에 기초하여 설명한다.
(1) 기판 처리 장치의 구성
도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도로서, 처리로(202) 부분을 종단면도로 도시하고 있다. 도 2는 본 실시 형태에서 바람직하게 이용되는 종형 처리로의 개략 구성도로서, 처리로(202) 부분을 도 1의 A-A선에 따른 단면도로 도시하고 있다.
도 1에 도시되어 있는 바와 같이, 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 갖는다. 히터(207)는 원통 형상이고, 유지판으로서의 히터 베이스(도시하지 않음)에 지지됨으로써 수직으로 설치되어 있다. 또한, 히터(207)는, 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.
히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배치되어 있다. 반응관(203)은, 예를 들어 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개방된 원통 형상으로 형성되어 있다. 반응관(203)의 통 중공부에는 처리실(201)이 형성되어 있고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 정렬한 상태로 수용 가능하게 구성되어 있다.
처리실(201) 내에는 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)이 반응관(203)의 하부를 관통하도록 설치되어 있다. 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)에는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c)이 각각 접속되어 있다. 또한, 제3 가스 공급관(232c)에는 제4 가스 공급관(232d)이 접속되어 있다. 이와 같이, 반응관(203)에는 3개의 노즐(249a 내지 249c)과, 4개의 가스 공급관(232a 내지 232d)이 설치되어 있어, 처리실(201) 내로 복수 종류, 여기서는 4종류의 가스를 공급할 수 있도록 구성되어 있다.
또한, 반응관(203)의 하방에, 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을, 이 금속제의 매니폴드의 측벽을 관통하도록 설치해도 된다. 이 경우, 이 금속제의 매니폴드에, 후술하는 배기관(231)을 더 설치해도 된다. 또한, 이 경우에 있어서도, 배기관(231)을 금속제의 매니폴드가 아니라, 반응관(203)의 하부에 설치하도록 해도 된다. 이와 같이, 처리로(202)의 노구부를 금속제로 하고, 이 금속제의 노구부에 노즐 등을 설치해도 된다.
제1 가스 공급관(232a)에는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(241a) 및 개폐 밸브인 밸브(243a)가 설치되어 있다. 또한, 제1 가스 공급관(232a)의 밸브(243a)보다도 하류측에는 제1 불활성 가스 공급관(232e)이 접속되어 있다. 이 제1 불활성 가스 공급관(232e)에는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241e) 및 개폐 밸브인 밸브(243e)가 설치되어 있다. 또한, 제1 가스 공급관(232a)의 선단부에는, 상술한 제1 노즐(249a)이 접속되어 있다. 제1 노즐(249a)은 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치되어 있다. 즉, 제1 노즐(249a)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제1 노즐(249a)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치되어 있다. 제1 노즐(249a)의 측면에는 가스를 공급하는 가스 공급 구멍(250a)이 형성되어 있다. 가스 공급 구멍(250a)은 반응관(203)의 중심을 향하도록 개방되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250a)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
주로, 제1 가스 공급관(232a), MFC(241a), 밸브(243a)에 의해 제1 가스 공급계가 구성된다. 또한, 제1 노즐(249a)을 제1 가스 공급계에 포함시켜 생각할 수도 있다. 또한, 주로, 제1 불활성 가스 공급관(232e), MFC(241e), 밸브(243e)에 의해 제1 불활성 가스 공급계가 구성된다. 제1 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제2 가스 공급관(232b)에는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241b) 및 개폐 밸브인 밸브(243b)가 설치되어 있다. 또한, 제2 가스 공급관(232b)의 밸브(243b)보다도 하류측에는 제2 불활성 가스 공급관(232f)이 접속되어 있다. 이 제2 불활성 가스 공급관(232f)에는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241f) 및 개폐 밸브인 밸브(243f)가 설치되어 있다. 또한, 제2 가스 공급관(232b)의 선단부에는 상술한 제2 노즐(249b)이 접속되어 있다. 제2 노즐(249b)은 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치되어 있다. 즉, 제2 노즐(249b)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제2 노즐(249b)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치되어 있다. 제2 노즐(249b)의 측면에는 가스를 공급하는 가스 공급 구멍(250b)이 형성되어 있다. 가스 공급 구멍(250b)은 반응관(203)의 중심을 향하도록 개방되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250b)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
주로, 제2 가스 공급관(232b), MFC(241b), 밸브(243b)에 의해 제2 가스 공급계가 구성된다. 또한, 제2 노즐(249b)을 제2 가스 공급계에 포함시켜 생각할 수도 있다. 또한, 주로, 제2 불활성 가스 공급관(232f), MFC(241f), 밸브(243f)에 의해 제2 불활성 가스 공급계가 구성된다. 제2 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
제3 가스 공급관(232c)에는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 MFC(MFC)(241c) 및 개폐 밸브인 밸브(243c)가 설치되어 있다. 또한, 제3 가스 공급관(232c)의 밸브(243c)보다도 하류측에는 제4 가스 공급관(232d)이 접속되어 있다. 이 제4 가스 공급관(232d)에는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241d) 및 개폐 밸브인 밸브(243d)가 설치되어 있다. 또한, 제3 가스 공급관(232c)에 있어서의 제4 가스 공급관(232d)과의 접속 개소보다도 하류측에는 제3 불활성 가스 공급관(232g)이 접속되어 있다. 이 제3 불활성 가스 공급관(232g)에는 상류 방향으로부터 순서대로, 유량 제어기(유량 제어부)인 MFC(241g) 및 개폐 밸브인 밸브(243g)가 설치되어 있다. 또한, 제3 가스 공급관(232c)의 선단부에는 상술한 제3 노즐(249c)이 접속되어 있다. 제3 노즐(249c)은 반응관(203)의 내벽과 웨이퍼(200) 사이에 있어서의 원호 형상의 공간에, 반응관(203)의 내벽의 하부로부터 상부를 따라서, 웨이퍼(200)의 적재 방향 상방을 향해 상승하도록 설치되어 있다. 즉, 제3 노즐(249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의, 웨이퍼 배열 영역을 수평으로 둘러싸는 영역에, 웨이퍼 배열 영역을 따르도록 설치되어 있다. 제3 노즐(249c)은 L자형의 롱 노즐로서 구성되어 있고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되어 있고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향해 상승하도록 설치되어 있다. 제3 노즐(249c)의 측면에는 가스를 공급하는 가스 공급 구멍(250c)이 형성되어 있다. 가스 공급 구멍(250c)은 반응관(203)의 중심을 향하도록 개방되어 있어, 웨이퍼(200)를 향해 가스를 공급하는 것이 가능하게 되어 있다. 이 가스 공급 구멍(250c)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 형성되고, 각각이 동일한 개구 면적을 갖고, 또한 동일한 개구 피치로 형성되어 있다.
주로, 제3 가스 공급관(232c), MFC(241c), 밸브(243c)에 의해 제3 가스 공급계가 구성된다. 또한, 제3 노즐(249c)을 제3 가스 공급계에 포함시켜 생각할 수도 있다. 또한, 주로, 제4 가스 공급관(232d), MFC(241d), 밸브(243d)에 의해, 제4 가스 공급계가 구성된다. 또한, 제3 가스 공급관(232c)에 있어서의 제4 가스 공급관(232d)과의 접속부보다 하류측에 위치하는 제3 노즐(249c)을 제4 가스 공급계에 포함시켜 생각할 수도 있다. 또한, 주로, 제3 불활성 가스 공급관(232g), MFC(241g), 밸브(243g)에 의해, 제3 불활성 가스 공급계가 구성된다. 제3 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.
이와 같이, 본 실시 형태에 있어서의 가스 공급의 방법은 반응관(203)의 내벽과, 적재된 복수매의 웨이퍼(200)의 단부로 정의되는 원호 형상의 세로로 긴 공간 내에 배치한 노즐(249a 내지 249c)을 경유하여 가스를 반송하고, 노즐(249a 내지 249c)에 각각 개방된 가스 공급 구멍(250a 내지 250c)으로부터 웨이퍼(200)의 근방에서 비로소 반응관(203) 내에 가스를 분출시키고 있고, 반응관(203) 내에 있어서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 하고 있다. 이와 같은 구성으로 함으로써, 각 웨이퍼(200)에 대해 균일하게 가스를 공급할 수 있어, 각 웨이퍼(200)에 형성되는 박막의 막 두께를 균일하게 할 수 있는 효과가 있다. 또한, 웨이퍼(200)의 표면 상을 흐른 가스, 즉 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향해 흐르지만, 이 잔류 가스의 흐름의 방향은 배기구의 위치에 따라서 적절히 특정되고, 수직 방향에 한정된 것은 아니다.
제1 가스 공급관(232a)으로부터는, 소정 원소 및 할로겐기를 포함하는 제1 원료 및 소정 원소 및 할로겐기를 포함하는 제2 원료로서, 예를 들어 적어도 실리콘(Si)과 클로로기를 포함하는 클로로실란계 원료 가스가, MFC(241a), 밸브(243a), 제1 노즐(249a)을 통해 처리실(201) 내에 공급된다. 여기서, 클로로실란계 원료 가스라 함은, 기체 상태의 클로로실란계 원료, 예를 들어 상온 상압 하에서 액체 상태인 클로로실란계 원료를 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 클로로실란계 원료 등이다. 또한, 클로로실란계 원료라 함은, 할로겐기로서의 클로로기를 갖는 실란계 원료이고, 적어도 Si 및 염소(Cl)를 포함하는 원료이다. 즉, 여기서 말하는 클로로실란계 원료는 할로겐화물의 1종이라고도 할 수 있다. 또한, 본 명세서에 있어서 「원료」라는 말을 이용한 경우에는, 「액체 상태인 액체 원료」를 의미하는 경우, 「기체 상태인 원료 가스」를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 따라서, 본 명세서에 있어서 「클로로실란계 원료」라는 용어를 이용한 경우에는, 「액체 상태인 클로로실란계 원료」를 의미하는 경우, 「기체 상태인 클로로실란계 원료 가스」를 의미하는 경우, 또는 그 양쪽을 의미하는 경우가 있다. 클로로실란계 원료로서는, 예를 들어 헥사클로로디실란(Si2Cl6, 약칭: HCDS)을 이용할 수 있다. 또한, HCDS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(HCDS 가스)로서 공급하게 된다.
제2 가스 공급관(232b)으로부터는, 소정 원소 및 아미노기(아민기)를 포함하는 제3 원료로서, 예를 들어 적어도 Si와 아미노기를 포함하는 아미노실란계 원료 가스가, MFC(241b), 밸브(243b), 제2 노즐(249b)을 통해 처리실(201) 내에 공급된다. 여기서, 아미노실란계 원료 가스라 함은, 기체 상태의 아미노실란계 원료, 예를 들어 상온 상압 하에서 액체 상태인 아미노실란계 원료를 기화함으로써 얻어지는 가스나, 상온 상압 하에서 기체 상태인 아미노실란계 원료 등이다. 또한, 아미노실란계 원료라 함은, 아미노기를 갖는 실란계 원료(메틸기나 에틸기나 부틸기 등의 알킬기도 함유하는 실란계 원료이기도 함)이고, 적어도 Si, 탄소(C) 및 질소(N)를 포함하는 원료이다. 즉, 여기서 말하는 아미노실란계 원료는 유기계의 원료라고도 할 수 있고, 유기 아미노실란계 원료라고도 할 수 있다. 또한, 본 명세서에 있어서 「아미노실란계 원료」라는 용어를 이용한 경우에는, 「액체 상태인 아미노실란계 원료」를 의미하는 경우, 「기체 상태인 아미노실란계 원료 가스」를 의미하는 경우 또는, 그 양쪽을 의미하는 경우가 있다. 아미노실란계 원료로서는, 예를 들어 조성식 중에(1분자 중에) 1개의 아미노기를 포함하는 원료인 모노아미노실란(SiH3R)을 이용할 수 있다. 여기서, R은 리간드(배위자)를 나타내고 있고, 여기서는, 1개의 N원자에, 1개 이상의 C원자를 포함하는 탄화수소기가 1개 또는 2개 배위한 아미노기(NH2로 나타나는 아미노기의 H의 한쪽 또는 양쪽을 1개 이상의 C 원자를 포함하는 탄화수소기로 치환한 것)를 나타내고 있다. 아미노기의 일부를 구성하는 탄화수소기가 1개의 N에 2개 배위하고 있는 경우에는, 그 2개가 동일한 탄화수소기여도 되고, 다른 탄화수소기여도 된다. 또한, 탄화수소기는 이중 결합이나 삼중 결합 등의 불포화 결합을 포함하고 있어도 된다. 또한, 아미노기는 환상 구조를 가져도 된다. 예를 들어, SiH3R로서는, (에틸메틸아미노)실란{SiH3 [N(CH3)(C2H5)]}, (디메틸아미노)실란{SiH3[N(CH3)2]}, (디에틸피페리디노)실란{SiH3 [NC5H8(C2H5)2]} 등을 이용할 수 있다. 또한, SiH3R와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(SiH3R 가스)로서 공급하게 된다.
제3 가스 공급관(232c)으로부터는, 소정 원소를 포함하는 제4 원료로서, 예를 들어 Si를 포함하고, Cl, C, N, 산소(O)를 포함하지 않는 실란계 원료 가스, 즉 무기 실란계 원료 가스가, MFC(241c), 밸브(243c), 제3 노즐(249c)을 통해 처리실(201) 내에 공급된다. 이 경우의 무기 실란계 원료 가스는 Cl, C, N, O 비함유의 실란계 원료 가스라고도 할 수 있다. 실란계 원료 가스(무기 실란계 원료 가스)로서는, 예를 들어 모노실란(SiH4) 가스를 이용할 수 있다.
제4 가스 공급관(232d)으로부터는, 소정 원소 및 아미노기(아민기)를 포함하는 제5 원료로서, 예를 들어 적어도 Si와 아미노기를 포함하는 아미노실란계 원료 가스가, MFC(241d), 밸브(243d), 제3 가스 공급관(232c), 제3 노즐(249c)을 통해 처리실(201) 내에 공급된다. 아미노실란계 원료 가스로서는, 예를 들어 조성식 중에(1분자 중에) 복수의 아미노기를 포함하는 원료인 트리스디메틸아미노실란{Si[N(CH3)2]3H, 약칭: 3DMAS} 가스를 이용할 수 있다. 3DMAS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는, 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 원료 가스(3DMAS 가스)로서 공급하게 된다.
불활성 가스 공급관(232e 내지 232g)으로부터는, 불활성 가스로서, 예를 들어 질소(N2) 가스가, 각각 MFC(241e 내지 241g), 밸브(243e 내지 243g), 가스 공급관(232a 내지 232c), 노즐(249a 내지 249c)을 통해 처리실(201) 내에 공급된다.
또한, 예를 들어 각 가스 공급관으로부터 상술한 바와 같은 가스를 각각 흘리는 경우, 제1 가스 공급계에 의해, 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급하는 제1 원료 공급계, 즉 제1 원료 가스 공급계로서의 클로로실란계 원료 가스 공급계가 구성된다. 또한, 제1 가스 공급계에 의해, 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 제2 원료 공급계, 즉 제2 원료 가스 공급계로서의 클로로실란계 원료 가스 공급계가 구성된다. 또한, 클로로실란계 원료 가스 공급계를, 간단히, 클로로실란계 원료 공급계라고도 칭한다. 또한, 제2 가스 공급계에 의해, 소정 원소 및 아미노기를 포함하는 제3 원료를 공급하는 제3 원료 공급계, 즉 제3 원료 가스 공급계로서의 아미노실란계 원료 가스 공급계가 구성된다. 또한, 아미노실란계 원료 가스 공급계를, 간단히, 아미노실란계 원료 공급계라고도 칭한다. 또한, 제3 가스 공급계에 의해, 소정 원소를 포함하는 제4 원료를 공급하는 제4 원료 공급계, 즉 제4 원료 가스 공급계로서의 실란계 원료 가스 공급계(무기 실란계 원료 가스 공급계)가 구성된다. 또한, 실란계 원료 가스 공급계(무기 실란계 원료 가스 공급계)를, 간단히, 실란계 원료 공급계(무기 실란계 원료 공급계)라고도 칭한다. 또한, 제4 가스 공급계에 의해, 소정 원소 및 아미노기를 포함하는 제5 원료를 공급하는 제5 원료 공급계, 즉 제5 원료 가스 공급계로서의 아미노실란계 원료 가스 공급계가 구성된다. 또한, 아미노실란계 원료 가스 공급계를, 간단히, 아미노실란계 원료 공급계라고도 칭한다.
반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치되어 있다. 도 2에 도시한 바와 같이, 횡단면에서 볼 때, 배기관(231)은 반응관(203)의 제1 노즐(249a)의 가스 공급 구멍(250a), 제2 노즐(249b)의 가스 공급 구멍(250b) 및 제3 노즐(249c)의 가스 공급 구멍(250c)이 형성되는 측과 대향하는 측, 즉 웨이퍼(200)를 사이에 두고 가스 공급 구멍(250a 내지 250c)과는 반대측에 설치되어 있다. 또한, 도 1에 도시한 바와 같이 종단면에서 볼 때, 배기관(231)은 가스 공급 구멍(250a 내지 250c)이 형성되는 개소보다도 하방에 설치되어 있다. 이 구성에 의해, 가스 공급 구멍(250a 내지 250c)으로부터 처리실(201) 내의 웨이퍼(200)의 근방에 공급된 가스는 수평 방향, 즉 웨이퍼(200)의 표면과 평행한 방향을 향해 흐른 후, 하방을 향해 흘러, 배기관(231)으로부터 배기되게 된다. 처리실(201) 내에 있어서의 가스의 주된 흐름이 수평 방향을 향하는 흐름으로 되는 것은 상술한 바와 같다.
배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller) 밸브(244)를 통해, 진공 배기 장치로서의 진공 펌프(246)가 접속되어 있다. 또한, APC 밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐함으로써, 처리실(201) 내의 진공 배기 및 진공 배기 정지를 행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력을 조정할 수 있도록 구성되어 있는 밸브이다. 주로, 배기관(231), APC 밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한, 진공 펌프(246)를 배기계에 포함시켜 생각할 수도 있다. 배기계는 진공 펌프(246)를 작동시키면서, 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 APC 밸브(244)의 밸브 개방도를 조절함으로써, 처리실(201) 내의 압력이 소정의 압력(진공도)으로 되도록 진공 배기할 수 있도록 구성되어 있다.
반응관(203)의 하방에는 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 덮개로서의 시일 캡(219)이 설치되어 있다. 시일 캡(219)은 반응관(203)의 하단에 수직 방향 하측부터 맞닿도록 구성되어 있다. 시일 캡(219)은, 예를 들어 스테인리스 등의 금속으로 이루어지고, 원반 형상으로 형성되어 있다. 시일 캡(219)의 상면에는 반응관(203)의 하단과 맞닿는 시일 부재로서의 O링(220)이 설치되어 있다. 시일 캡(219)의 처리실(201)과 반대측에는, 후술하는 기판 유지구로서의 보트(217)를 회전시키는 회전 기구(267)가 설치되어 있다. 회전 기구(267)의 회전축(255)은 시일 캡(219)을 관통하여 보트(217)에 접속되어 있다. 회전 기구(267)는 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 시일 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있다. 보트 엘리베이터(115)는 시일 캡(219)을 승강시킴으로써, 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성되어 있다. 즉, 보트 엘리베이터(115)는 보트(217), 즉 웨이퍼(200)를, 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.
기판 지지구로서의 보트(217)는, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료로 이루어지고, 복수매의 웨이퍼(200)를 수평 자세로, 또한, 서로 중심을 맞춘 상태에서 정렬시켜 다단으로 지지하도록 구성되어 있다. 또한, 보트(217)의 하부에는, 예를 들어 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되어 있고, 히터(207)로부터의 열이 시일 캡(219)측으로 전해지기 어려워지도록 구성되어 있다. 또한, 단열 부재(218)는 석영이나 탄화실리콘 등의 내열성 재료로 이루어지는 복수매의 단열판과, 이들 단열판을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해 구성되어도 된다.
반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되어 있고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)에의 통전 상태를 조정함으로써, 처리실(201) 내의 온도가 원하는 온도 분포로 되도록 구성되어 있다. 온도 센서(263)는 노즐(249a, 249b, 249c)과 마찬가지로 L자형으로 구성되어 있고, 반응관(203)의 내벽을 따라서 설치되어 있다.
도 3에 도시되어 있는 바와 같이, 제어부(제어 수단)인 컨트롤러(121)는 CPU(Central Processing Unit)(121a), RAM(Random Access Memory)(121b), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성되어 있다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 통해, CPU(121a)와 데이터 교환 가능하도록 구성되어 있다. 컨트롤러(121)에는, 예를 들어 터치 패널 등으로서 구성된 입출력 장치(122)가 접속되어 있다.
기억 장치(121c)는, 예를 들어 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성되어 있다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 수순이나 조건 등이 기재된 프로세스 레시피 등이, 판독 가능하게 저장되어 있다. 또한, 프로세스 레시피는 후술하는 기판 처리 공정에 있어서의 각 수순을 컨트롤러(121)에 실행시켜, 소정의 결과를 얻을 수 있도록 조합된 것으로, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여, 간단히, 프로그램이라고도 한다. 또한, 본 명세서에 있어서 프로그램이라는 용어를 이용한 경우에는, 프로세스 레시피 단체만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우 또는 그 양쪽을 포함하는 경우가 있다. 또한, RAM(121b)은 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 유지되는 메모리 영역(워크 에어리어)으로서 구성되어 있다.
I/O 포트(121d)는 상술한 MFC(241a 내지 241g), 밸브(243a 내지 243g), 압력 센서(245), APC 밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115) 등에 접속되어 있다.
CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행하는 동시에, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라서 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성되어 있다. 그리고, CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록, MFC(241a 내지 241g)에 의한 각종 가스의 유량 조정 동작, 밸브(243a 내지 243g)의 개폐 동작, APC 밸브(244)의 개폐 동작 및 APC 밸브(244)에 의한 압력 센서(245)에 기초하는 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성되어 있다.
또한, 컨트롤러(121)는 전용의 컴퓨터로서 구성되어 있는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어 있어도 된다. 예를 들어, 상술한 프로그램을 저장한 외부 기억 장치(예를 들어, 자기 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광 디스크, MO 등의 광자기 디스크, USB 메모리나 메모리 카드 등의 반도체 메모리)(123)를 준비하고, 이러한 외부 기억 장치(123)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해, 본 실시 형태에 관한 컨트롤러(121)를 구성할 수 있다. 또한, 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 통해 공급하는 경우에 한정되지 않는다. 예를 들어, 인터넷이나 전용 회선 등의 통신 수단을 이용하여, 외부 기억 장치(123)를 통하지 않고 프로그램을 공급하도록 해도 된다. 또한, 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여, 간단히, 기록 매체라고도 한다. 또한, 본 명세서에 있어서 기록 매체라는 용어를 이용한 경우에는, 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우 또는 그 양쪽을 포함하는 경우가 있다.
(2) 기판 처리 공정
다음에, 상술한 기판 처리 장치의 처리로를 이용하여, 반도체 장치(디바이스)의 제조 공정의 일 공정으로서, 기판의 표면에 형성된 절연막의 표면을 트리트먼트한 후, 트리트먼트가 행해진 절연막의 표면 위에, 소정 원소를 포함하는 박막을 성막하는 시퀀스예에 대해, 도 4, 도 5의 (a)를 참조하면서 설명한다. 도 4는 본 실시 형태의 성막 시퀀스에 있어서의 성막 플로우를 도시하는 도면이다. 도 5의 (a)는 본 실시 형태의 성막 시퀀스에 있어서의 가스 공급의 타이밍을 도시하는 도면이다. 또한, 이하의 설명에 있어서, 기판 처리 장치를 구성하는 각 부의 동작은 컨트롤러(121)에 의해 제어된다.
본 실시 형태의 성막 시퀀스에서는, 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 절연막의 표면을 트리트먼트하는 공정과, 기판에 대해 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 공정과, 기판에 대해 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 절연막의 표면 위에, 소정 원소를 포함하는 박막을 형성하는 공정을 실시한다.
여기서, 「제2 원료를 공급하는 공정과, 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행한다」라고 함은, 이 사이클을 1회 행하는 경우와, 이 사이클을 복수회 반복하는 경우의 양쪽을 포함한다. 즉, 이 사이클을 1회 이상(소정 횟수) 행하는 것을 의미한다.
이하, 본 실시 형태의 성막 시퀀스를 구체적으로 설명한다. 여기서는, 제1 원료 및 제2 원료로서 클로로실란계 원료 가스인 HCDS 가스를, 제3 원료로서 아미노실란계 원료 가스인 SiH3R 가스를 이용하여, 도 4의 성막 플로우 및 도 5의 (a)의 성막 시퀀스에 의해, 웨이퍼(200)의 표면에 형성된 절연막인 실리콘산화막(SiO2막, 이하 SiO막이라고도 함)의 표면 위에, Si 단체로 구성되는 실리콘막(Si막)을 형성하는 예에 대해 설명한다. 또한, 이 SiO막은 후술하는 Si막 형성 공정에 있어서, Si막을 형성할 때의 기초막의 일부로 된다.
또한, 본 명세서에 있어서 「웨이퍼」라는 용어를 이용한 경우에는, 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등과의 적층체(집합체)」를 의미하는 경우(즉, 표면에 형성된 소정의 층이나 막 등을 포함하여 웨이퍼라고 칭하는 경우)가 있다. 또한, 본 명세서에 있어서 「웨이퍼의 표면」이라는 용어를 이용한 경우에는, 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 위에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최외측 표면」을 의미하는 경우가 있다.
따라서, 본 명세서에 있어서 「웨이퍼에 대해 소정의 가스를 공급한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면)에 대해 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 위에 형성되어 있는 층이나 막 등에 대해, 즉 적층체로서의 웨이퍼의 최외측 표면에 대해 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한, 본 명세서에 있어서 「웨이퍼 위에 소정의 층(또는 막)을 형성한다」라고 기재한 경우에는, 「웨이퍼 그 자체의 표면(노출면) 위에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 위에 형성되어 있는 층이나 막 등의 위, 즉 적층체로서의 웨이퍼의 최외측 표면 위에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.
또한, 본 명세서에 있어서 「기판」이라는 용어를 이용한 경우에도, 「웨이퍼」라는 용어를 이용한 경우와 마찬가지이고, 그 경우, 상기 설명에 있어서, 「웨이퍼」를 「기판」으로 치환하여 생각하면 된다.
(웨이퍼 차지 및 보트 로드)
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시되어 있는 바와 같이, 복수매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서, 시일 캡(219)은 O링(220)을 통해 반응관(203)의 하단을 시일한 상태로 된다.
(압력 조정 및 온도 조정)
처리실(201) 내의 압력, 즉 웨이퍼(200)가 존재하는 공간의 압력이 원하는 압력(진공도)으로 되도록, 진공 펌프(246)에 의해 진공 배기된다. 이때, 처리실(201) 내의 압력은 압력 센서(245)로 측정되고, 이 측정된 압력 정보에 기초하여 APC 밸브(244)가 피드백 제어된다(압력 조정). 또한, 진공 펌프(246)는, 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 항시 작동시킨 상태를 유지한다. 또한, 처리실(201) 내가 원하는 온도로 되도록 히터(207)에 의해 가열된다. 이때, 처리실(201) 내가 원하는 온도 분포로 되도록, 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)에의 통전 상태가 피드백 제어된다(온도 조정). 또한, 히터(207)에 의한 처리실(201) 내의 가열은, 적어도 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 계속해서 행해진다. 계속해서, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전을 개시한다. 또한, 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은, 적어도, 웨이퍼(200)에 대한 처리가 완료될 때까지의 동안은 계속해서 행해진다.
(트리트먼트 공정)
그 후, 웨이퍼(200) 표면에 형성된 SiO막의 표면에 대해, 트리트먼트 처리(전처리)를 행한다. 이 처리에서는, SiO막의 표면 위에, 초기층으로서, 할로겐기로서의 Cl과, 소정 원소로서의 Si를 포함하는 시드층을 형성하게 된다.
제1 가스 공급관(232a)의 밸브(243a)를 개방하여, 제1 가스 공급관(232a) 내에, 제1 원료로서, HCDS 가스를 흘린다. 제1 가스 공급관(232a) 내를 흐른 HCDS 가스는 MFC(241a)에 의해 유량 조정된다. 유량 조정된 HCDS 가스는 제1 노즐(249a)의 가스 공급 구멍(250a)으로부터 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 HCDS 가스가 공급되게 된다. 이때 동시에 밸브(243e)를 개방하여, 제1 불활성 가스 공급관(232e) 내에 N2 가스 등의 불활성 가스를 흘린다. 제1 불활성 가스 공급관(232e) 내를 흐른 N2 가스는 MFC(241e)에 의해 유량 조정된다. 유량 조정된 N2 가스는 HCDS 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
또한, 이때, 제2 노즐(249b), 제3 노즐(249c) 내에의 HCDS 가스의 침입을 방지하기 위해, 밸브(243f, 243g)를 개방하여, 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g) 내에 N2 가스를 흘린다. N2 가스는 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제2 노즐(249b), 제3 노즐(249c)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300㎩, 바람직하게는 20 내지 1330㎩의 범위 내의 압력으로 한다. 또한, MFC(241a)로 제어하는 HCDS 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241e 내지 241g)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다.
또한, 이때, HCDS 가스의 공급 유량을, 후술하는 Si막 형성 공정에 있어서의 HCDS 가스의 공급 유량보다도 크게 하거나, 처리실(201) 내의 압력을, 후술하는 Si막 형성 공정에 있어서의 HCDS 가스 공급 시의 처리실(201) 내의 압력보다도 크게 함으로써, SiO막의 표면 위에의 시드층의 형성 레이트를 증가시켜, 성막 처리의 전체적인 생산성을 향상시키는 것이 가능해진다. 또한, 시드층을 연속적인 층으로 하는 것이 용이해져, 결과적으로, 후술하는 Si막 형성 공정에서 형성하는 Si막의 평탄성, 즉 웨이퍼(200) 면 내에 있어서의 막 두께 균일성을 향상시키는 것이 가능해진다. 또한, Si막의 단차 피복성을 향상시키는 것도 가능해진다.
또한, HCDS 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 후술하는 Si막 형성 공정의 1사이클당의 HCDS 가스의 공급 시간보다도 길게 한다. 구체적으로는, HCDS 가스의 가스 공급 시간은, 예를 들어 120초 이상 1200초 이하, 바람직하게는 300초 이상 900초 이하, 보다 바람직하게는 600초 이상 900초 이하의 범위 내의 시간으로 한다.
가스 공급 시간이 120초로 되지 않으면, SiO막의 표면 위에 형성되는 시드층의 두께가 지나치게 얇아져(예를 들어, 0.5Å 미만의 두께로 되어), 시드층이 불연속인 층으로 되는 경우가 있다. 이 경우, 후술하는 Si막 형성 공정이, 기초의 SiO막이 부분적으로 노출된 상태에서 행해지게 되므로, 형성되는 Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성이 저하되기 쉬워지고, 또한 단차 피복성이 저하되기 쉬워진다. 가스 공급 시간을 120초 이상으로 함으로써 시드층을 연속적으로 형성하는 것, 즉 시드층을 연속적인 층으로 하는 것이 가능해져, 가스 공급 시간을 300초(5분) 이상, 또한 600초(10분) 이상으로 함으로써 시드층을 연속적인 층으로 하는 것이 보다 용이해진다. 그 결과, Si막의 막 두께를, 예를 들어 5Å 내지 100Å, 바람직하게는 20Å 내지 100Å의, 소위 박막 영역으로 하는 경우라도, Si막을, 핀 홀을 갖지 않는 연속적인 막으로 하는 것이 가능해진다. 또한, Si막 표면의 평탄성을 높이는 것, 즉 Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성을 향상시키는 것도 가능해진다. 또한, Si막의 단차 피복성을 향상시키는 것도 가능해진다.
또한, 가스 공급 시간이 1800초(30분)를 초과하면, SiO막의 표면 위에 형성되는 시드층의 두께가 지나치게 두꺼워져(예를 들어, 2Å를 초과하는 두께로 되어), SiO막 위에 형성되는 시드층과 Si막의 적층막을 전체적으로 보았을 때, 막 중(특히, 하층)에 있어서의 Cl 등의 불순물 농도가 증대되어 버리는 등, 막질이 변화되어 버리는 경우가 있다. 또한, 트리트먼트 공정에 있어서의 HCDS 가스의 총 소비량이 증가하여, 성막 비용이 증가해 버리는 경우가 있다. 가스 공급 시간을 1800초 이하로 함으로써, 이들을 해소하는 것이 가능해진다. 특히, 가스 공급 시간을 1200초(20분) 이하, 또한 900초(15분) 이하로 함으로써, SiO막 위에 형성되는 시드층과 Si막의 적층막의 전체적인 막질을 보다 적절한 막질로 할 수 있다. 또한, 트리트먼트 공정에 있어서의 HCDS 가스의 낭비량을 보다 적절하게 억제할 수 있어, 성막 비용을 보다 저감시키는 것이 가능해진다.
또한, 웨이퍼(200)의 온도는, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 한다.
웨이퍼(200)의 온도가 250℃ 미만이면 SiO막의 표면 위에 시드층이 형성되기 어려워져, 실용적인 시드층의 형성 레이트가 얻어지지 않게 되는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 함으로써 이를 해소하는 것이 가능해진다. 또한, 웨이퍼(200)의 온도를 300℃ 이상, 또한 350℃ 이상으로 함으로써 SiO막의 표면 위에 시드층을 보다 충분히 형성하는 것이 가능해져, 시드층의 형성 레이트를 더 높일 수 있다.
또한, 웨이퍼(200)의 온도가 700℃를 초과하면, CVD 반응이 강해짐(기상 반응이 지배적으로 됨)으로써, 시드층의 웨이퍼(200) 면 내에 있어서의 두께의 균일성이 악화되어 쉬워져, 그 제어가 곤란해져 버린다. 웨이퍼(200)의 온도를 700℃ 이하로 함으로써, 시드층의 웨이퍼(200) 면 내에 있어서의 두께의 균일성의 악화를 억제할 수 있어, 그 제어가 가능해진다. 특히 웨이퍼(200)의 온도를 650℃ 이하, 또한 600℃ 이하로 함으로써, 표면 반응이 지배적으로 되어, 시드층의 웨이퍼(200) 면 내에 있어서의 두께의 균일성을 확보하기 쉬워져, 그 제어가 용이해진다.
이와 같이, 웨이퍼(200)의 온도가, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도이면, 트리트먼트 처리, 즉 SiO막의 표면 위에의 시드층의 형성을 진행시키는 것이 가능해진다.
단, 상세에 대해서는 후술하지만, 웨이퍼(200)의 온도가 300℃ 미만이면, 후술하는 Si막 형성 공정의 스텝 2에 있어서의 개질 반응(제1 층의 개질 반응)이 진행되기 어려워진다. 웨이퍼(200)의 온도를 300℃ 이상으로 함으로써 스텝 2에 있어서의 개질 반응을 진행시키기 쉽게 하는 것이 가능해진다. 또한, 웨이퍼(200)의 온도를 350℃ 이상으로 함으로써 스텝 2에 있어서의 개질 반응이 보다 활발해진다. 또한, 웨이퍼(200)의 온도가 450℃를 초과하면, 스텝 2에 있어서의 개질 반응을 적절하게 진행시키는 것이 어려워진다. 즉, 후술하는 Si막 형성 공정의 스텝 2에 있어서의 처리를 효율적이고 또한 적절하게 진행시키기 위해서는, 웨이퍼(200)의 온도를, 예를 들어 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 하는 것이 바람직하다.
이와 같이, 트리트먼트 공정과 Si막 형성 공정의 스텝 2에서는 적절한 온도 조건이 다르고, 트리트먼트 공정을 진행시키는 데 적절한 온도 범위 중에, Si막 형성 공정의 스텝 2를 진행시키는 데 적절한 온도 범위가 포함되는 형태로 된다. 여기서, 본 실시 형태와 같이, 트리트먼트 공정과 Si막 형성 공정을 동일한 처리실(201) 내에서 연속적으로 행하는 경우, 전체적인 스루풋을 향상시키기 위해서는, 트리트먼트 공정과 Si막 형성 공정에서, 웨이퍼(200)의 온도를 동일한 온도 조건으로 하는 것이 바람직하다. 즉, 트리트먼트 공정에 있어서의 웨이퍼(200)의 온도 조건을, Si막 형성 공정에 있어서의 웨이퍼(200)의 온도 조건과 동일하게 하는 것이 바람직하다. 따라서, 트리트먼트 공정에 있어서는, 웨이퍼(200)의 온도를, 예를 들어 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 하는 것이 좋다. 이 온도대이면, 트리트먼트 공정에서의 처리(시드층의 형성)와, Si막 형성 공정의 스텝 1, 2에 있어서의 처리(제1 층의 형성, 제1 층의 개질)를, 각각 효율적이고 또한 적절하게 진행시키는 것이 가능해진다.
상술한 조건 하에서 웨이퍼(200)에 대해 HCDS 가스를 공급함으로써, 웨이퍼(200) 표면의 SiO막 위에, 예를 들어 0.5 내지 2Å 정도의 두께의 시드층으로서, 할로겐기로서의 Cl을 포함하는 층, 보다 구체적으로는 할로겐기로서의 Cl과 소정 원소로서의 Si를 포함하는 층이 형성된다. 시드층은, 상술한 바와 같이 웨이퍼(200)의 면 내 전체 영역에 걸쳐서, 연속적이고 또한 평탄한 층으로 된다. 또한, 시드층의 표면은 Si-Cl 결합에 의해 종단(이하, 간단히 Cl 종단이라고도 함)된 면으로 된다. 시드층의 표면은 Cl 종단됨으로써, SiO막의 표면에 비해, 후술하는 Si막 형성 공정에 있어서 Si층이 성장하기 쉬운 면으로 된다.
(잔류 가스 제거)
SiO막의 표면 위에 시드층이 형성된 후, 제1 가스 공급관(232a)의 밸브(243a)를 폐쇄하여 HCDS 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 혹은 시드층 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제한다. 또한, 이때, 밸브(243e 내지 243g)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내에의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 시드층 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한, 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 Si막 형성 공정에 있어서 악영향이 생기는 경우는 없다. 이때 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)[처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, Si막 형성 공정에 있어서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
클로로실란계 원료 가스로서는, 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스 외에, 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스를 이용해도 된다. 불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용해도 된다.
〔Si막 형성 공정〕
SiO막의 표면에 대한 트리트먼트 처리, 즉 SiO막의 표면 위에의 시드층의 형성이 완료되면, 다음의 2개의 스텝, 즉 스텝 1, 2를 실행한다.
[스텝 1]
(HCDS 가스 공급)
여기서는, 트리트먼트 공정과 동일한 처리 수순에 의해, 웨이퍼(200)에 대해, 제2 원료로서, HCDS 가스를 공급한다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300㎩, 바람직하게는 20 내지 1330㎩의 범위 내의 압력으로 한다. MFC(241a)로 제어하는 HCDS 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241e 내지 241g)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. HCDS 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다.
이때, 웨이퍼(200)의 온도가 250℃ 미만이면 시드층 위에 HCDS가 화학 흡착되기 어려워져, 실용적인 성막 속도가 얻어지지 않게 되는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 함으로써 이를 해소하는 것이 가능해진다. 또한, 웨이퍼(200)의 온도를 300℃ 이상, 또한 350℃ 이상으로 함으로써 시드층 위에 HCDS를 보다 충분히 흡착시키는 것이 가능해져, 보다 충분한 성막 속도가 얻어지게 된다. 또한, 웨이퍼(200)의 온도가 700℃를 초과하면 CVD 반응이 강해짐(기상 반응이 지배적으로 됨)으로써, 막 두께 균일성이 악화되어 쉬워져, 그 제어가 곤란해져 버린다. 웨이퍼(200)의 온도를 700℃ 이하로 함으로써, 막 두께 균일성의 악화를 억제할 수 있어, 그 제어가 가능해진다. 특히, 웨이퍼(200)의 온도를 650℃ 이하, 또한 600℃ 이하로 함으로써, 표면 반응이 지배적으로 되어, 막 두께 균일성을 확보하기 쉬워져, 그 제어가 용이해진다. 이와 같이, 웨이퍼(200)의 온도가, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도이면, 스텝 1에 있어서의 처리(후술하는 제1 층의 형성)를 진행시키는 것이 가능해진다.
단, 상세에 대해서는 후술하지만, 웨이퍼(200)의 온도가 300℃ 미만이면 후술하는 스텝 2에 있어서의 개질 반응(제1 층의 개질 반응)이 진행되기 어려워진다. 웨이퍼(200)의 온도를 300℃ 이상으로 함으로써 스텝 2에 있어서의 개질 반응을 진행시키기 쉽게 할 수 있다. 또한, 웨이퍼(200)의 온도를 350℃ 이상으로 함으로써 스텝 2에 있어서의 개질 반응이 보다 활발해진다. 또한, 웨이퍼(200)의 온도가 450℃를 초과하면, 스텝 2에 있어서의 개질 반응을 적절하게 진행시키는 것이 어려워진다. 즉, 스텝 2에 있어서의 처리를 효율적이고 또한 적절하게 진행시키기 위해서는, 웨이퍼(200)의 온도를, 예를 들어 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 할 필요가 있다.
이와 같이, 스텝 1과 스텝 2에서는 적절한 온도 조건이 다르고, 스텝 1을 진행시키는 데 적합한 온도 범위 중에, 스텝 2를 진행시키는 데 적합한 온도 범위가 포함되는 형태로 된다. 여기서, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행하는 Si막 형성 공정의 스루풋을 향상시키기 위해서는, 스텝 1과 스텝 2에서 웨이퍼(200)의 온도를 동일한 온도 조건으로 하는 것이 바람직하다. 즉, 스텝 1에 있어서의 웨이퍼(200)의 온도 조건을, 스텝 2에 있어서의 웨이퍼(200)의 온도 조건과 동일하게 하는 것이 바람직하다. 따라서, 스텝 1에 있어서는, 웨이퍼(200)의 온도를, 예를 들어 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 하는 것이 좋다. 이 온도대이면, 스텝 1에 있어서의 처리(제1 층의 형성)와, 스텝 2에 있어서의 개질 처리(제1 층의 개질)를, 각각 효율적이고 또한 적절하게 진행시키는 것이 가능해진다.
상술한 조건 하에서 웨이퍼(200)에 대해 HCDS 가스를 공급함으로써, 시드층 위에 제1 층으로서, 예를 들어 1원자층 미만부터 수원자층 정도의 두께의 Cl을 포함하는 Si 함유층이 형성된다. 제1 층은 HCDS 가스의 흡착층이어도 되고, Cl을 포함하는 Si층이어도 되고, 그 양쪽을 포함하고 있어도 된다.
여기서, Cl을 포함하는 Si층은 Si에 의해 구성되어 Cl을 포함하는 연속적인 층 외에, 불연속인 층이나, 이들이 겹쳐서 생기는 Cl을 포함하는 Si 박막도 포함하는 총칭이다. 또한, Si에 의해 구성되어 Cl을 포함하는 연속적인 층을 Cl을 포함하는 Si 박막으로 하는 경우도 있다. 또한, Cl을 포함하는 Si층을 구성하는 Si는 Cl과의 결합이 완전히 끊어져 있지 않은 것 외에, Cl과의 결합이 완전히 끊어져 있는 것도 포함한다.
또한, HCDS 가스의 흡착층은 HCDS 가스의 가스 분자의 연속적인 화학 흡착층 외에, 불연속인 화학 흡착층도 포함한다. 즉, HCDS 가스의 흡착층은 HCDS 분자로 구성되는 1분자층 혹은 1분자층 미만의 두께의 화학 흡착층을 포함한다. 또한, HCDS 가스의 흡착층을 구성하는 HCDS(Si2Cl6) 분자는 Si와 Cl의 결합이 일부 끊어진 것(SixCly 분자)도 포함한다. 즉, HCDS 가스의 흡착층은 Si2Cl6 분자 및/또는 SixCly 분자의 연속적인 화학 흡착층이나 불연속인 화학 흡착층을 포함한다.
또한, 1원자층 미만의 두께의 층이라 함은, 불연속으로 형성되는 원자층을 의미하고 있고, 1원자층의 두께의 층이라 함은, 연속적으로 형성되는 원자층을 의미하고 있다. 또한, 1분자층 미만의 두께의 층이라 함은, 불연속으로 형성되는 분자층을 의미하고 있고, 1분자층의 두께의 층이라 함은, 연속적으로 형성되는 분자층을 의미하고 있다.
HCDS 가스가 자기 분해(열분해)되는 조건 하, 즉 HCDS의 열분해 반응이 발생하는 조건 하에서는, 시드층 위에 Si가 퇴적함으로써 Cl을 포함하는 Si층이 형성된다. HCDS 가스가 자기 분해(열분해)되지 않는 조건 하, 즉 HCDS의 열분해 반응이 발생하지 않는 조건 하에서는, 시드층 위에 HCDS 가스가 흡착함으로써 HCDS 가스의 흡착층이 형성된다. 또한, 시드층 위에 HCDS 가스의 흡착층을 형성하는 것보다도, 시드층 위에 Cl을 포함하는 Si층을 형성하는 쪽이, 성막 레이트를 높게 할 수 있어, 바람직하다.
시드층 위에 형성되는 제1 층의 두께가 수원자층을 초과하면, 후술하는 스텝 2에서의 개질의 작용이 제1 층의 전체에 미치지 않게 된다. 또한, 시드층 위에 형성 가능한 제1 층의 두께의 최소값은 1원자층 미만이다. 따라서, 제1 층의 두께는 1원자층 미만부터 수원자층 정도로 하는 것이 바람직하다. 또한, 제1 층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 함으로써, 후술하는 스텝 2에서의 개질 반응의 작용을 상대적으로 높일 수 있어, 스텝 2에서의 개질 반응에 필요로 하는 시간을 단축할 수 있다. 스텝 1에서의 제1 층 형성에 필요로 하는 시간을 단축할 수도 있다. 결과적으로, 1사이클당의 처리 시간을 단축할 수 있어, 전체적인 처리 시간을 단축하는 것도 가능해진다. 즉, 성막 레이트를 높게 하는 것도 가능해진다. 또한, 제1 층의 두께를 1원자층 이하로 함으로써, 막 두께 균일성의 제어성을 높이는 것도 가능해진다.
또한, 제1 층은 트리트먼트 처리 후의 SiO막 위에, 즉 연속적으로 형성된 시드층 위에 형성되게 된다. 그 결과로서, 후술하는 바와 같이, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행함으로써 Si막을 형성할 때, 그 막 두께를, 예를 들어 5Å 내지 100Å의, 소위 박막 영역으로 하는 경우라도, 핀 홀이 없는 막을 형성하는 것이 가능해지고, 또한 막 두께 균일성을 향상시키는 것이 가능해지고, 또한 막의 단차 피복성을 향상시키는 것이 가능해진다.
또한, 제1 층은 트리트먼트 처리 후의 SiO막 위에, 즉 표면이 Cl 종단된 시드층 위에 형성되므로, 스텝 1, 2를 1사이클로 하고 이 사이클을 소정 횟수 행할 때, 그 빠른 단계로부터(사이클의 실시 횟수가 적은 단계로부터), 효율적으로(지체 없이) 후술하는 Si층의 형성이 개시되게 된다. 즉, Si막의 인큐베이션 시간을 단축시킬 수 있게 되어, Si막 형성 처리의 전체적인 생산성을 향상시키는 것이 가능해진다. 또한, 원료 가스의 총 소비량, 특히, 후술하는 스텝 2에서 공급하는 비교적 고가의 아미노실란계 원료 가스의 소비량을 억제할 수 있어, 성막 비용을 저감시키는 것이 가능해진다.
또한, 상술한 시드층이, 웨이퍼(200) 면 내 전체 영역에 걸쳐서 연속적으로 형성되어 있으므로, Si막의 인큐베이션 시간, 즉 후술하는 Si층의 성장 개시의 타이밍을, 웨이퍼(200) 면 내 전체 영역에 걸쳐서 균일하게 정렬시키는 것이 가능해진다. 이에 의해, 성장 개시의 타이밍 어긋남에 의한 막 두께에의 영향을 억제할 수 있어, Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성을 향상시키는 것이 가능해진다.
(잔류 가스 제거)
제1 층이 형성된 후, 트리트먼트 공정과 동일한 수순에 의해, 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 혹은 제1 층 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제한다.
또한, 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 2에 있어서 악영향이 생기는 경우는 없다. 이때 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)[처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, 스텝 2에 있어서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
클로로실란계 원료 가스로서는, 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스 외에, 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료 가스를 이용해도 된다. 불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용해도 된다.
[스텝 2]
(SiH3R 가스 공급)
스텝 1이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제2 가스 공급관(232b)의 밸브(243b)를 개방하여, 제2 가스 공급관(232b) 내에, 제3 원료로서, SiH3R 가스를 흘린다. 제2 가스 공급관(232b) 내를 흐른 SiH3R 가스는 MFC(241b)에 의해 유량 조정된다. 유량 조정된 SiH3R 가스는 제2 노즐(249b)의 가스 공급 구멍(250b)으로부터 처리실(201) 내로 공급되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 SiH3R 가스가 공급되게 된다. 이때 동시에 밸브(243f)를 개방하여, 제2 불활성 가스 공급관(232f) 내에 불활성 가스로서의 N2 가스를 흘린다. 제2 불활성 가스 공급관(232f) 내를 흐른 N2 가스는 MFC(241f)에 의해 유량 조정된다. 유량 조정된 N2 가스는 SiH3R 가스와 함께 처리실(201) 내로 공급되어, 배기관(231)으로부터 배기된다.
또한, 이때, 제1 노즐(249a), 제3 노즐(249c) 내에의 SiH3R 가스의 침입을 방지하기 위해, 밸브(243e, 243g)를 개방하여, 제1 불활성 가스 공급관(232e), 제3 불활성 가스 공급관(232g) 내에 N2 가스를 흘린다. N2 가스는 제1 가스 공급관(232a), 제3 가스 공급관(232c), 제1 노즐(249a), 제3 노즐(249c)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300㎩, 바람직하게는 20 내지 1330㎩의 범위 내의 압력으로 한다. MFC(241b)로 제어하는 SiH3R 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241e 내지 241g)로 제어하는 N2 가스의 공급 유량은, 각각, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. SiH3R 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다.
이때의 히터(207)의 온도는 스텝 1과 마찬가지로, 웨이퍼(200)의 온도가, 예를 들어 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 되는 온도로 설정한다.
웨이퍼(200)의 온도가 300℃ 미만이면, 웨이퍼(200)에 대해 공급하는 SiH3R 가스가 자기 분해(열분해)되기 어려워져, SiH3R 가스에 있어서의 Si로부터 아미노기를 포함하는 리간드(R)가 분리되기 어려워진다. 즉, 스텝 1에서 형성된 제1 층(Cl을 포함하는 Si 함유층)과 반응하는 리간드(R)의 수가 부족해지기 쉬워진다. 그 결과, 제1 층으로부터의 Cl 뽑아 내기 반응이 생기기 어려워진다.
웨이퍼(200)의 온도를 300℃ 이상으로 함으로써 웨이퍼(200)에 대해 공급하는 SiH3R 가스가 열분해되기 쉬워져, SiH3R 가스에 있어서의 Si로부터 아미노기를 포함하는 리간드(R)가 분리되기 쉬워진다. 그리고, 분리된 리간드(R)가 제1 층에 있어서의 할로겐기(Cl)와 반응함으로써, 제1 층으로부터의 Cl 뽑아 내기 반응이 생기기 쉬워진다. 또한, 웨이퍼(200)의 온도를 350℃ 이상으로 함으로써 웨이퍼(200)에 대해 공급하는 SiH3R 가스의 열분해가 보다 활발해져, SiH3R 가스에 있어서의 Si로부터 분리되는 리간드(R)의 수가 증가하기 쉬워진다. 제1 층에 있어서의 Cl과 반응하는 리간드(R)의 수가 증가함으로써, 제1 층으로부터의 Cl 뽑아 내기 반응이 보다 활발해진다.
또한, SiH3R 가스에 있어서의 Si로부터 분리된 아미노기를 포함하는 리간드(R)가, 제1 층(Cl이 뽑아 내어진 Si 함유층)에 있어서의 Si, 즉 제1 층으로부터 Cl이 뽑아 내어짐으로써 미결합수(단글링 본드)를 갖게 된 Si(단일로 된 Si), 혹은, 미결합수를 갖고 있던 Si(단일로 되어 있던 Si)와 결합하기 위해서는, 450℃를 초과하는 열에너지가 필요해진다. 따라서, 웨이퍼(200)의 온도를 450℃ 이하로 함으로써, SiH3R 가스에 있어서의 Si로부터 분리된 아미노기를 포함하는 리간드(R)가, 제1 층에 있어서의 미결합수를 갖게 된 Si, 혹은 미결합수를 갖고 있던 Si와 결합하는 것을 저해할 수 있다. 즉, 웨이퍼(200)의 온도를 450℃ 이하로 함으로써, 아미노기를 포함하는 리간드(R)가, 제1 층 중으로 넣어지는 것을 저해할 수 있다. 그 결과, 개질 후의 제1 층, 즉 후술하는 제2 층에 있어서의 C, N 등의 불순물 함유량을 극히 적게 할 수 있다.
또한, 웨이퍼(200)의 온도를 이 온도대(300 내지 450℃의 온도대)로 함으로써, SiH3R 가스에 있어서의 리간드(R)가 분리된 Si, 즉 SiH3R 가스에 포함되어 있던 미결합수를 갖게 된 Si가, 제1 층에 있어서의 미결합수를 갖게 된 Si, 혹은, 미결합수를 갖고 있던 Si와 결합하기 쉬워져, Si-Si 결합이 형성되는 것을 촉진시킬 수 있다.
또한, 웨이퍼(200)의 온도가 450℃를 초과하면, SiH3R 가스에 있어서의 Si로부터 분리된 아미노기를 포함하는 리간드(R)가, 제1 층에 있어서의 미결합수를 갖게 된 Si, 혹은, 미결합수를 갖고 있던 Si와 결합하기 쉬워진다. 즉, 아미노기를 포함하는 리간드(R)가, 제1 층 중에 넣어지기 쉬워진다. 그리고, 개질 후의 제1 층, 즉 후술하는 제2 층에 있어서의 C, N 등의 불순물 함유량이 증가하기 쉬워진다.
따라서, 웨이퍼(200)의 온도는, 예를 들어 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 하는 것이 좋다.
상술한 조건 하에서 웨이퍼(200)에 대해 SiH3R 가스를 공급함으로써, 스텝 1에서 웨이퍼(200) 위에 형성된 제1 층(Cl을 포함하는 Si 함유층)과 SiH3R 가스가 반응한다. 즉, 상술한 온도로 가열한 웨이퍼(200)에 대해 SiH3R 가스를 공급함으로써, SiH3R 가스에 있어서의 Si로부터 아미노기를 포함하는 리간드(R)가 분리되고, 분리된 리간드(R)가, 제1 층에 있어서의 Cl과 반응하여 제1 층으로부터 Cl을 뽑아 낸다. 또한, 웨이퍼(200)를 상술한 온도로 가열함으로써, SiH3R 가스에 있어서의 Si로부터 분리된 아미노기를 포함하는 리간드(R)가, 제1 층(Cl이 뽑아 내어진 Si 함유층)에 있어서의 미결합수를 갖게 된 Si, 혹은, 미결합수를 갖고 있던 Si와 결합하는 것이 저해된다. 또한, SiH3R 가스에 있어서의 리간드(R)가 분리되어 미결합수를 갖게 된 Si가, 제1 층에 있어서의 미결합수를 갖게 된 Si, 혹은, 미결합수를 갖고 있던 Si와 결합하여, Si-Si 결합이 형성된다. 이에 의해, 스텝 1에서 웨이퍼(200) 위에 형성된 제1 층은 Si를 포함하고, Cl, C, N 등의 불순물의 함유량이 극히 적은 제2 층으로 변화된다(개질된다). 또한, 제2 층은 1원자층 미만부터 수원자층 정도의 두께의 층이며, Cl, C, N 등의 불순물의 함유량이 극히 적은 Si 단체로 구성되는 Si층으로 된다. 이 Si층의 결정 구조는 아몰퍼스 상태(비정질)로 되고, 이 Si층을 아몰퍼스 실리콘층(a-Si층)이라고 칭할 수도 있다.
또한, 제2 층으로서의 Si층을 형성할 때, 개질 전의 제1 층 중에 포함되어 있던 Cl과, SiH3R 가스에 포함되어 있던 아미노기를 포함하는 리간드(R)는 SiH3R 가스에 의한 제1 층의 개질 반응의 과정에 있어서 그 대부분이 반응하여, 예를 들어 아미노염 등의 가스 상태의 반응 생성물을 구성하고, 배기관(231)을 통해 처리실(201) 내로부터 배출된다. 이에 의해, 개질 후의 제1 층, 즉 제2 층 중에 포함되는 Cl, C, N 등의 불순물의 양을 저감시킬 수 있게 된다. 또한, 아미노실란계 원료 가스로서 SiH3R 가스를 이용한 경우에는, 그 조성식 중에(1분자 중에) 포함되는 아미노기가 적으므로, 즉 그 조성 중에 포함되는 C, N의 양이 적으므로, 개질 후의 제1 층, 즉 제2 층 중에 포함되는 C, N 등의 불순물의 양을 저감시키기 쉬워져, 특히, N의 양을 대폭으로 저감시킬 수 있게 된다.
(잔류 가스 제거)
Si층이 형성된 후, 제2 가스 공급관(232b)의 밸브(243b)를 폐쇄하여, SiH3R 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여, 처리실(201) 내에 잔류하는 미반응 혹은 제2 층 형성에 기여한 후의 SiH3R 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한, 이때, 밸브(243e 내지 243g)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내에의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 제2 층 형성에 기여한 후의 SiH3R 가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
또한, 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 된다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 행해지는 스텝 1에 있어서 악영향이 생기는 경우는 없다. 이때 처리실(201) 내에 공급하는 N2 가스의 유량도 대유량으로 할 필요는 없고, 예를 들어 반응관(203)[처리실(201)]의 용적과 동일 정도의 양을 공급함으로써, 스텝 1에 있어서 악영향이 생기지 않을 정도의 퍼지를 행할 수 있다. 이와 같이, 처리실(201) 내를 완전히 퍼지하지 않음으로써, 퍼지 시간을 단축하여, 스루풋을 향상시킬 수 있다. 또한, N2 가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.
아미노실란계 원료로서는, 모노아미노실란(SiH3R) 외에, 디아미노실란(SiH2RR'), 트리아미노실란(SiHRR'R''), 테트라아미노실란(SiRR'R''R''') 등의 유기 원료를 이용해도 된다. 여기서, R, R', R'', R'''의 각각은 리간드(배위자)를 나타내고 있고, 여기서는, 1개의 N 원자에, 1개 이상의 C 원자를 포함하는 탄화수소기가 1개 또는 2개 배위한 아미노기(NH2로 나타나는 아미노기의 H의 한쪽 또는 양쪽을 1개 이상의 C 원자를 포함하는 탄화수소기로 치환한 것)를 나타내고 있다. 아미노기의 일부를 구성하는 탄화수소기가 1개의 N에 2개 배위하고 있는 경우에는, 그 2개가 동일한 탄화수소기여도 되고, 다른 탄화수소기여도 된다. 또한, 탄화수소기는 이중 결합이나 삼중 결합 등의 불포화 결합을 포함하고 있어도 된다. 또한, R, R', R'', R'''의 각각의 아미노기는 동일한 아미노기여도 되고, 다른 아미노기여도 된다. 또한, 아미노기는 환상 구조를 가져도 된다. 예를 들어, SiH2RR'로서는, 비스(디에틸아미노)실란{SiH2[N(C2H5)2]2, 약칭: BDEAS}, 비스(터셔리부틸아미노)실란{SiH2[NH(C4H9)]2, 약칭: BTBAS}, 비스(디에틸피페리디노)실란{SiH2 [NC5H8(C2H5)2]2, 약칭: BDEPS} 등을 이용할 수 있다. 또한, 예를 들어 SiHRR'R''로서는, 트리스(디에틸아미노)실란{SiH[N(C2H5)2]3, 약칭: 3DEAS}, 트리스(디메틸아미노)실란{SiH[N(CH3)2]3, 약칭: 3DMAS} 등을 이용할 수 있다. 또한, 예를 들어 SiRR'R''R'''로서는, 테트라키스(디에틸아미노)실란{Si[N(C2H5)2]4, 약칭: 4DEAS}, 테트라키스(디메틸아미노)실란{Si[N(CH3)2]4, 약칭: 4DMAS} 등을 이용할 수 있다.
또한, 아미노실란계 원료로서는, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드의 수가 2 이하이며, 또한 클로로실란계 원료의 조성식 중에 있어서의 할로겐기를 포함하는 리간드의 수 이하인 유기 원료를 이용하는 것이 바람직하다.
예를 들어, 클로로실란계 원료로서, 그 조성식 중에 있어서의 할로겐기를 포함하는 리간드(Cl)의 수가 2 이상인 HCDS(Si2Cl6), STC(SiCl4), TCS(SiHCl3), DCS(SiH2Cl2)를 이용하는 경우에는, 아미노실란계 원료로서, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수가 1인 모노아미노실란(SiH3R) 외에, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수가 2인 디아미노실란(SiH2RR')을 이용하는 것이 바람직하다. 또한, 클로로실란계 원료로서, 그 조성식 중에 있어서의 할로겐기를 포함하는 리간드(Cl)의 수가 1인 MCS(SiH3Cl)를 이용하는 경우에는, 아미노실란계 원료로서, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수가 1인 모노아미노실란(SiH3R)을 이용하는 것이 바람직하다.
또한, 아미노실란계 원료의 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수는 클로로실란계 원료의 조성식 중에 있어서의 할로겐기를 포함하는 리간드(Cl)의 수보다도 적은 것이 바람직하다. 따라서, 클로로실란계 원료로서, 그 조성식 중에 있어서의 할로겐기를 포함하는 리간드(Cl)의 수가 2인 DCS를 이용하는 경우에는, 아미노실란계 원료로서, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수가 2인 디아미노실란을 이용하는 것보다도, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수가 1인 모노아미노실란을 이용하는 것이 바람직하다.
또한, 아미노실란계 원료의 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수는 1인 것이 보다 바람직하다. 따라서, 아미노실란계 원료로서는, 디아미노실란을 이용하는 것보다도, 모노아미노실란을 이용하는 것이 보다 바람직하다. 이 경우, 아미노실란계 원료의 조성식 중에 있어서의 아미노기를 포함하는 리간드(R)의 수가, 클로로실란계 원료의 조성식 중에 있어서의 할로겐기를 포함하는 리간드(Cl)의 수보다도 적어지도록 하기 위해, 클로로실란계 원료로서, 그 조성식 중에 있어서의 할로겐기를 포함하는 리간드(Cl)의 수가 2 이상인 HCDS, STC, TCS, DCS를 이용하는 것이 보다 바람직하다.
이와 같이 함으로써, 스텝 2에서 제1 층(Cl을 포함하는 Si 함유층)에 대해 공급되는 SiH3R 가스에 포함되어 있는 아미노기를 포함하는 리간드(R)에 비해, 개질 전의 제1 층 중에 포함되어 있는 Cl이 많이 존재하게 된다. 이 경우, SiH3R 가스에 포함되어 있던 아미노기를 포함하는 리간드(R)는 제1 층의 개질 반응의 과정에 있어서, 개질 전의 제1 층 중에 포함되어 있는 Cl, 즉 아미노기를 포함하는 리간드(R)보다도 많이 존재하는 Cl과 그 대부분이 반응하여, 예를 들어 아미노염 등의 가스 상태의 반응 생성물을 구성하고, 배기관(231)을 통해 처리실(201) 내로부터 배출되게 된다. 즉, SiH3R 가스에 포함되어 있던 아미노기를 포함하는 리간드(R)는 개질 후의 제1 층, 즉 제2 층 중에 넣어지는 것 없이, 그 대부분이 처리실(201) 내로부터 배출되어 소실되게 된다. 그 결과, 개질 후의 제1 층, 즉 제2 층을, C, N의 불순물의 양이 더욱 적은 Si층으로 변화시킬(개질할) 수 있게 된다.
불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용해도 된다.
(소정 횟수 실시)
상술한 스텝 1, 2를 1사이클로 하고, 이 사이클을 1회 이상(소정 횟수) 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위, 즉 시드층 위에 소정 원소를 포함하는 막으로서, Cl, C, N 등의 불순물의 함유량이 극히 적은 Si 단체로 구성되는 Si막을 성막할 수 있다. 또한, 이 시드층은 Si막의 기초막의 일부로서 생각해도 되고, Si막의 일부로서 생각해도 된다. 이 Si막의 결정 구조는 아몰퍼스 상태(비정질)로 되고, 이 Si막을 아몰퍼스 실리콘막(a-Si막)이라고 칭할 수도 있다. 또한, 상술한 사이클은 복수회 반복하는 것이 바람직하다. 즉, 1사이클당에 형성하는 Si층의 두께를 원하는 막 두께보다도 작게 하여, 상술한 사이클을 원하는 막 두께로 될 때까지 복수회 반복하는 것이 바람직하다.
또한, 사이클을 복수회 행하는 경우, 적어도 2사이클째 이후의 각 스텝에 있어서, 「웨이퍼(200)에 대해 소정의 가스를 공급한다」라고 기재한 부분은, 「웨이퍼(200) 위에 형성되어 있는 층에 대해, 즉 적층체로서의 웨이퍼(200)의 최외측 표면에 대해 소정의 가스를 공급한다」는 것을 의미하고, 「웨이퍼(200) 위에 소정의 층을 형성한다」라고 기재한 부분은, 「웨이퍼(200) 위에 형성되어 있는 층 위, 즉 적층체로서의 웨이퍼(200)의 최외측 표면 위에 소정의 층을 형성한다」는 것을 의미하고 있다. 이 점은 상술한 바와 같다. 또한, 이 점은 후술하는 변형예, 다른 실시 형태에 있어서도 마찬가지이다.
(퍼지 및 대기압 복귀)
소정 막 두께의 Si막을 형성하는 성막 처리가 이루어지면, 밸브(243e 내지 243g)를 개방하여, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g)의 각각으로부터 불활성 가스로서의 N2 가스를 처리실(201) 내에 공급하고, 배기관(231)으로부터 배기한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내가 불활성 가스로 퍼지되어, 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되어(불활성 가스 치환), 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).
(보트 언로드 및 웨이퍼 디스차지)
그 후, 보트 엘리베이터(115)에 의해 시일 캡(219)이 하강되어, 반응관(203)의 하단이 개방됨과 함께, 처리가 종료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 반응관(203)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 그 후, 처리가 종료된 웨이퍼(200)는 보트(217)로부터 취출된다(웨이퍼 디스차지).
(3) 본 실시 형태에 관한 효과
본 실시 형태에 따르면, 이하에 나타내는 1개 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태의 성막 시퀀스에 따르면, Si막은 트리트먼트 처리 후의 SiO막 위에, 즉 연속적으로 형성된 시드층 위에 형성되게 된다. 그 결과로서, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행함으로써 Si막을 형성할 때, 그 막 두께를, 예를 들어 5Å 내지 100Å, 바람직하게는 20Å 내지 100Å의, 소위 박막 영역으로 하는 경우라도, 막 두께 균일성이 양호한 핀 홀이 없는 Si막을 형성하는 것이 가능해진다. 또한, Si막의 단차 피복성을 향상시키는 것이 가능해진다.
(b) 본 실시 형태의 성막 시퀀스에 따르면, Si막은 트리트먼트 처리 후의 SiO막 위에, 즉 표면이 Cl 종단된 시드층 위에 형성되므로, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행할 때, 그 빠른 단계로부터 지체없이 Si층의 형성이 개시되게 된다. 즉, Si막의 인큐베이션 시간을 단축시킬 수 있게 되어, Si막 형성 처리의 전체적인 생산성을 향상시키는 것이 가능해진다. 또한, 원료 가스의 총 소비량을 억제할 수 있어, 성막 비용을 저감시키는 것이 가능해진다.
(c) 본 실시 형태의 성막 시퀀스에 따르면, 상술한 시드층이, 웨이퍼(200) 면 내 전체 영역에 걸쳐서 연속적으로 형성되어 있으므로, Si막의 인큐베이션 시간, 즉 Si층의 성장 개시의 타이밍을, 웨이퍼(200) 면 내 전체 영역에 걸쳐서 균일하게 정렬시키는 것이 가능해진다. 이에 의해, 성장 개시의 타이밍 어긋남에 의한 막 두께에의 영향을 억제할 수 있어, Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성을 향상시키는 것이 가능해진다.
(d) 본 실시 형태의 성막 시퀀스에 따르면, 트리트먼트 공정에 있어서의 처리실(201) 내의 압력을, Si막 형성 공정에 있어서의 HCDS 가스 공급 시의 처리실(201) 내의 압력보다도 크게 하거나, 트리트먼트 공정에 있어서의 HCDS 가스의 공급 유량을, Si막 형성 공정에 있어서의 HCDS 가스의 공급 유량보다도 크게 함으로써, SiO막의 표면 위에의 시드층의 형성 레이트를 증가시켜, 성막 처리의 전체적인 생산성을 향상시키는 것이 가능해진다. 또한, 시드층을 연속적인 층으로 하는 것이 용이해져, 결과적으로, Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성이나 단차 피복성을 향상시키는 것도 가능해진다.
(e) 본 실시 형태의 성막 시퀀스에 따르면, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행할 때, 웨이퍼(200)의 온도를, SiH3R에 있어서의 Si로부터 아미노기를 포함하는 리간드(R)가 분리되는 온도이며, 분리된 리간드가 제1 층에 있어서의 Cl과 반응하여 제1 층으로부터 Cl을 뽑아 냄과 함께, 분리된 리간드가 제1 층에 있어서의 Si와 결합하는 것을 저해하는 온도이며, 또한 SiH3R에 있어서의 리간드가 분리된 Si가 제1 층에 있어서의 Si와 결합하는 온도로 한다. 구체적으로는, 웨이퍼(200)의 온도를 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 한다.
이에 의해, 스텝 1에서 형성한 제1 층을, Cl, C, N 등의 불순물의 함유량이 극히 적은 제2 층(Si층)으로 개질시킬 수 있다. 그리고, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행함으로써, 저온 영역에 있어서, Cl, C, N 등의 불순물의 함유량이 극히 적은 양질의 Si막을 형성할 수 있게 된다. 또한, 발명자들의 예의 연구에 따르면, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행할 때, 웨이퍼(200)의 온도를 450℃를 초과하는 온도로 하면, 막 중에 5% 이상의 농도의 C가 관찰되는 경우가 있었다. 이에 대해, 웨이퍼(200)의 온도를 300 내지 450℃, 바람직하게는 350 내지 450℃의 범위 내의 온도로 함으로써, 불순물의 함유량이 극히 적은 양질의 Si막을 형성할 수 있는 것을 확인하였다.
또한, 이 성막 방법에 의해 형성한 Si막은, 예를 들어 HF 등에 대한 습식 에칭 내성이 높은 치밀한 막이 되고, 예를 들어 HF를 이용하여 기초의 SiO막 등을 에칭할 때의 에칭 마스크용 막 등으로서 적절하게 이용할 수 있다. 단, 이 경우, Si막은 SiO막이나 SiN막과 같은 절연막이 아니므로, 예를 들어 에칭 마스크용 막으로서 이용한 후에는 제거할 필요가 있다.
(f) 본 실시 형태의 성막 시퀀스에 따르면, 스텝 2에 있어서, 아미노실란계 원료 가스로서, 그 조성식 중에(1분자 중에) 함유하는 아미노기가 적은 SiH3R 가스를 이용하고 있다. 구체적으로는, 그 조성식 중에(1분자 중에) 단일의 아미노기를 포함하는 원료 가스를 이용하고 있다. 이와 같이, 아미노실란계 원료 가스로서, 그 조성 중에 포함되는 C, N의 양이 적은 원료 가스를 이용함으로써 스텝 2에서 형성하는 제2 층 중에 포함되는 C, N 등의 불순물의 양을 저감시키기 쉬워져, 특히, N의 양을 대폭 저감시키는 것이 가능해진다. 그리고, 형성하는 Si막 중에 포함되는 C, N 등의 불순물의 양을 저감시키기 쉬워져, 특히, N의 양을 대폭으로 저감시키는 것이 가능해진다.
(g) 본 실시 형태의 성막 시퀀스에 따르면, 클로로실란계 원료 및 아미노실란계 원료의 2개의 원료(실란 소스)를 이용함으로써 저온 영역이라도 Si막을 형성할 수 있다. 또한, 발명자들의 실험에 따르면, 클로로실란계 원료 단체를 이용하는 경우, 500℃ 이하의 온도대에서는 생산 효율을 충족시키는 성막 레이트로 웨이퍼 위에 Si를 퇴적시키는 것은 곤란했다. 또한, 아미노실란계 원료 단체를 이용하는 경우, 500℃ 이하의 온도대에서는 웨이퍼 상에의 Si의 퇴적도 확인되지 않았다. 그러나, 본 실시 형태의 방법에 따르면, 500℃ 이하의 저온 영역, 예를 들어 300 내지 450℃의 온도대에 있어서도, 생산 효율을 충족시키는 성막 레이트로, 양질의 Si막을 형성하는 것이 가능해진다.
또한, 성막 온도를 저온화시키면, 통상, 분자의 운동 에너지가 저하되어, 클로로실란계 원료에 포함되는 염소나 아미노실란계 원료에 포함되는 아민의 반응이나 탈리가 일어나기 어려워져, 이들 리간드가 웨이퍼 표면 위에 잔류하게 된다. 그리고 이들 잔류한 리간드가 입체 장해로 됨으로써, 웨이퍼 표면 위에의 Si의 흡착이 저해되어, Si 밀도가 저하되어, 막의 열화가 일어나 버린다. 그러나, 그와 같은 반응이나 탈리가 진행되기 어려운 조건 하에서도, 2개의 실란 소스, 즉 클로로실란계 원료와 아미노실란계 원료를 적절하게 반응시킴으로써, 그들 잔류 리간드를 탈리시키는 것이 가능해진다. 그리고 그들 잔류 리간드의 탈리에 의해 입체 장해가 해소되고, 그것에 의해 개방된 사이트에 Si를 흡착시키는 것이 가능해져, Si 밀도를 높이는 것이 가능해진다. 이와 같이 하여, 500℃ 이하의 저온 영역, 예를 들어 300 내지 450℃의 온도대에 있어서도 Si 밀도가 높은 막을 형성할 수 있게 된다고 생각된다.
(h) 본 실시 형태에 따르면, 저온 영역에 있어서, 논플라즈마의 분위기 하에서(플라즈마를 이용하지 않고), 열적인 반응에 의해(열화학 반응에 의해), 양질의 Si막을 형성할 수 있다. 그리고, 플라즈마를 이용하지 않고 Si막을 형성할 수 있으므로, 플라즈마 손상을 우려하는 공정에의 적응도 가능해진다.
(i) 본 실시 형태에 따르면, 웨이퍼(200)에 대해 클로로실란계 원료와 아미노실란계 원료를 교대로 공급하는 교대 공급법을 이용함으로써 표면 반응이 지배적인 조건 하에서 적절하게 반응을 진행시킬 수 있어, Si막의 단차 피복성(스텝 커버리지)을 향상시킬 수 있다. 또한, Si막의 막 두께 제어의 제어성을 높일 수도 있다.
(4) 변형예
도 4, 도 5의 (a)에 도시한 상술한 성막 시퀀스에서는, 웨이퍼(200)의 표면에 형성된 SiO막의 표면을 트리트먼트한 후, 스텝 1, 2를 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위, 즉 시드층 위에 소정 막 두께의 Si막을 형성하는 예에 대해 설명하였지만, 본 실시 형태에 관한 성막 시퀀스는 이러한 형태에 한정되지 않고, 이하에 기재한 바와 같이 변경해도 된다.
(변형예 1)
예를 들어, 도 6에 도시한 바와 같이, 도 4, 도 5의 (a)에 도시하는 성막 시퀀스의 시드층 형성 스텝과, 스텝 1, 2를 포함하는 사이클을 소정 횟수(n회) 행함으로써 Si층을 형성하는 스텝을 실시한 후, 웨이퍼(200)에 대해 무기 실란계 원료 가스(예를 들어, SiH4 가스)를 공급하는 스텝을 행하여, CVD(Chemical Vapor Deposition)법에 의해 CVD-Si층을 형성하도록 해도 된다. 이에 의해, 트리트먼트가 행해진 SiO막의 표면 위, 즉 시드층 위에 Si층, CVD-Si층이 이 순서대로 적층되어 이루어지는 Si막을 형성할 수 있다.
CVD-Si층을 형성하기 위해서는, 제3 가스 공급관(232c)의 밸브(243c)를 개방하여, 제3 가스 공급관(232c) 내에, 제4 원료로서, SiH4 가스를 흘린다. 제3 가스 공급관(232c) 내를 흐른 SiH4 가스는 MFC(241c)에 의해 유량 조정된다. 유량 조정된 SiH4 가스는 제3 노즐(249c)의 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 SiH4 가스가 공급되게 된다. 이때 동시에 밸브(243g)를 개방하여, 불활성 가스 공급관(232g) 내에 N2 가스 등의 불활성 가스를 흘린다. 불활성 가스 공급관(232g) 내를 흐른 N2 가스는 MFC(241g)에 의해 유량 조정된다. 유량 조정된 N2 가스는 SiH4 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
또한, 이때, 제1 노즐(249a), 제2 노즐(249b) 내에의 SiH4 가스의 침입을 방지하기 위해, 밸브(243e, 243f)를 개방하여, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f) 내에 N2 가스를 흘린다. N2 가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제1 노즐(249a), 제2 노즐(249b)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 1000㎩의 범위 내의 압력으로 한다. MFC(241c)로 제어하는 SiH4 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241e 내지 241g)로 제어하는 N2 가스의 공급 유량은, 각각 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 히터(207)의 온도는 웨이퍼(200)의 온도가, 예를 들어 350 내지 700℃의 범위 내의 온도로 되는 온도로 설정한다. 상술한 조건 하에서 웨이퍼(200)에 대해 SiH4 가스를 공급함으로써, Si층 위에 소정 두께의 CVD-Si층이 형성된다.
소정 두께의 CVD-Si층이 형성된 후, 제3 가스 공급관(232c)의 밸브(243c)를 폐쇄하여, SiH4 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 혹은 CVD-Si층 형성에 기여한 후의 SiH4 가스를 처리실(201) 내로부터 배제한다. 또한, 이때, 밸브(243e 내지 243g)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내에의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 CVD-Si층 형성에 기여한 후의 SiH4 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.
이상에 의해, 트리트먼트가 행해진 SiO막의 표면 위, 즉 시드층 위에 Si층, CVD-Si층이 순서대로 적층되어 이루어지는 Si막이 형성된다. 또한, 원료 가스로서 Cl, C, N 비함유의 무기 실란계 원료 가스를 이용함으로써 CVD-Si층은 Cl, C, N 등의 불순물의 함유량이 극히 적은 층으로 된다. 즉, Si막은 Cl, C, N 등의 불순물의 함유량이 극히 적은 막으로 된다.
본 변형예에 따르면, 웨이퍼(200) 표면에 형성된 SiO막의 표면 위에, 시드층, Si층을 미리 형성함으로써, Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성을 향상시키는 것이 가능해진다. 가령, CVD법에 의해 SiO막의 표면 위에 직접 Si막을 형성하면, Si막 성장의 초기 단계에 있어서, SiO막의 표면 위에 Si가 아일랜드 형상으로 성장해 버려, Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성이 저하되어 버리는 경우가 있다. 또한, Si막의 인큐베이션 시간이 증대되어, 생산성이 저하되어, 성막 비용이 증대해 버리는 경우가 있다. 이에 대해, 본 변형예에 따르면, SiO막의 표면 위에 시드층, Si층을 미리 형성함으로써, CVD-Si층의 성막 초기에 있어서, Si가 아일랜드 형상으로 성장하는 것을 회피할 수 있어, Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성을 향상시키는 것이 가능해진다. 또한, Si막의 인큐베이션 시간을 단축시킬 수 있어, 생산성을 향상시키고, 성막 비용을 저감시키는 것도 가능해진다. 또한, CVD법을 이용함으로써 Si막의 성막 속도를 향상시키는 것도 가능해진다.
또한, 무기 실란계 원료 가스로서는, 모노실란(SiH4) 가스 외에, 디실란(Si2H6) 가스, 트리실란(Si3H8) 가스 등의 폴리실란(SinH2n +2(n>2)] 가스를 이용해도 된다. 폴리실란은 Cl 비함유의 무기 실란계 원료 가스라고 칭할 수도 있다. 불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용해도 된다.
(변형예 2)
또한 예를 들어, 도 7에 도시한 바와 같이, 도 4, 도 5의 (a)에 도시하는 성막 시퀀스의 시드층 형성 스텝과, 스텝 1, 2를 포함하는 사이클을 소정 횟수(m회) 행함으로써 Si층을 형성하는 스텝을 실시한 후, 무기 실란계 원료 가스(예를 들어, SiH4 가스)를 이용하여 CVD법에 의해 CVD-Si층을 형성하고, 그 후, 도 4, 도 5의 (a)에 도시하는 성막 시퀀스의 스텝 1, 2를 포함하는 사이클을 소정 횟수(n회) 행함으로써 Si층을 형성하도록 해도 된다. 이에 의해, 트리트먼트가 행해진 SiO막의 표면 위에, 즉 시드층 위에 Si층, CVD-Si층, Si층이 이 순서대로 적층되어 이루어지는 Si막을 형성할 수 있다.
(변형예 3)
또한 예를 들어, 도 8에 도시한 바와 같이, 도 4, 도 5의 (a)에 도시하는 성막 시퀀스의 시드층 형성 스텝과, 스텝 1, 2를 포함하는 사이클을 소정 횟수(m회) 행함으로써 Si층을 형성하는 스텝을 실시한 후, 웨이퍼(200)에 대해 클로로실란계 원료 가스(예를 들어, HCDS 가스)를 공급하는 스텝 3과, 웨이퍼(200)에 대해 아미노실란계 원료 가스(예를 들어, 3DMAS 가스)를 공급하는 스텝 4를 포함하는 사이클을 소정 횟수(n회) 행함으로써, 실리콘 탄질화층(SiCN층)을 형성하도록 해도 된다. 이에 의해, 트리트먼트가 행해진 SiO막의 표면 위, 즉 시드층 위에 Si층과 SiCN층이 이 순서대로 적층되어 이루어지는 층, 즉 Si막과 실리콘 탄질화막(SiCN막)이 적층되어 이루어지는 적층막을 형성할 수 있다. 이하에, 스텝 3, 4에 대해 설명한다.
[스텝 3]
(HCDS 가스 공급)
웨이퍼(200)에 대해 HCDS 가스를 공급하는 스텝 3은, 도 4, 도 5의 (a)에 도시하는 성막 시퀀스의 스텝 1과 마찬가지의 수순 및 처리 조건으로 행한다. 단, 웨이퍼(200)의 온도는, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 한다. 이에 의해, 웨이퍼(200) 위에 형성된 Si층 위에, 예를 들어 1원자층 미만부터 수원자층 정도의 두께의 Cl을 포함하는 Si 함유층이 형성된다.
(잔류 가스 제거)
Cl을 포함하는 Si 함유층이 형성된 후, 스텝 1과 마찬가지의 수순 및 처리 조건에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 Cl을 포함하는 Si 함유층의 형성에 기여한 후의 HCDS 가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 되는 것은 스텝 1과 마찬가지이다.
[스텝 4]
(3DMAS 가스 공급)
스텝 3이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제4 가스 공급관(232d)의 밸브(243d)를 개방하여, 제4 가스 공급관(232d) 내에, 제5 원료로서, 3DMAS 가스를 흘린다. 제4 가스 공급관(232d) 내를 흐른 3DMAS 가스는 MFC(241d)에 의해 유량 조정된다. 유량 조정된 3DMAS 가스는 제3 가스 공급관(232c) 내를 흘러, 제3 노즐(249c)의 가스 공급 구멍(250c)으로부터 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다. 이때, 웨이퍼(200)에 대해 3DMAS 가스가 공급되게 된다. 이때 동시에 밸브(243g)를 개방하여, 불활성 가스 공급관(232g) 내에 N2 가스 등의 불활성 가스를 흘린다. 불활성 가스 공급관(232g) 내를 흐른 N2 가스는 MFC(241g)에 의해 유량 조정된다. 유량 조정된 N2 가스는 3DMAS 가스와 함께 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
또한, 이때, 제1 노즐(249a), 제2 노즐(249b) 내에의 3DMAS 가스의 침입을 방지하기 위해, 밸브(243e, 243f)를 개방하여, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f) 내에 N2 가스를 흘린다. N2 가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제1 노즐(249a), 제2 노즐(249b)을 통해 처리실(201) 내에 공급되어, 배기관(231)으로부터 배기된다.
이때, APC 밸브(244)를 적절하게 조정하여, 처리실(201) 내의 압력을, 예를 들어 1 내지 13300㎩, 바람직하게는 20 내지 1330㎩의 범위 내의 압력으로 한다. MFC(241d)로 제어하는 3DMAS 가스의 공급 유량은, 예를 들어 1 내지 1000sccm의 범위 내의 유량으로 한다. MFC(241e 내지 241g)로 제어하는 N2 가스의 공급 유량은 각각, 예를 들어 100 내지 10000sccm의 범위 내의 유량으로 한다. 3DMAS 가스를 웨이퍼(200)에 대해 공급하는 시간, 즉 가스 공급 시간(조사 시간)은, 예를 들어 1 내지 120초, 바람직하게는 1 내지 60초의 범위 내의 시간으로 한다. 웨이퍼(200)의 온도는, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 한다.
상술한 조건 하에서 웨이퍼(200)에 대해 3DMAS 가스를 공급함으로써, 웨이퍼(200) 위의 Si층 위에 형성된 Cl을 포함하는 Si 함유층과 3DMAS 가스가 반응한다. 이에 의해 Cl을 포함하는 Si 함유층은 Si, C 및 N을 포함하는 층, 즉 SiCN층으로 개질된다. SiCN층은 1원자층 미만부터 수원자층 정도의 두께의 Si, C 및 N을 포함하는 층이 된다. 또한, SiCN층은 Si 성분의 비율과 C 성분의 비율이 비교적 많은 층, 즉 Si 리치이고, 또한 C 리치한 층으로 된다.
(잔류 가스 제거)
SiCN층이 형성된 후, 제4 가스 공급관(232d)의 밸브(243d)를 폐쇄하여, 3DMAS 가스의 공급을 정지한다. 이때, 배기관(231)의 APC 밸브(244)는 개방한 상태로 하여, 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하고, 처리실(201) 내에 잔류하는 미반응 혹은 SiCN층 형성에 기여한 후의 3DMAS 가스를 처리실(201) 내로부터 배제한다. 또한, 이때, 밸브(243e 내지 243g)는 개방한 상태로 하여, 불활성 가스로서의 N2 가스의 처리실(201) 내에의 공급을 유지한다. N2 가스는 퍼지 가스로서 작용하고, 이에 의해, 처리실(201) 내에 잔류하는 미반응 혹은 SiCN층 형성에 기여한 후의 HCDS 가스를 처리실(201) 내로부터 배제하는 효과를 높일 수 있다. 이때, 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 되고, 처리실(201) 내를 완전히 퍼지하지 않아도 되는 것은 스텝 3과 마찬가지이다.
(소정 횟수 실시)
상술한 스텝 3, 4를 1사이클로 하고, 이 사이클을 1회 이상(소정 횟수) 행함으로써, Si층 위에 소정 두께의 SiCN층을 형성할 수 있다. 그리고, 트리트먼트가 행해진 SiO막의 표면 위, 즉 시드층 위에 Si층, SiCN층이 적층되어 이루어지는 층, 즉 Si막과 SiCN막이 적층되어 이루어지는 적층막을 형성할 수 있다.
또한, 스텝 3에서 공급하는 클로로실란계 원료 가스로서는, HCDS 가스 외에, STC 가스, TCS 가스, DCS 가스, MCS 가스 등을 이용해도 된다. 또한, 스텝 4에서 공급하는 아미노실란계 원료 가스로서는, 3DMAS 가스 외에, BDEAS 가스, BTBAS 가스, BDEPS 가스, 3DEAS 가스, 4DEAS 가스, 4DMAS 가스 등을 이용해도 된다. 불활성 가스로서는, N2 가스 외에, Ar 가스, He 가스, Ne 가스, Xe 가스 등의 희가스를 이용해도 된다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명하였지만, 본 발명은 상술한 실시 형태에 한정되는 것이 아니라, 그 요지를 일탈하지 않는 범위에서 다양하게 변경 가능하다.
예를 들어, 상술한 실시 형태에서는, 웨이퍼(200)의 표면에, 절연막으로서, 반도체산화막인 SiO막이 형성되어 있는 예에 대해 설명하였지만, 본 발명은 이러한 형태에 한정되지 않는다. 예를 들어, 웨이퍼(200)의 표면에, 절연막으로서, 실리콘질화막(Si3N4막, 이하 SiN막이라고도 함) 등의 반도체질화막, 실리콘산질화막(SiON막) 등의 반도체산질화막, 실리콘산탄화막(SiOC막) 등의 반도체산탄화막, 실리콘산탄질화막(SiOCN막) 등의 반도체산탄질화막이 형성되어 있어도 된다. 또한, 예를 들어, 웨이퍼(200)의 표면에, 알루미늄산화막(Al2O3막, 이하 AlO막이라고도 함), 티타늄산화막(TiO2막, 이하 TiO막이라고도 함), 하프늄산화막(HfO2막, 이하 HfO막이라고도 함), 지르코늄산화막(ZrO2막, 이하 ZrO막이라고도 함)이나, 루테늄산화막(Ru2O막, 이하 RuO막이라고도 함), 텅스텐산화막(WO3막, 이하 WO막이라고도 함) 등의 금속 산화막이나, 티타늄질화막(TiN막) 등의 금속 질화막이 형성되어 있어도 된다. 또한, 예를 들어, 웨이퍼(200)의 표면에, 티타늄산질화막(TiON막) 등의 금속산질화막이나, 티타늄산탄화막(TiOC막) 등의 금속산탄화막이나, 티타늄산탄질화막(TiOCN막) 등의 금속산탄질화막 등이 형성되어 있어도 된다. 또한, 여기서 말하는 산화막(혹은 질화막, 산질화막, 산탄화막, 산탄질화막)에는, 예를 들어 CVD법에 의해 형성된 CVD 산화막이나, 예를 들어 열산화 처리나 플라즈마 산화 처리 등의 소정의 처리를 실시함으로써 웨이퍼(200)의 표면을 의도적으로 산화시킴으로써 형성된 산화막이나, 반송 중 등에 대기에 노출되는 것 등에 의해 웨이퍼(200)의 표면에 자연스럽게 형성된 자연 산화막이 포함된다.
또한, 특히, 절연막이 O를 포함하는 막인 경우, 그 중에서 특히 SiO막인 경우에, 상술한 과제, 즉 절연막 위에 형성하는 Si막의 웨이퍼(200) 면 내에 있어서의 막 두께 균일성이 저하되기 쉬워지거나, 또한 단차 피복성이 저하되기 쉬워지거나, 또한 인큐베이션 시간이 증가하기 쉬워진다는 과제가 발생하기 쉬운 것이, 발명자들의 예의 연구에 의해 판명되고 있다. 바꿔 말하면, 웨이퍼(200)의 표면에 형성된 절연막이 O를 포함하는 막인 경우, 그 중에서도 특히 SiO막인 경우에, 상술한 트리트먼트 공정에 의한 효과가 특히 현저하게 얻어지는 것이 판명되고 있다.
또한 예를 들어, 상술한 실시 형태에서는, 제1 원료 및 제2 원료로서, 각각 클로로실란계 원료를 이용하는 예에 대해 설명하였지만, 클로로실란계 원료 대신에, 클로로기 이외의 할로겐계의 리간드를 갖는 실란계 원료를 이용해도 된다. 예를 들어, 클로로실란계 원료 대신에, 플루오로실란계 원료를 이용해도 된다. 여기서, 플루오로실란계 원료라 함은, 할로겐기로서의 플루오로기를 갖는 실란계 원료이고, 적어도 실리콘(Si) 및 불소(F)를 포함하는 원료이다. 즉, 여기서 말하는 플루오로실란계 원료는 할로겐화물의 1종이라고도 할 수 있다. 플루오로실란계 원료 가스로서는, 예를 들어, 테트라플루오로실란, 즉 실리콘테트라플루오라이드(SiF4) 가스나, 헥사플루오로디실란(Si2F6) 가스 등의 불화실리콘 가스를 이용할 수 있다. 이 경우, 시드층은 할로겐기로서의 F를 포함하는 층, 보다 구체적으로는, 할로겐기로서의 F와 소정 원소로서의 Si를 포함하는 층으로 된다. 또한, 제1 층은 Si와 F를 포함하는 층, 즉 F를 포함하는 Si 함유층으로 된다. 단, 원료의 증기압이나, 스텝 2에 있어서 생성되는 반응 생성물의 증기압의 관계에서, 할로겐기를 갖는 실란계 원료로서는 클로로실란계 원료를 이용하는 것이 바람직하다.
또한 예를 들어, 상술한 실시 형태에서는, 제1 원료 및 제2 원료로서, 각각 HCDS 가스를 이용하는 예, 즉 제1 원료와 제2 원료가 동일한 물질로 구성되는 예에 대해 설명하였지만, 본 발명은 이러한 실시 형태에 한정되지 않는다. 예를 들어, 제1 원료로서 HCDS 가스를 이용하고, 제2 원료로서 DCS 가스를 이용하는 경우나, 제1 원료로서 DCS 가스를 이용하고, 제2 원료로서 HCDS 가스를 이용하는 경우 등에도, 본 발명은 적절하게 적용할 수 있다. 또한, 예를 들어 제1 원료로서 클로로실란계 원료를 이용하고, 제2 원료로서 플루오로실란계 원료를 이용하는 경우나, 제1 원료로서 플루오로실란계 원료를 이용하고, 제2 원료로서 클로로실란계 원료를 이용하는 경우 등에도, 본 발명은 적절하게 적용할 수 있다. 즉, 제1 원료와 제2 원료가 서로 다른 물질로 구성되어 있는 경우에도, 본 발명은 적절하게 적용할 수 있다.
또한 예를 들어, 상술한 실시 형태에서는, 트리트먼트 공정과 Si막 형성 공정을 동일한 처리실(201) 내에서 실시하는 예에 대해 설명하였지만, 본 발명은 이러한 실시 형태에 한정되지 않는다. 즉, 트리트먼트 공정과 Si막 형성 공정을 각각의 처리실 내에서 독립적으로 행하도록 해도 된다. 이 경우, 트리트먼트 공정에 있어서의 처리 조건과, Si막 형성 공정에 있어서의 처리 조건을, 상술한 실시 형태보다도 더욱 광범위하게 변경해도 된다. 예를 들어, 트리트먼트 공정에 있어서의 온도 조건[웨이퍼(200)의 온도]을, Si막 형성 공정에 있어서의 온도 조건[웨이퍼(200)의 온도]보다도 높게 함으로써, 시드층의 형성을 더욱 효율적으로 행할 수 있게 된다.
또한, 예를 들어 상술한 실시 형태에서는, 트리트먼트 공정에 있어서, 웨이퍼(200)의 표면에 형성된 SiO막의 표면 위에 시드층을 형성하는 예에 대해 설명하였지만, 본 발명은 이러한 실시 형태에 한정되지 않는다. 예를 들어, 트리트먼트 공정에 있어서, 표면에 SiO막이 형성된 웨이퍼(200)에 대해 염화수소 가스(HCl 가스)나 염소 가스(Cl2 가스) 등을 공급함으로써, 웨이퍼(200)의 표면에 형성된 SiO막의 표면을 전처리(Cl 종단)하도록 해도 된다. 즉, 트리트먼트 공정에서는, SiO막의 표면 위에 시드층을 형성하는 것이 아니라, SiO막의 표면을 Cl 종단하도록 해도 된다. 이 경우, 트리트먼트 공정에 있어서의 웨이퍼(200)의 온도를 비교적 고온으로, 예를 들어 Si막 형성 공정에 있어서의 웨이퍼(200)의 온도보다도 고온으로 설정함으로써, 상술한 실시 형태와 동일한 경향의 효과를 얻는 것이 가능해진다.
또한, 예를 들어 상술한 실시 형태에서는, 도 5의 (a)에 도시한 바와 같이, 트리트먼트 공정에 있어서, 웨이퍼(200)에 대해 클로로실란계 원료를 공급하고, Si막 형성 공정에 있어서, 웨이퍼(200)에 대해 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하는 예에 대해 설명하였지만, 이 경우, 트리트먼트 공정에 있어서의 잔류 가스 제거 스텝은 생략해도 된다. 즉, 도 5의 (b)에 도시한 바와 같이, 트리트먼트 공정에 있어서의 HCDS 가스 공급 스텝과, Si막 형성 공정에 있어서의 1사이클째의 스텝 1을, 잔류 가스 제거 스텝 사이에 행하지 않고, 연속해서 행하도록 해도 된다. 이 경우, 잔류 가스 제거 스텝을 생략할 수 있는 만큼, 성막 처리의 스루풋을 향상시키는 것이 가능해진다. 단, 트리트먼트 공정에 있어서 잔류 가스 제거 스텝을 행함으로써, 트리트먼트 공정과 Si막 형성 공정에 있어서의 스텝 1을 분리할 수 있고, 이에 의해, 트리트먼트 공정에 있어서의 처리 조건[HCDS 가스의 공급 유량, 처리실(201) 내의 압력 등]을 독립적으로 설정할 수 있게 되어, 시드층의 형성 레이트, 두께, 조성의 제어가 용이해진다. 또한, 도 5의 (b)에 도시하는 성막 시퀀스는, 생각을 바꾸면, Si막 형성 공정의 1사이클째의 스텝 1에 있어서의 HCDS 가스의 가스 공급 시간을, 2사이클째 이후의 스텝 1에 있어서의 HCDS 가스의 가스 공급 시간보다도 길게 한 것으로 생각할 수 있다.
또한, 예를 들어 상술한 실시 형태에서는, Si막 형성 공정에 있어서, 웨이퍼(200)에 대해, 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하는 예에 대해 설명하였지만, 이들 원료의 공급 순서는 반대여도 된다. 즉, 아미노실란계 원료를 공급하고, 그 후, 클로로실란계 원료를 공급하도록 해도 된다. 즉, 클로로실란계 원료 및 아미노실란계 원료 중 한쪽의 원료를 공급하고, 그 후, 다른 쪽의 원료를 공급하도록 하면 된다. 이와 같이, 원료의 공급 순서를 바꿈으로써, 형성되는 박막의 막질을 변화시키는 것도 가능하다. 또한, 아미노실란계 원료를 공급하고, 그 후, 클로로실란계 원료를 공급하도록 한 경우, 첫회의 사이클에 있어서의 아미노실란계 원료의 공급 시에, 시드층에 대해 상술한 개질 처리가 행해지므로, 시드층 중에 포함되는 Cl, C, N 등의 불순물의 함유량을 저감시키는 것도 가능해진다.
또한, 예를 들어 상술한 실시 형태에서는, Si막 형성 공정에 있어서, 제3 원료(아미노실란계 원료)로서, 모노아미노실란(SiH3R)을 이용하는 예에 대해 설명하였지만, 본 발명은 이러한 형태에 한정되지 않는다. 즉, 제3 원료로서, 예를 들어 디아미노실란(SiH2RR'), 트리아미노실란(SiHRR'R''), 테트라아미노실란(SiRR'R''R''') 등의 유기 원료를 이용해도 된다. 즉, 제3 원료로서, 그 조성식 중에(1분자 중에) 2개, 3개, 4개의 아미노기를 포함하는 원료를 이용해도 된다. 이와 같이, 제3 원료로서, 그 조성식 중에(1분자 중에) 복수의 아미노기를 포함하는 원료를 이용해도, C, N 등의 불순물의 함유량이 적은 Si막을 저온 영역에서 형성할 수 있다.
단, 제3 원료의 조성식 중에 포함되는 아미노기의 수가 적을수록, 즉 그 조성 중에 포함되는 C, N의 양이 적을수록, 제1 층 중에 포함되는 C, N 등의 불순물의 양을 저감시키기 쉽고, 불순물의 함유량이 극히 적은 Si막을 형성하기 쉬워진다. 즉, 제3 원료로서 SiRR'R''R'''를 이용하는 것보다도, SiH3R나 SiH2RR'나 SiHRR'R''를 이용하는 쪽이, Si막 중에 포함되는 불순물의 양을 저감시키기 쉬워져, 바람직하다. 또한, 제3 원료로서 SiHRR'R''를 이용하는 것보다도, SiH3R나 SiH2RR'를 이용하는 쪽이, Si막 중에 포함되는 불순물의 양을 저감시키기 쉬워져, 보다 바람직하다. 또한, 제3 원료로서 SiH2RR'를 이용하는 것보다도, SiH3R를 이용하는 쪽이, Si막 중에 포함되는 불순물의 양을 저감시키기 쉬워져, 보다 바람직하다.
또한, 상술한 실시 형태에서는, 클로로실란계 원료 가스 공급 공정, 아미노실란계 원료 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, Si막을 형성하는 예에 대해 설명하였지만, 본 발명은 이러한 실시 형태에 한정되지 않는다.
예를 들어, 본 발명은, 클로로실란계 원료 가스 공급 공정과 질소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiN막을 형성하는 경우, 클로로실란계 원료 가스 공급 공정과 산소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiO막을 형성하는 경우, 클로로실란계 원료 가스 공급 공정과 산소 함유 가스에 수소 함유 가스를 첨가한 가스를 공급하는 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiO막을 형성하는 경우, 클로로실란계 원료 가스 공급 공정과, 질소 함유 가스 공급 공정과, 산소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiON막을 형성하는 경우에도 적용할 수 있다.
또한, 예를 들어, 본 발명은, 클로로실란계 원료 가스 공급 공정과, 탄소 함유 가스 공급 공정과, 질소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiCN막을 형성하는 경우, 클로로실란계 원료 가스 공급 공정과, 탄소 함유 가스 공급 공정과, 질소 함유 가스 공급 공정과, 산소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiOCN막을 형성하는 경우에도 적용할 수 있다.
또한, 예를 들어, 본 발명은, 클로로실란계 원료 가스 공급 공정과 아민계 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiCN막을 형성하는 경우, 클로로실란계 원료 가스 공급 공정과, 아민계 가스 공급 공정과, 산소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiOCN막 또는 SiOC막을 형성하는 경우에도 적용할 수 있다.
또한, 예를 들어, 본 발명은, 클로로실란계 원료 가스 공급 공정과 붕소 함유 가스 공급 공정과, 질소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiBN막을 형성하는 경우, 클로로실란계 원료 가스 공급 공정과, 탄소 함유 가스 공급 공정과, 붕소 함유 가스 공급 공정과, 질소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, SiBCN막을 형성하는 경우에도 적용할 수 있다.
또한, 예를 들어, 본 발명은, 클로로실란계 원료 가스 공급 공정과 유기 보라진계 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, 보라진 환 골격을 갖는 SiBCN막을 형성하는 경우, 클로로실란계 원료 가스 공급 공정과, 유기 보라진계 가스 공급 공정과, 질소 함유 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 SiO막의 표면 위에, 보라진 환 골격을 갖는 SiBCN막 또는 SiBN막을 형성하는 경우에도 적용할 수 있다.
이들의 경우, 질소 함유 가스로서는, 예를 들어, 암모니아(NH3) 가스, 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8 가스, 이들 화합물을 포함하는 가스 등을 이용할 수 있다.
산소 함유 가스로서는, 예를 들어 산소(O2) 가스, 아산화질소(N2O) 가스, 일산화질소(아니오) 가스, 이산화질소(NO2) 가스, 오존(O3) 가스, 수증기(H2O) 가스, 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스 등을 이용할 수 있다. 산소 함유 가스에 수소 함유 가스(환원 가스)를 첨가한 가스로서는, 예를 들어 O2 가스+ 수소(H2) 가스, O3 가스+H2 가스 등을 이용할 수 있다.
탄소 함유 가스로서는, 예를 들어 아세틸렌(C2H2) 가스, 프로필렌(C3H6) 가스, 에틸렌(C2H4) 가스 등의 탄화수소계의 가스, 즉 질소 비함유의 탄소 함유 가스를 이용할 수 있다.
아민계 가스로서는, 트리에틸아민[(C2H5)3N, 약칭: TEA], 디에틸아민[(C2H5)2NH, 약칭: DEA), 모노에틸아민(C2H5NH2, 약칭: MEA) 등을 기화한 에틸아민계 가스, 트리메틸아민[(CH3)3N, 약칭: TMA], 디메틸아민[(CH3)2NH, 약칭: DMA], 모노메틸 아민(CH3NH2, 약칭: MMA) 등을 기화한 메틸아민계 가스, 트리프로필아민[(C3H7)3N, 약칭: TPA), 디프로필아민[(C3H7)2NH, 약칭: DPA), 모노프로필아민(C3H7NH2, 약칭: MPA) 등을 기화한 프로필아민계 가스, 트리이소프로필아민{[(CH3)2CH]3N, 약칭: TIPA}, 디이소프로필아민{[(CH3)2CH]2NH, 약칭: DIPA}, 모노이소프로필아민[(CH3)2CHNH2, 약칭: MIPA] 등을 기화한 이소프로필아민계 가스, 트리부틸아민[(C4H9)3N, 약칭: TBA], 디부틸아민[(C4H9)2NH, 약칭: DBA], 모노부틸아민(C4H9NH2, 약칭: MBA) 등을 기화한 부틸아민계 가스, 또는 트리이소부틸아민{[(CH3)2CHCH2]3N, 약칭: TIBA}, 디이소부틸 아민{[(CH3)2CHCH2]2NH, 약칭: DIBA}, 모노이소부틸아민[(CH3)2CHCH2NH2, 약칭: MIBA] 등을 기화한 이소부틸아민계 가스를 이용할 수 있다. 즉, 아민계 가스로서는, 예를 들어 (C2H5)xNH3 -x, (CH3)xNH3 -x, (C3H7)xNH3 -x, [(CH3)2CH]xNH3 -x, (C4H9)xNH3 -x, [(CH3)2CHCH2]xNH3-x(화학식 중 x는 1 내지 3의 정수) 중 적어도 1종류의 가스를 이용할 수 있다.
또한, 아민계 가스 대신에, 유기 히드라진계 가스를 이용할 수도 있다. 유기 히드라진계 가스로서는, 예를 들어 모노메틸히드라진[(CH3)HN2H2, 약칭: MMH], 디메틸히드라진[(CH3)2N2H2, 약칭: DMH], 트리메틸히드라진[(CH3)2N2(CH3)H, 약칭: TMH] 등을 기화한 메틸히드라진계 가스나, 에틸히드라진[(C2H5)HN2H2, 약칭: EH] 등을 기화한 에틸히드라진계 가스를 이용할 수 있다.
붕소 함유 가스로서는, 예를 들어 삼염화붕소(BCl3) 가스, 3불화붕소(BF3) 가스 등의 할로겐화붕소계 가스, 디보란(B2H6) 가스 등의 무기 보란계 가스, 유기 보라진계 가스를 이용할 수 있다. 유기 보라진계 가스로서는, 예를 들어 n, n', n''-트리메틸보라진(약칭: TMB) 가스 등의 유기 보라진 화합물 가스를 이용할 수 있다.
클로로실란계 원료 가스로서는, 상술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한, 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다. 단, 웨이퍼(200)의 온도는, 예를 들어 250 내지 700℃, 바람직하게는 300 내지 650℃, 보다 바람직하게는 350 내지 600℃의 범위 내의 온도로 할 수 있다.
이와 같이, 본 발명은 클로로실란계 원료 가스와 질소 함유 가스를 이용하는 기판 처리 프로세스, 클로로실란계 원료 가스와 산소 함유 가스를 이용하는 기판 처리 프로세스, 클로로실란계 원료 가스와 수소 함유 가스를 이용하는 기판 처리 프로세스, 클로로실란계 원료 가스와 탄소 함유 가스를 이용하는 기판 처리 프로세스, 클로로실란계 원료 가스와 탄소 및 질소 함유 가스를 이용하는 기판 처리 프로세스, 클로로실란계 원료 가스와 붕소 함유 가스를 이용하는 기판 처리 프로세스 등에, 적절하게 적용하는 것이 가능하다. 즉, 본 발명은, 제3 원료로서, 질소 함유 가스(질화 가스), 산소 함유 가스(산화 가스), 수소 함유 가스(환원 가스), 탄소 함유 가스(탄화수소계 가스), 탄소 및 질소 함유 가스(아민계 가스, 유기 히드라진계 가스) 및 붕소 함유 가스(할로겐화 붕소계 가스, 무기 보라진계 가스, 유기 보라진계 가스) 중 적어도 어느 하나를 포함하는 가스를 이용하는 기판 처리 프로세스 전반에 적용할 수 있다. 즉, 본 발명은 클로로실란계 원료 가스를 이용하여 SiO막 위에 성막을 행하는 기판 처리 프로세스 전반에 적용할 수 있다.
또한, 본 실시 형태의 방법에 의해 형성한 Si막, Si계 절연막, 또는 B계 절연막을, 에치 스토퍼로서 사용함으로써, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능해진다. 또한, 본 실시 형태의 방법에 의해 형성한 Si막은 반도체 메모리 장치의 플로팅 게이트 전극이나 컨트롤 게이트 전극, 채널 실리콘, 트랜지스터의 게이트 전극, DRAM의 캐패시터 전극이나, STI 라이너, 태양 전지 등의 다양한 용도에 대해 적절하게 적용 가능하다. 또한, 본 실시 형태의 방법에 의해 형성한 Si계 절연막 또는 B계 절연막을, 사이드 월 스페이서로서 사용함으로써, 누설 전류가 적고, 가공성이 우수한 디바이스 형성 기술을 제공하는 것이 가능해진다.
상술한 각 실시 형태나 각 변형예에 따르면, 저온 영역에 있어서도 플라즈마를 이용하지 않고, 이상적 양론비의 Si막, Si계 절연막, 또는 B계 절연막을 형성할 수 있다. 또한, 플라즈마를 이용하지 않고 Si막, Si계 절연막, 또는 B계 절연막을 형성할 수 있으므로, 예를 들어 DPT의 SADP막 등, 플라즈마 데미지가 염려되는 공정에의 적응도 가능해진다.
또한, 상술한 실시 형태에서는, 소정 원소를 포함하는 박막으로서, 반도체 원소인 실리콘을 포함하는 Si막을 형성하는 예에 대해 설명하였지만, 본 발명은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막을 형성하는 경우에도 적용할 수 있다.
이 경우, 상술한 실시 형태에 있어서의 클로로실란계 원료 대신에, 금속 원소 및 할로겐기를 포함하는 원료(제2 원료)를 이용하고, 아미노실란계 원료 대신에, 금속 원소 및 아미노기를 포함하는 원료(제3 원료)를 이용하여, 상술한 실시 형태와 마찬가지의 성막 시퀀스에 의해 성막을 행할 수 있다. 제2 원료로서는, 예를 들어 금속 원소 및 클로로기를 포함하는 원료나, 금속 원소 및 플루오로기를 포함하는 원료를 이용할 수 있다.
이 경우, 표면에 절연막이 형성된 웨이퍼(200)에 대해 금속 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 절연막의 표면을 트리트먼트하는 공정과, 웨이퍼(200)에 대해 금속 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 공정과, 웨이퍼(200)에 대해 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 트리트먼트가 행해진 절연막의 표면 위에, 금속 원소를 포함하는 금속계 박막을 형성하는 공정을 실시한다.
예를 들어, 금속계 박막으로서, Ti 단체로 구성되는 Ti계 박막인 Ti막을 형성하는 경우에는, 제1 원료 및 제2 원료로서, 티타늄테트라클로라이드(TiCl4) 등의 Ti 및 클로로기를 포함하는 원료나, 티타늄테트라플루오라이드(TiF4) 등의 Ti 및 플루오로기를 포함하는 원료를 이용할 수 있다. 제3 원료로서는, 테트라키스에틸메틸아미노티타늄{Ti[N(C2H5)(CH3)]4, 약칭: TEMAT}, 테트라키스디메틸아미노티타늄{Ti[N(CH3)2]4, 약칭: TDMAT}, 테트라키스디에틸아미노티타늄{Ti[N(C2H5)2]4, 약칭: TDEAT} 등의 Ti 및 아미노기를 포함하는 원료를 이용할 수 있다. 또한, 제3 원료로서는, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드의 수가 2 이하이며, 또한 제2 원료의 조성식 중에 있어서의 할로겐기를 포함하는 리간드의 수 이하인 Ti 및 아미노기를 포함하는 원료를 이용할 수도 있다. 또한, 제3 원료로서는, 그 조성식 중에 단일의 아미노기를 포함하는 원료를 이용하는 것이 바람직하다. 또한, 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한, 예를 들어, 금속계 박막으로서, Zr 단체로 구성되는 Zr계 박막인 Zr막을 형성하는 경우에는, 제1 원료 및 제2 원료로서, 지르코늄테트라클로라이드(ZrCl4) 등의 Zr 및 클로로기를 포함하는 원료나, 지르코늄테트라플루오라이드(ZrF4) 등의 Zr 및 플루오로기를 포함하는 원료를 이용할 수 있다. 제3 원료로서는, 테트라키스에틸메틸아미노지르코늄{Zr[N(C2H5)(CH3)]4, 약칭: TEMAZ}, 테트라키스디메틸아미노지르코늄{Zr[N(CH3)2]4, 약칭: TDMAZ}, 테트라키스디에틸아미노지르코늄{Zr[N(C2H5)2]4, 약칭: TDEAZ} 등의 Zr 및 아미노기를 포함하는 원료를 이용할 수 있다. 또한, 제3 원료로서는, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드의 수가 2 이하이며, 또한 제2 원료의 조성식 중에 있어서의 할로겐기를 포함하는 리간드의 수 이하인 Zr 및 아미노기를 포함하는 원료를 이용할 수도 있다. 또한, 제3 원료로서는, 그 조성식 중에 단일의 아미노기를 포함하는 원료를 이용하는 것이 바람직하다. 또한, 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한 예를 들어, 금속계 박막으로서, Hf 단체로 구성되는 Hf계 박막인 Hf막을 형성하는 경우에는, 제1 원료 및 제2 원료로서, 하프늄테트라클로라이드(HfCl4) 등의 Hf 및 클로로기를 포함하는 원료나, 하프늄테트라플루오라이드(HfF4) 등의 Hf 및 플루오로기를 포함하는 원료를 이용할 수 있다. 제3 원료로서는, 테트라키스에틸메틸아미노하프늄{Hf[N(C2H5)(CH3)]4, 약칭: TEMAH}, 테트라키스디메틸아미노하프늄{Hf[N(CH3)2]4, 약칭: TDMAH}, 테트라키스디에틸아미노하프늄{Hf[N(C2H5)2]4, 약칭: TDEAH} 등의 Hf 및 아미노기를 포함하는 원료를 이용할 수 있다. 또한, 제3 원료로서는, 그 조성식 중에 있어서의 아미노기를 포함하는 리간드의 수가 2 이하이며, 또한 제2 원료의 조성식 중에 있어서의 할로겐기를 포함하는 리간드의 수 이하인 Hf 및 아미노기를 포함하는 원료를 이용할 수도 있다. 또한, 제3 원료로서는, 그 조성식 중에 단일의 아미노기를 포함하는 원료를 이용하는 것이 바람직하다. 또한, 이때의 처리 조건은, 예를 들어 상술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.
또한, 이들의 경우에도, 제3 원료로서, 질소 함유 가스, 산소 함유 가스, 수소 함유 가스, 탄소 함유 가스, 탄소 및 질소 함유 가스 및 붕소 함유 가스 중 적어도 어느 하나를 포함하는 가스를 이용하여, 금속계 질화막, 금속계 산화막, 금속계 산질화막, 금속계 탄질화막, 금속계 산탄질화막 등의 각종 금속계 박막을 형성할 수 있다.
이와 같이, 본 발명은 반도체계 박막뿐만 아니라, 금속계 박막의 성막에도 적용할 수 있고, 이 경우라도 상술한 실시 형태와 동일한 경향의 작용 효과가 얻어진다.
또한, 이들 각종 박막의 성막에 이용되는 프로세스 레시피(처리 수순이나 처리 조건이 기재된 프로그램)는 기판 처리의 내용(형성하는 박막의 막종, 조성비, 막질, 막 두께 등)에 따라서, 각각 개별로 준비하는(복수 준비하는) 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리의 내용에 따라서, 복수의 프로세스 레시피 중에서 적절한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 구체적으로는, 기판 처리의 내용에 따라서 개별로 준비된 복수의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체[외부 기억 장치(123)]를 통해, 기판 처리 장치가 구비하는 기억 장치(121c) 내에 미리 저장(인스톨)해 두는 것이 바람직하다. 그리고, 기판 처리를 개시할 때, 기판 처리 장치가 구비하는 CPU(121a)가, 기억 장치(121c) 내에 저장된 복수의 프로세스 레시피 중에서 기판 처리의 내용에 따라서, 적절한 프로세스 레시피를 적절히 선택하는 것이 바람직하다. 이와 같이 구성함으로써, 1대의 기판 처리 장치에서 다양한 막종, 조성비, 막질, 막 두께의 박막을 범용적으로, 또한, 재현성 좋게 형성할 수 있게 된다. 또한, 오퍼레이터의 조작 부담(처리 수순이나 처리 조건의 입력 부담 등)을 저감시킬 수 있어, 조작 실수를 회피하면서, 기판 처리를 신속히 개시할 수 있게 된다.
단, 상술한 프로세스 레시피는, 새롭게 작성하는 경우에 한정되지 않고, 예를 들어 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 변경함으로써 준비해도 된다. 프로세스 레시피를 변경하는 경우에는, 변경 후의 프로세스 레시피를, 전기 통신 회선이나 당해 프로세스 레시피를 기록한 기록 매체를 통해, 기판 처리 장치에 인스톨해도 된다. 또한, 기존의 기판 처리 장치가 구비하는 입출력 장치(122)를 조작하여, 기판 처리 장치에 이미 인스톨되어 있던 기존의 프로세스 레시피를 직접 변경하도록 해도 된다.
또한, 상술한 실시 형태에서는, 한번에 복수매의 기판을 처리하는 뱃치식 기판 처리 장치를 이용하여 박막을 성막하는 예에 대해 설명하였지만, 본 발명은 이에 한정되지 않고, 한번에 1매 또는 수매의 기판을 처리하는 낱장식 기판 처리 장치를 이용하여 박막을 성막하는 경우에도, 적절하게 적용할 수 있다. 또한, 상술한 실시 형태에서는, 핫 월형의 처리로를 갖는 기판 처리 장치를 이용하여 박막을 성막하는 예에 대해 설명하였지만, 본 발명은 이에 한정되지 않고, 콜드 월형의 처리로를 갖는 기판 처리 장치를 이용하여 박막을 성막하는 경우에도, 적절하게 적용할 수 있다.
또한, 상술한 각 실시 형태나 각 변형예 등은 적절히 조합하여 이용할 수 있다.
[실시예]
실시예로서, 상술한 실시 형태에 있어서의 기판 처리 장치를 이용하여, 상술한 도 4, 도 5의 (a)에 도시한 성막 시퀀스에 의해, 표면에 절연막(SiO막)이 형성된 웨이퍼에 대해 트리트먼트 공정을 행하였다. 그 후, 트리트먼트가 행해진 SiO막의 표면 위, 즉 시드층 위에 Si막을 형성하는 공정을 행하였다. 제1 원료 및 제2 원료로서는 각각 HCDS 가스를, 제3 원료로서는 SiH3R 가스를 이용하였다. 성막 시의 웨이퍼 온도는 450℃로 하였다. 또한, 트리트먼트 공정에 있어서의 처리실 내의 압력을, Si막 형성 공정에 있어서의 HCDS 가스 공급 시의 처리실 내의 압력보다도 높게 하였다. 그 밖의 처리 조건은 상술한 실시 형태에 기재된 처리 조건 범위 내의 소정의 값으로 설정하였다. 또한, 비교예로서, 표면에 SiO막이 형성된 웨이퍼에 대해 트리트먼트 처리를 행하지 않고, HCDS 가스 공급 공정과 SiH3R 가스 공급 공정을 포함하는 사이클을 소정 횟수 행함으로써, SiO막 위에 Si막을 직접 형성하였다. 비교예가 실시예와 다른 것은, Si막의 성막의 기초인 SiO막에 대해 트리트먼트 처리를 행하지 않은 점뿐이고, 그 밖의 처리 수순 및 처리 조건은 실시예와 동일한 것으로 하였다. 그리고, 실시예에 관한 Si막, 비교예에 관한 Si막의 성막 레이트를 각각 측정하였다. 그 결과를 도 9에 나타낸다.
도 9는 실시예에 관한 Si막의 성막 레이트의 측정 결과를 나타내는 그래프이다. 도 9의 횡축은 사이클의 실시 횟수[회]를, 종축은 Si막의 막 두께[Å]를 각각 나타내고 있다. 또한, 도 9의 △표시는 실시예에 관한 Si막의 막 두께를, ■표시는 비교예에 관한 Si막의 막 두께를 각각 나타내고 있다.
도 9에 도시한 바와 같이, 실시예에 관한 Si막은 비교예에 관한 Si막보다도 빠른 단계부터(1사이클째부터) 성막이 개시되어 있는 것을 확인하였다. 또한, 비교예에 관한 Si막은, 사이클을 50회 반복할 때까지는 Si막의 성장이 개시되지 않고, 사이클의 실시 횟수가 50회를 초과한 무렵부터 비로소 성장이 개시되는 것을 확인하였다. 즉, Si막 형성 공정을 개시하기 전에, 표면에 SiO막이 형성된 웨이퍼에 대해 트리트먼트 처리를 행함으로써, 성막 시의 인큐베이션 시간을 대폭으로 단축시킬 수 있어, 생산성을 향상시키어, 성막 비용을 저감시킬 수 있는 것을 확인하였다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대해 부기한다.
(부기 1)
본 발명의 일 형태에 따르면, 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 공정과, 상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 공정과, 상기 기판에 대해 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 공정을 갖는 반도체 장치의 제조 방법이 제공된다.
(부기 2)
부기 1의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료의 공급 시간을, 상기 1사이클당의 상기 제2 원료의 공급 시간보다도 길게 한다.
(부기 3)
부기 1 또는 2의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료의 공급 시간을 120초 이상 1200초 이하로 한다.
(부기 4)
부기 1 또는 2의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료의 공급 시간을 300초 이상 900초 이하로 한다.
(부기 5)
부기 1 또는 2의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료의 공급 시간을 600초 이상 900초 이하로 한다.
(부기 6)
부기 1 내지 5 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료의 공급 유량을 상기 제2 원료의 공급 유량보다도 크게 한다.
(부기 7)
부기 1 내지 6 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료를 공급할 때의 상기 기판이 존재하는 공간의 압력을, 상기 제2 원료를 공급할 때의 상기 기판이 존재하는 공간의 압력보다도 크게 한다.
(부기 8)
부기 1 내지 7 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제1 원료와 상기 제2 원료는 동일한 물질로 구성된다.
(부기 9)
부기 1 내지 8 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 절연막의 표면을 트리트먼트하는 공정에서는 상기 절연막의 표면에 시드층을 형성한다.
(부기 10)
부기 1 내지 9 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 절연막의 표면을 트리트먼트하는 공정에서는 상기 절연막의 표면에 상기 할로겐기를 포함하는 시드층을 형성한다.
(부기 11)
부기 1 내지 10 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 절연막의 표면을 트리트먼트하는 공정에서는 상기 절연막의 표면에 상기 할로겐기와 상기 소정 원소를 포함하는 시드층을 형성한다.
(부기 12)
부기 9 내지 11 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 시드층의 두께가 0.5 내지 2Å이다.
(부기 13)
부기 1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제3 원료는 상기 소정 원소 및 아미노기를 포함한다.
(부기 14)
부기 1 내지 13 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제3 원료는 상기 소정 원소 및 아미노기를 포함하고, 상기 박막은 상기 소정 원소 단체로 구성되는 박막이다.
(부기 15)
부기 13 또는 14의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제3 원료는 그 조성식 중에(1분자 중에) 1개의 아미노기를 포함한다.
(부기 16)
부기 1 내지 12 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 제3 원료는, 질화 가스(질소 함유 가스), 산화 가스(산소 함유 가스), 환원 가스(수소 함유 가스), 탄소 함유 가스(탄화수소계 가스), 탄소 및 질소 함유 가스(아민계 가스, 유기 히드라진계 가스) 및 붕소 함유 가스(할로겐화 붕소계 가스, 무기 보라진계 가스, 유기 보라진계 가스) 중 적어도 어느 하나를 포함한다.
(부기 17)
부기 1 내지 16 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 할로겐기는 클로로기 또는 플루오로기를 포함한다.
(부기 18)
부기 1 내지 17 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 할로겐기는 염소 또는 불소를 포함한다.
(부기 19)
부기 1 내지 18 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 소정 원소는 반도체 원소 또는 금속 원소를 포함한다.
(부기 20)
부기 1 내지 19 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 소정 원소는 실리콘을 포함한다.
(부기 21)
부기 1 내지 20 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 소정 원소는 실리콘을 포함하고, 상기 박막은 실리콘막을 포함한다.
(부기 22)
부기 1 내지 21 중 어느 하나의 반도체 장치의 제조 방법으로서, 바람직하게는, 상기 절연막은 산화막, 질화막 및 산질화막 중 적어도 어느 하나를 포함한다.
(부기 23)
본 발명의 다른 형태에 따르면, 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 공정과, 상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 공정과, 상기 기판에 대해 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 공정을 갖는 기판 처리 방법이 제공된다.
(부기 24)
본 발명의 또 다른 형태에 따르면, 기판을 수용하는 처리실과, 상기 처리실 내로 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급하는 제1 원료 공급계와, 상기 처리실 내로 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 제2 원료 공급계와, 상기 처리실 내로 제3 원료를 공급하는 제3 원료 공급계와, 상기 처리실 내의 표면에 절연막이 형성된 기판에 대해 상기 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 제2 원료를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 제3 원료를 공급하는 처리를 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 처리를 행하도록, 상기 제1 원료 공급계, 상기 제2 원료 공급계 및 상기 제3 원료 공급계를 제어하는 제어부를 갖는 기판 처리 장치가 제공된다.
(부기 25)
본 발명의 또 다른 형태에 따르면, 기판 처리 장치의 처리실 내의 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 수순과, 상기 처리실 내의 상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 수순과, 상기 기판에 대해 제3 원료를 공급하는 수순을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 수순을 컴퓨터에 실행시키는 프로그램이 제공된다.
(부기 26)
본 발명의 또 다른 형태에 따르면, 기판 처리 장치의 처리실 내의 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 수순과, 상기 처리실 내의 상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 수순과, 상기 기판에 대해 제3 원료를 공급하는 수순을 포함하는 사이클을 1사이클로 하고 이 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 수순을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
121 : 컨트롤러(제어부)
200 : 웨이퍼(기판)
201 : 처리실
202 : 처리로
203 : 반응관
207 : 히터
231 : 배기관
232a : 제1 가스 공급관
232b : 제2 가스 공급관
232c : 제3 가스 공급관
232d : 제4 가스 공급관

Claims (20)

  1. 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 공정과,
    상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 공정과 상기 기판에 대해 제3 원료를 공급하는 공정을 포함하는 사이클을 1사이클로 하고 상기 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 공정
    을 갖는 반도체 장치의 제조 방법.
  2. 제1항에 있어서,
    상기 제1 원료의 공급 시간을 상기 1사이클당의 상기 제2 원료의 공급 시간보다도 길게 하는, 반도체 장치의 제조 방법.
  3. 제1항에 있어서,
    상기 제1 원료의 공급 시간을 120초 이상 1200초 이하로 하는, 반도체 장치의 제조 방법.
  4. 제1항에 있어서,
    상기 제1 원료의 공급 시간을 300초 이상 900초 이하로 하는, 반도체 장치의 제조 방법.
  5. 제1항에 있어서,
    상기 제1 원료의 공급 시간을 600초 이상 900초 이하로 하는, 반도체 장치의 제조 방법.
  6. 제1항에 있어서,
    상기 제1 원료의 공급 유량을 상기 제2 원료의 공급 유량보다도 크게 하는, 반도체 장치의 제조 방법.
  7. 제1항에 있어서,
    상기 제1 원료를 공급할 때의 상기 기판이 존재하는 공간의 압력을 상기 제2 원료를 공급할 때의 상기 기판이 존재하는 공간의 압력보다도 크게 하는, 반도체 장치의 제조 방법.
  8. 제1항에 있어서,
    상기 제1 원료와 상기 제2 원료는 동일한 물질로 구성되는, 반도체 장치의 제조 방법.
  9. 제1항에 있어서,
    상기 절연막의 표면을 트리트먼트하는 공정에서는 상기 절연막의 표면에 시드층을 형성하는, 반도체 장치의 제조 방법.
  10. 제1항에 있어서,
    상기 절연막의 표면을 트리트먼트하는 공정에서는 상기 절연막의 표면에 상기 할로겐기를 포함하는 시드층을 형성하는, 반도체 장치의 제조 방법.
  11. 제1항에 있어서,
    상기 절연막의 표면을 트리트먼트하는 공정에서는 상기 절연막의 표면에 상기 할로겐기와 상기 소정 원소를 포함하는 시드층을 형성하는, 반도체 장치의 제조 방법.
  12. 제9항에 있어서,
    상기 시드층의 두께가 0.5 내지 2 Å인, 반도체 장치의 제조 방법.
  13. 제1항에 있어서,
    상기 제3 원료는 상기 소정 원소 및 아미노기를 포함하는, 반도체 장치의 제조 방법.
  14. 제1항에 있어서,
    상기 제3 원료는 상기 소정 원소 및 아미노기를 포함하고,
    상기 박막은 상기 소정 원소 단체로 구성되는 박막인, 반도체 장치의 제조 방법.
  15. 제1항에 있어서,
    상기 제3 원료는 1분자 중에 1개의 아미노기를 포함하는, 반도체 장치의 제조 방법.
  16. 제1항에 있어서,
    상기 제3 원료는, 질소 함유 가스, 산소 함유 가스, 수소 함유 가스, 탄소 함유 가스, 탄소 및 질소 함유 가스 및 붕소 함유 가스 중 적어도 어느 하나를 포함하는, 반도체 장치의 제조 방법.
  17. 제1항에 있어서,
    상기 할로겐기는 클로로기 또는 플루오로기를 포함하는, 반도체 장치의 제조 방법.
  18. 제1항에 있어서,
    상기 절연막은, 산화막, 질화막 및 산질화막 중 적어도 어느 하나를 포함하는, 반도체 장치의 제조 방법.
  19. 기판을 수용하는 처리실과,
    상기 처리실 내로 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급하는 제1 원료 공급계와,
    상기 처리실 내로 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 제2 원료 공급계와,
    상기 처리실 내로 제3 원료를 공급하는 제3 원료 공급계와,
    상기 처리실 내의 표면에 절연막이 형성된 기판에 대해 상기 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 제2 원료를 공급하는 처리와, 상기 처리실 내의 상기 기판에 대해 상기 제3 원료를 공급하는 처리를 포함하는 사이클을 1사이클로 하고 상기 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 처리를 행하도록, 상기 제1 원료 공급계와, 상기 제2 원료 공급계와, 상기 제3 원료 공급계를 제어하는 제어부
    를 갖는 기판 처리 장치.
  20. 처리실 내에 있어서, 표면에 절연막이 형성된 기판에 대해 소정 원소 및 할로겐기를 포함하는 제1 원료를 공급함으로써, 상기 절연막의 표면을 트리트먼트하는 수순과,
    상기 처리실 내에 있어서, 상기 기판에 대해 상기 소정 원소 및 할로겐기를 포함하는 제2 원료를 공급하는 수순과, 상기 기판에 대해 제3 원료를 공급하는 수순을 포함하는 사이클을 1사이클로 하고 상기 사이클을 소정 횟수 행함으로써, 상기 트리트먼트가 행해진 상기 절연막의 표면 위에, 상기 소정 원소를 포함하는 박막을 형성하는 수순
    을 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020140023540A 2013-03-05 2014-02-27 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 KR101611680B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013043539A JP6125279B2 (ja) 2013-03-05 2013-03-05 半導体装置の製造方法、基板処理装置およびプログラム
JPJP-P-2013-043539 2013-03-05

Publications (2)

Publication Number Publication Date
KR20140109288A true KR20140109288A (ko) 2014-09-15
KR101611680B1 KR101611680B1 (ko) 2016-04-11

Family

ID=51488337

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140023540A KR101611680B1 (ko) 2013-03-05 2014-02-27 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Country Status (3)

Country Link
US (2) US9190298B2 (ko)
JP (1) JP6125279B2 (ko)
KR (1) KR101611680B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190029404A (ko) * 2017-09-11 2019-03-20 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6125279B2 (ja) * 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (ja) * 2014-07-09 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6523091B2 (ja) * 2015-07-24 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
WO2017040623A1 (en) * 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
JP6594768B2 (ja) * 2015-12-25 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
JP6560991B2 (ja) * 2016-01-29 2019-08-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102130459B1 (ko) * 2016-02-29 2020-07-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6546872B2 (ja) * 2016-04-07 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
JP6689179B2 (ja) * 2016-11-30 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102480740B1 (ko) * 2018-03-20 2022-12-23 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
JP6827573B2 (ja) * 2020-01-27 2021-02-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7448924B2 (ja) 2020-05-29 2024-03-13 株式会社Kokusai Electric 半導体デバイス
WO2021241448A1 (ja) 2020-05-29 2021-12-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2002048427A1 (ja) * 2000-12-12 2004-04-15 東京エレクトロン株式会社 薄膜の形成方法及び薄膜の形成装置
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
JP2006257551A (ja) * 2005-03-15 2006-09-28 Asm Internatl Nv Aldによる貴金属の促進された堆積
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
JP4809175B2 (ja) 2006-09-28 2011-11-09 株式会社日立国際電気 半導体装置の製造方法
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
JP4967066B2 (ja) 2010-04-27 2012-07-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP6125279B2 (ja) * 2013-03-05 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190029404A (ko) * 2017-09-11 2019-03-20 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체

Also Published As

Publication number Publication date
JP2014175320A (ja) 2014-09-22
US20140256156A1 (en) 2014-09-11
US20150255269A1 (en) 2015-09-10
JP6125279B2 (ja) 2017-05-10
KR101611680B1 (ko) 2016-04-11
US9520282B2 (en) 2016-12-13
US9190298B2 (en) 2015-11-17

Similar Documents

Publication Publication Date Title
KR101611680B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101582773B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101639490B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101527465B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101396255B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101440449B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
JP6199570B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101811531B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR101398334B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101493389B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR101163054B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR20130065610A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
WO2013054655A1 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
KR101549778B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP2012160704A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR101559816B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101476550B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR20150083789A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190319

Year of fee payment: 4