KR20130079976A - 반도체 디바이스 및 트랜지스터 제조 방법 - Google Patents

반도체 디바이스 및 트랜지스터 제조 방법 Download PDF

Info

Publication number
KR20130079976A
KR20130079976A KR1020120038512A KR20120038512A KR20130079976A KR 20130079976 A KR20130079976 A KR 20130079976A KR 1020120038512 A KR1020120038512 A KR 1020120038512A KR 20120038512 A KR20120038512 A KR 20120038512A KR 20130079976 A KR20130079976 A KR 20130079976A
Authority
KR
South Korea
Prior art keywords
stop layer
etch stop
forming
semiconductor material
fins
Prior art date
Application number
KR1020120038512A
Other languages
English (en)
Other versions
KR101374489B1 (ko
Inventor
유핑 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130079976A publication Critical patent/KR20130079976A/ko
Application granted granted Critical
Publication of KR101374489B1 publication Critical patent/KR101374489B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 디바이스 및 트랜지스터 제조 방법이 개시된다. 일 실시예에서, 반도체 디바이스 제조 방법은 복수의 핀을 포함하는 워크피스를 제공하는 것과, 복수의 핀의 상부면 위에 반도체 재료를 형성하는 것을 포함한다. 식각 정지층이 반도체 재료 위에 형성되고, 절연 재료가 식각 정지층 위에 배치된다. 절연 재료 및 식각 정지층의 일부가 복수의 핀의 위로부터 제거된다. 반도체 재료를 형성하는 것 또는 식각 정지층을 형성하는 것은 식각 정지층의 일부를 제거하는 것이 복수의 핀 위의 반도체 재료의 가장 넓은 부분 사이의 식각 정지층을 제거하지 않도록 제어된다.

Description

반도체 디바이스 및 트랜지스터 제조 방법{METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES AND TRANSISTORS}
반도체 디바이스는 예로서 퍼스널 컴퓨터, 휴대폰, 디지털 카메라 및 다른 전자 장비와 같은 다양한 전자 용례에 사용된다. 반도체 디바이스는 통상적으로 절연층 또는 유전층, 전도층 및 반도체층의 재료를 반도체 기판 위에 순차적으로 증착하고, 리소그래피를 사용하여 다양한 재료층을 패터닝하여 그 위에 회로 부품 및 소자를 형성함으로써 제조된다.
다중 게이트 전계 효과 트랜지스터(MuGFET)가 통상적으로 하나 초과의 게이트를 단일 디바이스 내에 통합하는 금속 산화물 반도체 FET(MOSFET)인 반도체 기술의 최근의 발전이다. 다중 게이트는 다중 게이트 표면이 단일 게이트로서 전기적으로 작용하는 단일 게이트 전극에 의해 또는 독립적인 게이트 전극에 의해 제어될 수 있다. 일 유형의 MuGFET는 집적 회로의 실리콘 표면으로부터 수직으로 융기되는 핀형 반도체 채널을 갖는 트랜지스터 구조체인 FinFET이라 칭한다.
몇몇 반도체 디자인에서, 다중 FinFET는 반도체 재료의 핀이 병렬로 배치되어 있는 상태로 단일 트랜지스터 디자인에 사용된다. 때때로, 반도체 재료의 에피택셜 성장부가 핀의 상부에 형성된다. 에피택셜 성장부는 디자인에 따라 병합되거나 병합되지 않을 수 있다.
본 발명의 일 실시예에 따르면, 반도체 디바이스 제조 방법은 복수의 핀을 포함하는 워크피스를 제공하는 것과, 복수의 핀의 상부면 위에 반도체 재료를 형성하는 것을 포함한다. 식각 정지층이 반도체 재료 위에 형성되고, 절연 재료가 식각 정지층 위에 배치된다. 절연 재료 및 식각 정지층의 일부는 복수의 핀 위로부터 제거된다. 반도체 재료를 형성하는 것 또는 식각 정지층을 형성하는 것은 식각 정지층의 일부를 제거하는 것이 복수의 핀 위의 반도체 재료의 가장 넓은 부분 사이의 식각 정지층을 제거하지 않도록 제어된다.
다른 실시예에 따르면, 반도체 디바이스 제조 방법은 워크피스를 제공하는 것과, 워크피스 위에 복수의 핀을 형성하는 것과, 복수의 핀의 각각의 상부면 위에 반도체 재료를 에피택셜 성장하는 것을 포함한다. 식각 정지층이 반도체 재료 위에 형성되고, 절연 재료가 식각 정지층 위에 배치되고, 절연 재료 및 식각 정지층의 일부가 복수의 핀 위에 제거된다. 전도성 재료가 복수의 핀 위에 형성된다. 반도체 재료를 형성하는 것 또는 식각 정지층을 형성하는 것은 식각 정지층의 일부를 제거하는 것이 복수의 핀 위의 반도체 재료의 가장 넓은 부분 사이의 식각 정지층을 제거하지 않도록 제어된다.
또 다른 실시예에 따르면, 트랜지스터 제조 방법은 워크피스를 제공하는 것과, 워크피스 위에 복수의 핀을 형성하는 것과, 복수의 핀의 각각의 상부면 위에 병합되지 않은 반도체 재료를 에피택셜 성장하는 것을 포함한다. 반도체 재료는 반도체 재료의 상부면에 근접하는 것보다 중앙 영역에 근접하여 더 넓다. 방법은 반도체 재료 위에 식각 정지층을 형성하는 것 - 식각 정지층의 일부는 반도체 재료의 더 넓은 중앙 영역 아래에 형성됨 -, 식각 정지층 위에 절연 재료를 배치하는 것과, 복수의 핀 위의 절연 재료 및 식각 정지층의 상부 부분을 식각 제거하는 것을 포함한다. 전도성 재료는 복수의 핀 위에 형성하여 접점을 형성한다. 반도체 재료를 형성하는 것 또는 식각 정지층을 형성하는 것은 식각 정지층의 상부 부분을 제거하는 것이 복수의 핀 위의 반도체 재료의 더 넓은 중앙 영역 사이의 식각 정지층을 제거하지 않도록 제어된다.
본 발명 및 그 장점의 더 완전한 이해를 위해, 이제 첨부 도면과 관련하여 이하의 설명이 참조된다.
도 1 내지 도 8은 본 발명의 실시예에 따른 반도체 디바이스 제조 방법의 단면도.
도 9는 도 8에 도시되어 있는 반도체 디바이스의 평면도.
도 10은 도 8에 도시되어 있는 반도체 디바이스의 일부의 상세도.
도 11은 반도체 디바이스를 제조하기 위한 흐름도.
상이한 도면에서 대응하는 도면 번호 및 부호는 일반적으로 달리 지시되지 않으면 대응 부분을 나타낸다. 도면은 실시예의 관련 양태를 명백히 예시하도록 도시되어 있고, 반드시 실제 축적대로 도시되어 있는 것은 아니다.
본 발명의 실시예의 구성 및 사용이 이하에 설명된다. 그러나, 본 발명은 광범위한 특정 환경으로 실시될 수 있는 다수의 적용 가능한 발명적인 개념을 제공한다는 것이 이해되어야 한다. 설명된 특정 실시예는 단지 본 발명을 구성하고 사용하는 특정 방식의 예시일 뿐이고, 본 발명의 범주를 한정하는 것은 아니다.
본 발명의 실시예는 반도체 디바이스 및 트랜지스터를 제조하는 방법에 관련된다. FinFET 트랜지스터를 제조하는 신규의 방법이 본 명세서에 설명될 것이다.
도 1 내지 도 8은 본 발명의 실시예에 따른 반도체 디바이스(100)의 제조 방법의 단면도를 도시하고 있다. 도 1을 먼저 참조하면, 워크피스(102)가 제공된다. 워크피스(102)는 실리콘 또는 다른 반도체 재료를 포함하는 반도체 기판을 포함할 수 있고, 예를 들어 절연층에 의해 덮여질 수 있다. 워크피스(102)는 또한 도시되어 있지 않은 다른 능동 부품 또는 회로를 포함할 수 있다. 워크피스(102)는 예를 들어 단결정 실리콘 위에 실리콘 산화물을 포함할 수 있다. 워크피스(102)는 다른 전도층 또는 다른 반도체 소자, 예를 들어 트랜지스터, 다이오드 등을 포함할 수 있다. 예로서 GaAs, InP, Si/Ge 또는 SiC와 같은 화합물 반도체가 실리콘 대신에 사용될 수도 있다. 워크피스(102)는 예로서 벌크 기판 또는 반도체-온-절연체(SOI) 기판을 포함할 수 있다.
복수의 핀(104)이 도 2에 도시되어 있는 바와 같이 워크피스(102) 위에 형성된다. 핀(104)은 워크피스(102)의 유형에 따라 다수의 방법을 사용하여 제조될 수 있다. 몇몇 실시예에서, 워크피스(102)는 예를 들어 벌크 Si, 벌크 SiP, 벌크 SiGe, 벌크 SiC, 벌크 Ge 또는 이들의 조합과 같은 벌크 기판을 포함하는 기판을 포함한다. 핀(104)은 벌크 기판을 포함하는 워크피스(102)의 상부 부분에 형성된다. 다른 실시예에서, 핀(104)은 SOI 기판을 포함하는 워크피스(102)로부터 형성될 수 있다. SOI 기판은 절연 재료의 양 측면 상에 배치된 실리콘 또는 게르마늄과 같은 2개의 반도체 재료의 층을 포함한다. 하나의 반도체 재료의 층은 패터닝되어 이 실시예에서 핀(104)을 형성한다. 워크피스(102)는 예로서 SOI-Si 워크피스, SOI-SiGe 워크피스 또는 벌크 기판을 갖는 이들의 조합을 포함할 수 있다.
4개의 핀(104)이 도면에 도시되어 있지만, 대안적으로 2개 이상의 핀(104)이 단일의 반도체 디바이스(100) 내에 포함될 수 있다. 7개, 14개, 20개 또는 다른 수의 핀(104)이 예를 들어 단일 트랜지스터 내에 형성될 수 있다. 핀(104)은 도 2 내지 도 8에 도시되어 있는 시야에서 지면(紙面) 안밖으로 연장하여 서로 평행하게 형성된다. 핀(104)은 몇몇 실시예에 따른 트랜지스터의 일부를 포함한다. 디자인에 따라, 핀(104)은 예를 들어 트랜지스터의 채널, 소스 영역 또는 드레인 영역을 포함할 수 있다. 핀(104)은 몇몇 실시예에서 FinFET의 핀을 포함할 수 있다.
핀(104)은 예로서 포토리소그래피 및 식각 프로세스, 직접 식각 프로세스 또는 마이크로머시닝을 사용하여 형성될 수 있다. 핀(104)은 약 10 내지 1,000 nm를 포함할 수 있는 치수 d1을 포함하는 거리만큼 서로로부터 이격될 수 있다. 핀(104)은 약 5 내지 100 nm를 포함할 수 있는 치수 d2를 포함하는 폭을 포함할 수 있다. 핀(104)은 약 20 내지 1,000 nm를 포함할 수 있는 치수 d3을 포함하는 높이를 포함할 수 있다. 핀(104)은 수 ㎛만큼 지면 안밖으로 길이방향으로 연장할 수 있다. 대안적으로, 핀(104)의 치수 d1, d2, d3 및 길이는 다른 값을 포함할 수도 있다.
필드 산화층(field oxide), 얕은 트렌치 절연부(Shallow Trench Isolation; STI) 또는 다른 절연 재료를 포함할 수 있는 절연 재료(106)가 도 3에 도시되어 있는 바와 같이 핀(104) 사이에 배치된다. 절연 재료(106)는 이산화실리콘과 같은 산화물 또는 다른 유형의 유전 재료를 포함할 수 있다. 절연 재료(106)는 절연 재료(106)를 형성하는 데 사용된 프로세스에 기인하여 핀(104)에 근접한 리세스 형성 영역(108)을 포함할 수 있다. 절연 재료(106)는 핀(104)의 상부면 위에 증착될 수 있고, 과잉의 절연 재료(106)가 예를 들어 화학 기계적 연마(CMP) 및/또는 식각 프로세스를 사용하여 제거될 수 있다.
반도체 재료(110)는 도 4에 도시되어 있는 바와 같이, 핀(104)의 상부면 위에 형성된다. 반도체 재료(110)는 예를 들어 몇몇 실시예에서 에피택셜 성장에 의해 형성된다. 전구체가 도입될 수 있고[예를 들어, 워크피스(102)가 프로세싱되고 있는 챔버 내로], 핀(104)의 상부면은 예를 들어 에피택셜 성장 프로세스 중에 반도체 재료(110)의 결정 배향을 위한 시드 결정으로서 작용할 수 있다. 반도체 재료(110)는 예로서 Si, SiGe, SiC, SiP, SiPC 또는 다른 원소로 도핑되거나 도핑되지 않은 다른 반도체 재료를 포함할 수 있다.
반도체 재료(110)는 도 4에 도시되어 있는 바와 같이 반도체 재료(110)의 상부면 또는 저부면에 근접하는 것보다 중앙 영역에 근접하여 더 넓다. 반도체 재료(110)는 인접한 핀(104) 위의 더 넓은 중앙 영역(112)에서 인접한 반도체 재료(110)로부터 치수 d4를 포함하는 거리만큼 이격될 수 있다. 더 넓은 영역(112)은 에피택셜 성장된 반도체 재료(110)의 상부면과 저부면 사이의 중심에 정확하게 배치되지 않을 수도 있다. 더 넓은 영역(112)은 도 4에 도시되어 있는 바와 같이 반도체 재료(110)의 저부면을 더 향해 위치될 수 있고, 또는 더 넓은 영역(112)은 반도체 재료(110)의 결정 성장 및 결정 구조에 따라 반도체 재료(110)의 상부면을 더 향해 위치될 수 있다. 치수 d4는 몇몇 실시예에서, 약 5 내지 1,000 nm를 포함할 수 있지만, 대안적으로 치수 d4는 다른 값을 포함할 수도 있다. 본 발명의 실시예에 따르면, 치수 d4는 0 초과이고, 예를 들어 핀(104)의 상부면 위의 반도체 재료(110)는 병합되지 않는다.
접점 식각 정지층(CESL)(114)이 도 5에 도시되어 있는 바와 같이 반도체 재료(110) 위에 그리고 절연 재료(106) 위에 형성된다. CESL(114)은 또한 식각 정지층 또는 제1 식각 정지층으로서 본 명세서에 칭한다. CESL(114)은 예로서 SiN, SiON, SiC 또는 SiOC를 포함할 수 있지만, 대안적으로 CESL(114)은 다른 재료를 포함할 수 있다. CESL(114)은 도 6에 도시되어 있는 층(118)과 같은 이후에 증착된 절연 재료에 대한 식각 선택비를 갖는 재료를 포함할 수 있다. CESL(114)은 화학 기상 증착(CVD) 또는 다른 유형의 증착 프로세스를 사용하여 증착될 수 있다. 몇몇 실시예에서, CESL(114)은 예로서 유동성 CVD를 사용하여 형성된다. CESL(114)의 두께는 몇몇 실시예에서 약 15 내지 50 nm를 포함할 수 있는 치수 d5를 포함하지만, 대안적으로 CESL(114)은 다른 치수를 포함할 수도 있다.
CESL(114)의 일부는 핀(104)의 상부에서 반도체 재료(110) 사이에 형성된다. CESL(114)은 등각성(conformal)이고, 절연 재료(106) 및 에피택셜 성장된 반도체 재료(110)의 토포그래피(topography)의 형상을 취한다. CESL(114)은 반도체 재료(110)의 가장 넓은 부분(112) 아래에 형성된다. 몇몇 실시예에서, 개구(116)가 CESL(114)에 형성될 수 있다. 선택적 개구(116)가 도시되어 있는 바와 같이 반도체 재료(110)의 2개의 인접한 더 넓은 부분(112) 아래에 있는 구조체의 수직 높이에 형성될 수 있다.
절연 재료(118/120/122)가 도 6에 도시되어 있는 바와 같이 CESL(114) 위에 형성된다. 절연 재료(118/120/122)는 도시되어 있는 실시예에서 3개의 층을 포함하고, 대안적으로 절연 재료(118/120/122)는 단일층 또는 2개 이상의 층을 포함할 수 있다. 절연 재료(118/120/122)는 도시되어 있는 실시예에서 제1 식각 정지층(114) 위에 형성된 제1 층간 유전막(ILD)(118), 제1 ILD(118) 위에 형성된 제2 식각 정지층(120) 및 제2 식각 정지층(120) 위에 형성된 제2 ILD(122)를 포함한다. 제2 식각 정지층(120)은 예를 들어 제1 식각 정지층(114)에 대해 설명된 유사한 재료를 포함할 수 있다. 제2 식각 정지층(120)은 중간 식각 정지층(MESL)을 포함할 수 있다. 제1 ILD(118) 및 제2 ILD(122)는 예로서 산화물, 니트라이드 또는 다른 유형의 절연 재료를 포함할 수 있다.
다음, 핀(104)에 전기적으로 결합되는, 예를 들어 도 7 및 도 8에 도시되어 있는 바와 같이 핀(104) 위에 형성된 반도체 재료(110)에 전기적으로 결합되는 접점(127)이 형성된다. 접점(127)은 복수의 핀(104) 위의 절연 재료(118/120/122) 및 식각 정지층(114)의 일부를 제거함으로써 형성되는 데, 예를 들어 도 7에 도시되어 있는 바와 같이 반도체 재료(110) 위의 식각 정지층(114)의 상부 부분이 또한 제거된다. 절연 재료(118/120/122) 및 식각 정지층(114)의 상부 부분은 예를 들어 식각 프로세스를 사용하여 제거된다. 식각 프로세스는 식각 프로세스의 부산물을 검출함으로써 식각 정지층(114)이 도달될 때를 검출하도록 적용될 수 있고, 식각 프로세스는 식각 정지층(114)의 부산물이 감소되거나 감속될 때 정지되어, 예를 들어 식각 정지층(114)이 반도체 재료(110)의 상부면으로부터 제거되어 있는 것을 지시하도록 적용될 수 있다. 개구(116)가 반도체 재료(110) 사이의 식각 정지층(114) 내에 형성되면, 실시예에 따라 식각 프로세스는 식각 정지층(114) 내의 개구(116)에 도달하지 않는다. 복수의 핀(104) 위의 절연 재료(118/120/122) 및 식각 정지층(114)의 상부 부분을 제거하는 것은 절연 재료(118/120/122) 및 식각 정지층(114)의 상부 부분 내에 리세스(124)를 생성한다. 핀(104) 위의 식각 정지층(114)의 상부 부분을 제거하는 것은 도 7에 도시되어 있는 바와 같이, 핀(104)의 상부면 위의 반도체 재료(110)의 상부 부분을 노출된 채로 남겨둔다.
실시예에 따르면, 식각 정지층(114)의 일부(125)는 핀(104) 위의 반도체 재료(110)의 가장 넓은 부분(112) 상부에 또는 위에 남아 유지된다. 남아 유지되는 식각 정지층(114)의 일부(125)는 몇몇 실시예에서 적어도 15 nm를 포함할 수 있는 치수 d6을 포함할 수 있다. 대안적으로, 치수 d6은 다른 값을 포함할 수도 있다.
전도성 재료(126)가 도 8에 도시되어 있는 바와 같이, 핀(104) 위에, 예를 들어 핀(104) 위의 반도체 재료(110)의 노출된 상부 부분 위에 형성된다. 전도성 재료(126)는 예로서 구리, 텅스텐, 다른 전도성 재료 또는 이들의 다수의 층 또는 조합을 포함할 수 있다. 대안적으로, 전도성 재료(126)는 다른 재료를 포함할 수 있다. 초기에 증착된 바와 같이, 전도성 재료(126)는 또한 도시되어 있지 않은 제2 ILD(122)의 상부면 위에 형성될 수 있고, CMP 및/또는 식각 프로세스가 제2 ILD(122) 위로부터 과잉의 전도성 재료(126)를 제거하여, 전도성 재료(126)로 형성된 접점(127)을 남겨두는 데 사용될 수 있다. 접점(127)은 몇몇 실시예에서 약 10 nm 내지 100 ㎛만큼 지면 안밖으로 연장하는 슬롯 접점을 포함할 수 있지만, 대안적으로 접점(127)은 다른 치수를 포함할 수도 있다. 접점(127)은 예를 들어 플러그 접점을 또한 포함할 수 있다.
도 8은 핀(104), 반도체 재료(110) 및 CESL(114)을 포함하는 트랜지스터(130)를 포함하는 완성된 반도체 디바이스(100)의 단면도를 도시하고 있다. 접점(127)은 트랜지스터(130)로의 전기 접속을 제공한다. 접점(127)은 반도체 디바이스(100)의 부품 또는 다른 디바이스에 결합될 수 있고 그리고/또는 접점(127)은 도시되어 있지 않은 반도체 디바이스(100)의 금속화층에 의해 워크피스(102)의 상부면 상의 이후에 형성된 접점 패드에 결합될 수 있다.
도 9는 접점(127)이 핀(104)의 상부 위에 길이방향으로 연장하는 슬롯 접점을 포함할 수 있는 것을 도시하고 있는, 도 8에 도시되어 있는 반도체 디바이스의 평면도이다.
도 10은 도 8에 도시되어 있는 반도체 디바이스(100)의 일부의 더 상세한 도면이다. 반도체 재료(110)의 가장 넓은 부분(112)에 근접한 확대도가 도시되어 있다. 본 발명의 실시예에 의해 완화되는 잠재적인 문제점은 가상선으로 도면 부호 134로 도시되어 있다. 예를 들어 치수 d7을 포함하는 불충분한 양의 식각 정지층(114)이 식각 프로세스 후에 반도체 재료(110)의 가장 넓은 부분(112) 상부에 남아 유지되면(도 7에 도시되어 있는 구조체를 남겨둠), 개구가 핀(104) 위의 반도체 재료(110) 사이의 식각 정지층(114)의 상부면에 형성된다. 전도성 재료(126)가 증착되거나 형성될 때, 전도성 재료(126)의 일부는 도면 부호 132로 도 10에 가상선으로 도시되어 있는 바와 같이, 개구를 충전하고 전도성 재료(110)와 가능하게는 또한 핀(104)의 상부 부분 사이에 전도성 재료를 형성할 수 있다. 핀(104)과 반도체 재료(110) 사이에 전도성 재료(126)를 형성하는 것은 신뢰성 문제점을 야기할 수 있고 접합 누설을 증가시킬 수 있다.
유리하게는, 본 명세서에 설명된 실시예에 따르면, 반도체 재료(110)의 형성, 식각 정지층(114)의 형성 또는 반도체 재료(110)의 형성 및 식각 정지층(114)의 형성의 모두는, 접점(127)을 형성할 때 절연 재료(118/120/122) 및 식각 정지층(114)의 상부 부분을 제거하기 위해 식각 프로세스 후에 식각 정지층(114)의 일부(125)가 치수 d6만큼 반도체 재료(110)의 가장 넓은 부분(112)의 상부에 배치되도록 제어된다. 반도체 재료(110)의 형성은 예를 들어 복수의 핀(104) 위의 반도체 재료(110)의 가장 넓은 부분(112) 사이의 공간을 제어함으로써 반도체 재료(110) 사이의 치수 d4(도 4 참조)를 포함하는 공간을 제어함으로써 제어될 수 있다. 식각 정지층(114)의 형성은 예를 들어 식각 정지층(114)의 두께를 제어함으로써 제어될 수 있다. 반도체 재료(110) 및 식각 정지층(114)의 형성은 대안적으로 다른 방법을 사용하여 제어될 수 있다.
몇몇 실시예에서, 접점 식각 정지층(114)의 두께는 접점 식각 정지층(114)의 두께가 복수의 핀(104) 위의 반도체 재료(110) 사이의 최소 공간의 적어도 절반에 동일하도록 선택되어, 반도체 재료(110)의 가장 넓은 부분(112) 사이의 개구가 생성되지 않는 것을 보장한다. 예를 들어, 반도체 재료(110)의 가장 넓은 부분(112) 사이의 치수 d4(도 4 참조)를 포함하는 공간이 약 40 nm이면, 접점 식각 정지층(114)의 두께는 실시예에 따르면 약 20 nm 이상이 되도록 선택될 수 있다. 다른 실시예에서, 반도체 재료(110)의 가장 넓은 부분(112) 사이의 간격 또는 거리(d4)는 식각 정지층(114)의 두께에 기초하여 선택될 수 있다.
반도체 재료(110) 및 식각 정지층(114) 형성을 제어하는 것은 핀(104)의 치수 d1, d2 및 d3 및 절연 재료(106) 내의 리세스(108)의 양을 고려하는 것을 수반할 수 있고, 이는 예를 들어 성장될 반도체 재료(110)의 재료의 양 및 증착될 식각 정지층(114)의 재료의 양에 영향을 미칠 수 있다.
절연 재료(118/120/122) 및 식각 상부층(114)의 상부 부분을 제거하기 위한 식각 프로세스는 또한 몇몇 실시예에 따라 에피택셜 성장된 반도체 재료(110)의 가장 넓은 부분(112) 위의 너무 많은 접점 식각 정지층(114)을 제거하는 것을 회피하기 위해 양호하게 제어된다.
도 11은 반도체 디바이스(100)를 제조하기 위한 흐름도(140)이다. 복수의 핀(104)을 갖는 워크피스(102)가 제공되고(단계 142), 반도체 재료(110)는 핀(104)의 상부면 위에 형성된다(단계 144). 반도체 재료(110)는 핀(104) 사이의 절연 재료(106)의 존재에 기인하여 핀(104)의 측면 상에 형성되지 않는다. 식각 정지층(114)이 반도체 재료(110) 위에 형성된다(단계 146). 절연 재료(118/120/122)가 식각 정지층(114) 위에 배치되고(단계 148), 절연 재료(118/120/122) 및 식각 정지층(114)의 일부가 복수의 핀(104) 위에서 제거된다(단계 150). 단계 144, 단계 146 또는 양 단계 144 및 146은 식각 정지층(114)의 일부를 제거하는 것이 핀(104)의 상부면의 반도체 재료(110)의 가장 넓은 부분 사이의 식각 정지층(114)을 제거하지 않도록 제어된다(단계 152).
본 발명의 실시예의 장점은 핀(104) 사이의 전도성 접점 재료(126)의 형성이 FinFET 구조 및 용례를 위한 병합되지 않은 에피택셜 프로파일에서 방지된다. 신규의 방법은 병합되지 않은 에피택셜 프로파일 내의 접점 랜딩 및 잠재적 접점 식각 문제점을 위한 해결책을 제공한다. 에피택셜 성장된 반도체 재료(110)의 가장 넓은 부분(112) 사이의 치수 d4를 포함하는 공간 및/또는 식각 정지층(114)의 두께는 반도체 재료(110) 및/또는 핀(104) 사이의 식각 정지층(114)의 과잉 식각을 방지하기 위해 제어되고, 조정되고, 그리고/또는 선택된다. 접점 식각 정지층(114) 아래의 공극 충전 재료의 사용을 위한 요구가 식각 정지층(114) 및 반도체 재료(110)를 형성하는 데 사용된 양호하게 제어된 방법에 의해 회피되어, 제조 시간 및 비용을 절약한다. 접점(127) 형성에 있어서의 신뢰성 문제점은 감소되거나 배제된다. 반도체 디바이스(100) 및 트랜지스터(130)를 위한 신규의 제조 방법이 제조 프로세스 흐름에서 용이하게 구현 가능하다.
본 발명의 실시예 및 그 장점이 상세히 설명되어 있지만, 다양한 변경, 치환 및 수정이 첨부된 청구범위에 의해 규정된 바와 같은 본 발명의 사상 및 범주로부터 벗어나지 않고 본 명세서에 이루어질 수 있다는 것이 이해되어야 한다. 예를 들어, 본 명세서에 설명된 다수의 특징, 기능, 프로세스 및 재료는 본 발명의 범주 내에 남아 있으면서 변경될 수 있다는 것이 당 기술 분야의 숙련자들에 의해 즉시 이해될 수 있을 것이다. 더욱이, 본 출원의 범주는 명세서에 설명된 프로세스, 기계, 제조, 물질의 조성, 수단, 방법 및 단계의 특정 실시예에 한정되도록 의도된 것은 아니다. 당 기술 분야의 숙련자는 본 명세서에 설명된 대응 실시예와 실질적으로 동일한 결과를 성취하거나 실질적으로 동일한 기능을 수행하는 현존하는 또는 이후에 개발될 프로세스, 기계, 제조, 물질의 조성, 수단, 방법 또는 단계가 본 발명에 따라 이용될 수 있다는 것을 본 발명의 개시 내용으로부터 즉시 이해할 수 있을 것이다. 따라서, 첨부된 청구범위는 이러한 프로세스, 기계, 제조, 물질의 조성, 수단, 방법 또는 단계를 이들의 범주 내에 포함하도록 의도된다.
100: 반도체 디바이스 102: 워크피스
104: 핀 106: 절연 재료
108: 리세스 형성 영역 110: 반도체 재료
112: 중앙 영역 114: 접점 식각 정지층(CESL)
116: 개구 118, 120, 122: 절연 재료
126: 전도성 재료 127: 접점

Claims (10)

  1. 복수의 핀을 포함하는 워크피스를 제공하는 것과;
    상기 복수의 핀의 상부면 위에 반도체 재료를 형성하는 것과;
    상기 반도체 재료 위에 식각 정지층을 형성하는 것과;
    상기 식각 정지층 위에 절연 재료를 배치하는 것과;
    상기 복수의 핀 위로부터 절연 재료 및 식각 정지층의 일부를 제거하는 것
    을 포함하는 반도체 디바이스 제조 방법으로서,
    상기 반도체 재료를 형성하는 것 또는 상기 식각 정지층을 형성하는 것은 상기 식각 정지층의 일부를 제거하는 것이 상기 복수의 핀 위의 반도체 재료의 가장 넓은 부분 사이의 식각 정지층을 제거하지 않도록 제어되는 것인 반도체 디바이스 제조 방법.
  2. 제1항에 있어서, 상기 반도체 재료를 형성하는 것은 상기 복수의 핀 위의 반도체 재료의 가장 넓은 부분 사이의 공간을 제어함으로써 제어되는 것인 반도체 디바이스 제조 방법.
  3. 제1항에 있어서, 상기 식각 정지층을 형성하는 것은 상기 절연 재료에 대한 식각 선택비를 갖는 재료를 형성하는 것을 포함하는 것인 반도체 디바이스 제조 방법.
  4. 워크피스를 제공하는 것과;
    상기 워크피스 위에 복수의 핀을 형성하는 것과;
    상기 복수의 핀의 각각의 상부면 위에 반도체 재료를 에피택셜 성장하는 것과;
    상기 반도체 재료 위에 식각 정지층을 형성하는 것과;
    상기 식각 정지층 위에 절연 재료를 배치하는 것과;
    상기 복수의 핀 위의 절연 재료 및 식각 정지층의 일부를 제거하는 것과;
    상기 복수의 핀 위에 전도성 재료를 형성하는 것
    을 포함하는 반도체 디바이스 제조 방법으로서,
    상기 반도체 재료를 형성하는 것 또는 상기 식각 정지층을 형성하는 것은 상기 식각 정지층의 일부를 제거하는 것이 상기 복수의 핀 위의 반도체 재료의 가장 넓은 부분 사이의 식각 정지층을 제거하지 않도록 제어되는 것인 반도체 디바이스 제조 방법.
  5. 제4항에 있어서, 상기 반도체 재료를 에피택셜 성장하는 것은 상기 복수의 핀의 상부면 위에 병합되지 않은 반도체 재료를 형성하는 것을 포함하는 것인 반도체 디바이스 제조 방법.
  6. 제4항에 있어서, 상기 식각 정지층의 일부를 제거하는 것은 상기 복수의 핀의 각각의 상부면 위의 반도체 재료의 상부 부분을 노출시키는 것을 포함하는 것인 반도체 디바이스 제조 방법.
  7. 워크피스를 제공하는 것과;
    상기 워크피스 위에 복수의 핀을 형성하는 것과;
    상기 복수의 핀의 각각의 상부면 위에 병합되지 않은 반도체 재료를 에피택셜 성장하는 것 - 상기 반도체 재료는 상기 반도체 재료의 상부면에 근접하는 것보다 중앙 영역에 근접하여 더 넓음 - 과;
    상기 반도체 재료 위에 식각 정지층을 형성하는 것 - 상기 식각 정지층의 일부는 상기 반도체 재료의 더 넓은 중앙 영역 아래에 형성됨 - 과;
    상기 식각 정지층 위에 절연 재료를 배치하는 것과;
    상기 복수의 핀 위의 절연 재료 및 식각 정지층의 상부 부분을 식각 제거하는 것과;
    상기 복수의 핀 위에 전도성 재료를 형성하여 접점을 형성하는 것
    을 포함하는 트랜지스터 제조 방법으로서,
    상기 반도체 재료를 형성하는 것 또는 상기 식각 정지층을 형성하는 것은 상기 식각 정지층의 상부 부분을 제거하는 것이 상기 복수의 핀 위의 반도체 재료의 더 넓은 중앙 영역 사이의 식각 정지층을 제거하지 않도록 제어되는 것인 트랜지스터 제조 방법.
  8. 제7항에 있어서, 상기 식각 정지층을 형성하는 것은 상기 복수의 핀 위의 반도체 재료 사이의 식각 정지층 내에 개구를 형성하는 것을 포함하고, 상기 식각 정지층의 상부 부분을 식각 제거하는 것은 상기 식각 정지층 내의 개구에 도달하지 않는 것인 트랜지스터 제조 방법.
  9. 제7항에 있어서, 상기 식각 정지층을 형성하는 것은 제1 식각 정지층을 형성하는 것을 포함하고, 상기 절연 재료를 배치하는 것은 상기 제1 식각 정지층 위에 제1 층간 유전막(ILD)을 형성하는 것, 상기 제1 ILD 위에 제2 식각 정지층을 형성하는 것, 및 상기 제2 식각 정지층 위에 제2 ILD를 형성하는 것을 포함하는 것인 트랜지스터 제조 방법.
  10. 제7항에 있어서, 상기 절연 재료를 배치하는 것은 제1 절연 재료를 형성하는 것을 포함하고, 상기 워크피스 위에 복수의 핀을 형성한 후에 상기 복수의 핀 사이에 제2 절연 재료를 형성하는 것을 더 포함하는 트랜지스터 제조 방법.
KR1020120038512A 2012-01-03 2012-04-13 반도체 디바이스 및 트랜지스터 제조 방법 KR101374489B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/342,772 2012-01-03
US13/342,772 US8377779B1 (en) 2012-01-03 2012-01-03 Methods of manufacturing semiconductor devices and transistors

Publications (2)

Publication Number Publication Date
KR20130079976A true KR20130079976A (ko) 2013-07-11
KR101374489B1 KR101374489B1 (ko) 2014-03-13

Family

ID=47682785

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120038512A KR101374489B1 (ko) 2012-01-03 2012-04-13 반도체 디바이스 및 트랜지스터 제조 방법

Country Status (3)

Country Link
US (2) US8377779B1 (ko)
KR (1) KR101374489B1 (ko)
CN (1) CN103187304B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048317B2 (en) 2013-07-31 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
KR20160118521A (ko) * 2015-04-02 2016-10-12 삼성전자주식회사 반도체 장치
KR20160141559A (ko) * 2015-06-01 2016-12-09 삼성전자주식회사 비활성-핀을 갖는 반도체 소자 및 그 형성 방법
KR20160144164A (ko) * 2015-06-08 2016-12-16 삼성전자주식회사 누설 전류를 차단할 수 있는 반도체 소자 및 그의 형성 방법
KR20190013400A (ko) * 2017-07-31 2019-02-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet들의 에피택시 소스/드레인 영역들 및 그 형성 방법

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9024387B2 (en) * 2012-06-25 2015-05-05 International Business Machines Corporation FinFET with body contact
US9018713B2 (en) 2012-06-25 2015-04-28 International Business Machines Corporation Plural differential pair employing FinFET structure
US8658536B1 (en) * 2012-09-05 2014-02-25 Globalfoundries Inc. Selective fin cut process
US9159831B2 (en) * 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US9018054B2 (en) 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
CN104124174B (zh) * 2013-04-28 2017-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9054218B2 (en) * 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US9123564B2 (en) 2013-12-05 2015-09-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with conformal doping and method of making
US9159794B2 (en) * 2014-01-16 2015-10-13 Globalfoundries Inc. Method to form wrap-around contact for finFET
EP2908345A1 (en) 2014-02-13 2015-08-19 IMEC vzw Contact formation in Ge-containing semiconductor devices
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
CN105261645B (zh) * 2014-07-16 2020-02-21 联华电子股份有限公司 半导体装置及其制作方法
US9917240B2 (en) * 2014-07-24 2018-03-13 Samsung Electronics Co., Ltd. Thermoelectric element, method of manufacturing the same and semiconductor device including the same
KR102334301B1 (ko) * 2014-07-24 2021-12-02 삼성전자주식회사 열전 소자, 이의 제조 방법 및 이를 포함하는 반도체 장치
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
KR102311937B1 (ko) * 2014-09-23 2021-10-14 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US9502499B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having multi-layered isolation trench structures
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR102340329B1 (ko) * 2015-03-25 2021-12-21 삼성전자주식회사 반도체 소자
KR102365305B1 (ko) 2015-03-27 2022-02-22 삼성전자주식회사 반도체 소자
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
KR102366976B1 (ko) 2015-05-04 2022-02-24 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9831116B2 (en) * 2015-09-15 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETs
US9905641B2 (en) 2015-09-15 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9679978B2 (en) 2015-09-24 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
KR102427326B1 (ko) 2015-10-26 2022-08-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US10497701B2 (en) * 2015-12-16 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US10490552B2 (en) * 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
KR102399353B1 (ko) 2016-01-05 2022-05-19 삼성전자주식회사 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10157918B2 (en) 2016-08-03 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
KR102568562B1 (ko) * 2017-01-24 2023-08-18 삼성전자주식회사 반도체 장치
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
DE102018114209A1 (de) * 2017-07-31 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Source -und-drain-struktur mit einem reduzierten kontaktwiderstand und einer verbesserten beweglichkeit
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
CN110416297B (zh) * 2018-04-27 2023-07-04 中芯国际集成电路制造(上海)有限公司 N型鳍式场效应晶体管及其形成方法
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11515211B2 (en) * 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US11996453B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Introducing fluorine to gate after work function metal deposition

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6924178B2 (en) * 2003-12-08 2005-08-02 International Business Machines Corporation Oxide/nitride stacked in FinFET spacer process
US6933183B2 (en) 2003-12-09 2005-08-23 International Business Machines Corporation Selfaligned source/drain FinFET process flow
US7087471B2 (en) 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US7241655B2 (en) * 2004-08-30 2007-07-10 Micron Technology, Inc. Method of fabricating a vertical wrap-around-gate field-effect-transistor for high density, low voltage logic and memory array
US7550773B2 (en) * 2007-06-27 2009-06-23 International Business Machines Corporation FinFET with top body contact
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8440517B2 (en) * 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048317B2 (en) 2013-07-31 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
KR101534946B1 (ko) * 2013-07-31 2015-07-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 컨택 구조물
US9379108B2 (en) 2013-07-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
KR20160118521A (ko) * 2015-04-02 2016-10-12 삼성전자주식회사 반도체 장치
KR20160141559A (ko) * 2015-06-01 2016-12-09 삼성전자주식회사 비활성-핀을 갖는 반도체 소자 및 그 형성 방법
KR20160144164A (ko) * 2015-06-08 2016-12-16 삼성전자주식회사 누설 전류를 차단할 수 있는 반도체 소자 및 그의 형성 방법
KR20190013400A (ko) * 2017-07-31 2019-02-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Finfet들의 에피택시 소스/드레인 영역들 및 그 형성 방법
US10777664B2 (en) 2017-07-31 2020-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy source/drain regions of FinFETs and method forming same

Also Published As

Publication number Publication date
CN103187304A (zh) 2013-07-03
US8377779B1 (en) 2013-02-19
CN103187304B (zh) 2015-11-18
US20130171790A1 (en) 2013-07-04
KR101374489B1 (ko) 2014-03-13
US8679925B2 (en) 2014-03-25

Similar Documents

Publication Publication Date Title
KR101374489B1 (ko) 반도체 디바이스 및 트랜지스터 제조 방법
US11450661B2 (en) Forming STI regions to separate semiconductor Fins
US11282751B2 (en) Dielectric fins with different dielectric constants and sizes in different regions of a semiconductor device
TWI570785B (zh) 半導體裝置與其形成方法及鰭狀場效電晶體裝置
US11575027B2 (en) Dummy dielectric fin design for parasitic capacitance reduction
US9627379B1 (en) FinFET devices and methods of forming the same
US9887136B2 (en) Semiconductor devices, FinFET devices, and methods of forming the same
US10804403B2 (en) Method of fabricating semiconductor devices
US11721761B2 (en) Structure and method for providing line end extensions for fin-type active regions
US10164006B1 (en) LDMOS FinFET structures with trench isolation in the drain extension
TW201830704A (zh) 垂直場效電晶體與鞍形鰭式場效電晶體的整合
US9935106B2 (en) Multi-finger devices in mutliple-gate-contacted-pitch, integrated structures
US20210296185A1 (en) Semiconductor device and manufacturing method thereof
TW202020986A (zh) 半導體裝置
US10249722B2 (en) Reduced parasitic capacitance with slotted contact
TW202205596A (zh) 半導體裝置
CN221008951U (zh) 集成电路
TW202109888A (zh) 積體電路裝置的形成方法
KR20240061916A (ko) 반도체 장치 및 이의 제조 방법
TW202416450A (zh) 積體電路及其製造方法
TW202131521A (zh) 半導體裝置
CN115083913A (zh) 鳍式场效应晶体管的制造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200226

Year of fee payment: 7