KR20120104149A - 수성 알칼리 세정 조성물 및 그 사용 방법 - Google Patents

수성 알칼리 세정 조성물 및 그 사용 방법 Download PDF

Info

Publication number
KR20120104149A
KR20120104149A KR1020127002570A KR20127002570A KR20120104149A KR 20120104149 A KR20120104149 A KR 20120104149A KR 1020127002570 A KR1020127002570 A KR 1020127002570A KR 20127002570 A KR20127002570 A KR 20127002570A KR 20120104149 A KR20120104149 A KR 20120104149A
Authority
KR
South Korea
Prior art keywords
group
carbon atoms
residues
substituted
residue
Prior art date
Application number
KR1020127002570A
Other languages
English (en)
Other versions
KR101751553B1 (ko
Inventor
라이문트 멜리스
안드레아스 크립
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20120104149A publication Critical patent/KR20120104149A/ko
Application granted granted Critical
Publication of KR101751553B1 publication Critical patent/KR101751553B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 (A) 1 이상의 2차 또는 3차 아미노 기 및 1 이상의 머캅토 기를 갖는 1 이상의 티오아미노산 및 (B) 1 이상의 수산화4차암모늄을 포함하는 수성 알칼리 세정 조성물; 전기 및 광학 장치 제작에 유용한 기판의 처리를 위한 알칼리 세정 조성물의 용도; 및 상기 수성 알칼리 세정 조성물을 사용하는 전기 및 광학 장치 제작에 유용한 기판의 처리 방법에 관한 것이다.

Description

수성 알칼리 세정 조성물 및 그 사용 방법{AQUEOUS ALKALINE CLEANING COMPOSITIONS AND METHODS OF THEIR USE}
본 발명은 표면 준비, 도금 전 세정, 엣칭 후 세정 및 화학 기계적 폴리싱 후 세정에 유용한 조성물을 포함하여, 전기 및 광학 장치, 특히 전기 장치의 제작에 유용한 기판 처리(processing)를 위한 신규 수성 알칼리 세정 조성물에 관한 것이다.
또한, 본 발명은 표면 준비, 도금 전 세정, 엣칭 후 세정 및 화학 폴리싱 후 세정을 위한 신규 방법을 포함하여, 전기 및 광학 장치, 특히 전기 장치를 제작하는데 유용한 기판을 처리하기 위한 신규 방법에 관한 것이고, 이러한 신규 방법은 신규 수성 알칼리 세정 조성물을 사용한다.
전기 장치, 특히 반도체 집적 회로(IC); 액정 패널; 유기 전계발광 패널; 인쇄 회로 기판; 마이크로머신; DNA 칩; 마이크로플랜트 및 자기 헤드; 바람직하게 LSI(large-scale integration) 또는 VLSI(very-large-scale integration)를 구비한 IC와, 광학 장치, 특히 광학 유리 예컨대 포토-마스크, 렌즈 및 프리즘; 무기 전기전도성막 예컨대 산화인듐주석(ITO); 광학 집적 회로; 광학 스위칭 소자; 광도파로; 광학 단결정 예컨대 광섬유 및 신틸레이터의 단면; 고체 레이저 단결정; 블루 레이저 LED용 사파이어 기판; 반도체 단결정; 및 자기 디스크용 유리 기판의 제작은, 무엇보다도, 고순도 세정 조성물을 사용하는 표면 준비, 도금전 세정, 엣칭후 세정 및/또는 화학 폴리싱 후 세정 단계를 포함한 고정밀법을 요한다.
LSI 또는 VLSI 구비 IC의 제작은 각별한 주의를 기울여야 한다. 이러한 목적으로 사용되는 반도체 웨이퍼는 전기 절연성, 전도성 또는 반도체성을 갖는 상이한 물질을 증착시키기 위해 그 영역이 패턴화되는, 반도체 기판 예컨대 규소를 포함한다.
올바른 패터닝을 위해, 기판 상에 다양한 층을 형성하는데 사용된 과량의 물질은 제거되어야 한다. 또한, 기능적이고 믿을만한 IC를 제작하기 위해, 편평하거나 또는 평면인 반도체 웨이퍼 기판을 갖는 것이 중요하다. 따라서, 다음 처리 단계를 수행하기 전에 IC 제작 동안 반도체 웨이퍼의 일정 평면을 제거 및/또는 폴리싱하는 것이 필요하다.
화학 기계 폴리싱 또는 평탄화(CMP)는 그 표면을 산화 또는 킬레이팅 등과 같은 화학적 공정과 연마 등의 물리적 공정을 커플링하여 폴리싱(평탄화)하여, 물질을 기판 표면, 예를 들면, 반도체 웨이퍼 표면에서 제거하는 공정이다. 가장 기본적인 형태로, CMP은 제거, 평탄화 및 폴리싱을 수행하기 위해 반도체 웨이퍼의 표면을 광내는 폴리싱 패드에, 슬러리, 즉 마모성 및 활성 화학물의 현탁액을 적용하는 것을 포함한다. 신속하고 균일한 제거를 위해서는 제거 또는 폴리싱에 순수하게 물리적 작용 또는 순수하게 화학적 작용을 포함시키기 보다는, 이 둘의 상승적 조합을 포함시키는 것이 낫다. IC의 제작을 위해, 고도의 평탄면을 후속 포토리쏘그래피, 패터닝, 엣칭 및 박막 처리를 위해 생성될 수 있도록 CMP 슬러리는 또한 금속 및 다른 물질의 복합층을 포함하는 막을 우선적으로 제거시킬 수 있어야 한다.
오늘날, 구리는 IC내 금속 인터커넥트용으로 갈수록 더욱 사용되고 있다. 반도체 제작시 전기회로망의 금속피복에 통용되는 구리 상감법(damascence) 또는 이중 상감법에서, 제거 및 평탄화되어야 하는 층들에는 두께가 약 1-1.5 ㎛인 구리층, 및 두께가 약 0.05-0.15 ㎛인 구리 씨드층이 포함된다. 이들 구리층은 구리가 저-k 또는 초 저-k 유전체 물질로 확산되는 것을 방해하는, 대체로 약 5-30 nm 두께의 장벽 물질 층에 의해 저-k 및 초 저-k 유전체 물질로부터 분리된다. 폴리싱 이후 웨이퍼 표면 전반에 우수한 균일성을 확보하기 위한 비결은 각 물질에 대한 정확한 제거 선택성을 갖는 CMP 슬러리를 사용하는 것이다.
웨이퍼 기판 표면 준비, 증착, 도금, 엣칭 및 화학 기계적 폴리싱을 포함하는 앞서 말한 처리 작업은, 존재하면 IC의 기능에 영향을 미치거나, 의도하는 기능에 대해 쓸모없게 만들어버릴 수 있는 오염물을 IC가 함유하지 않도록 보장할 수 있는 세정 작업을 요구한다.
특히 중요한 이슈는 CMP 처리 후 기판 상에 남아있는 잔류물이다. 이러한 잔류물은 CMP 물질 및 부식 억제제 화합물 예컨대 벤조트리아졸(BTA)을 포함한다. 따라서, 구리 철 농도는 CMP 동안 구리-억제제 착체의 최대 가용성을 초과할 수 있다. 따라서, 구리-억제제 착체는 용액으로부터 침전될 수 있고, 표면 잔류물로 응고될 수 있다. 또한, 이들 잔류물은 폴리싱 패드의 표면에 달라붙어서 축적되어 결국 폴리싱 패드의 홈을 메워버린다. 부가적으로, CMP 슬러리에 함유되는 화합물 및 연마 입자와 반응 부산물이 웨이퍼 표면 상에 남을 수 있다. 또한, 탄소 도핑된 산화물 또는 유기막 등과 같은 초 저-k 유전체 물질을 함유하는 구리 상감 구조체의 폴리싱은 웨이퍼 표면 상에 정착되는 탄소-풍부 입자를 생성시킬 수 있다. 물론, 모든 이들 잔류물이 또한 CMP 슬러리와 접촉하는 공정에 이용되는 처리 도구들을 오염시킬 수 있다. 설상가상으로, 이러한 초 저-k 유전체 물질과 탄화규소, 질화규소 또는 산화질화규소 CMP 정지층은 매우 소수성이어서 수계 세정액으로 세정이 어렵다.
모든 이들 잔류물로 인해 구리 금속피복이 심각하게 거칠어질 수 있는데, 이는 불충분한 전기 성능을 야기할 수 있기 때문에 피해야만한다.
IC 제작에 일반적인 다른 잔류물 생성 공정은 하드마스크, 인터레벨 유전체 및 엣칭-중지층으로 이루어질 수 있는 하위층으로, 현상된 포토레지스트 코팅의 패턴을 전달하기 위한 기상층 플라스마 엣칭을 포함한다. 기판 위 및 기판 내와 플라스마 가스에 존재하는 화학 원소를 포함할 수 있는, 가스층 플라스마 엣칭 후 잔류물은 대체로 BEOL(back end of the line) 구조체 상에 침착되고, 제거되지 않으면, 후속 실리사이드화 및 접촉 형성을 방해할 수 있다.
적어도 어느 정도로 이들 문제를 완화시키기 위해, 수산화4차암모늄을 함유하는 수성 알칼리 세정 및 스트립핑 조성물이 종래 개발되고 개시되었다.
따라서, 미국 특허 US 6,465,403 B1은 특히
- 염기 예컨대 수산화4차암모늄,
- 금속 이온 무함유 실리케이트 예컨대 4차 암모늄 실리케이트,
- 금속 킬레이팅제 및 예컨대 살리실산,
- 유기 용매 예컨대 글리콜 에테르, 및
- 비이온성 계면활성제 예컨대 폴리옥시에틸렌 모노알킬 에테르 및 알킬렌 글리콜 모노알킬 에테르
를 함유하는, 반도체 웨이퍼 기판의 스트립핑 또는 세정용 수성 알칼리 조성물을 개시하고 있다.
또한, 표 28과 함께 실시예 29, 컬럼 47, 10째줄 내지 컬럼 49, 8째줄에는
- 탈이온수,
- 테트라메틸암모늄 실리케이트,
- 테트라메틸수산화암모늄,
- 트랜스-(1,2-시클로헥실렌니트릴로)-테트라아세트산, 및
- 가능한 티타늄 잔류물 제거 향상제로서 시스테인
으로 이루어진 용액 S8을 개시하고 있다.
그러나, 표 28에 제시된 테스트 결과는 시스테인이 이러한 점에서 유리한 효과가 없는 것을 보여준다.
미국 특허 US 6,200,947 B1은 금속-부식 억제제로서 분자 내 1 이상의 머캅토 기를 갖는 지방족 알콜 화합물 및 테트라알킬수산화암모늄을 함유하는 수성 알칼리 세정액을 개시하고 있다.
국제 공개특허 출원 WO 01/95381 A2 및 미국 특허 US 6,492,308 B1은 특히
- 수산화4차암모늄,
- 극성 유기 아민 예컨대 에탄올아민, 및
- 부식 억제제로서 에틸렌디아민
을 포함하는 CMP후 세정액을 개시하고 있다.
미국 특허 출원 US 2003/0207778 A1, 국제 공개 특허 출원 WO 03/053602 A1 및 미국 특허 US 7,387,964 B2는 한자리 알킬 아민 예컨대 에탄올아민, 2자리 알킬 아민, 예컨대 에틸렌디아민 및 디에틸렌트리아민 및 3자리 아민 예컨대 트리에틸렌테트라아민 및 4차 암모늄 염 염 예컨대 테트라메틸수산화암모늄으로 이루어진 군에서 선택된 착화제를 특히 함유하는 수성 알칼리 구리 폴리싱 세정 조성물을 개시한다.
미국 특허 출원 US 2005/0181961 A1 및 미국 특허 US 7,435,712 B2는
- 세정제로서 테트라알킬수산화암모늄,
- 착화제로서 시스테인, 에틸렌디아민 및 살리실산, 및/또는
- 부식 억제 화합물로서 시스테인
을 특히 함유하는 가능한 수성 알칼리 세정 조성물을 개시한다.
미국 특허 출원 US 2006/0166847 A1, 페이지 5, 단락 [0082] 및 [0083]은
- 에탄올아민,
- 테트라메틸수산화암모늄, 및
- 시스테인
을 특히 함유하는 수성 알칼리 세정 조성물 K, 및
- 에탄올아민,
- 테트라메틸수산화암모늄, 및
- 에틸렌디아민
을 특히 함유하는 수성 알칼리 세정 조성물 M을 개시한다.
그러나, 단락 [0161]과 함께 페이지 8, 표 1에서 확인할 수 있는 바와 같이, 이 2종 조성물은 빈약한 세정 효율만을 보였다. 또한, 도 9와 함께, 페이지 12, 실시예 13, 단락 [0198]에서, 상기 수성 알칼리 세정 조성물은 역히 처리된 구리 표면에서 높은 거칠기를 야기하였다.
국제 공개 특허 출원 WO 2006/081406 A1, 페이지 20은 동일한 수성 알칼리 세정 조성물 K 및 M을 개시하고 있다. 표 1, 페이지 26, 단락 [00122]와 페이지 27, 단락 [00123]에 따르면, 이 2종 조성물은 오직 빈약한 세정 효율만을 나타냈다. 추가적으로, 페이지 37, 실시예 13, 단락 [00171]과 함께 도 9에서, 상기 수성 알칼리 세정 조성물은 또한 처리된 구리 표면에 높은 거칠기를 야기시켰다. 또한, 이 국제 공개 특허 출원은 또한 페이지 26에서,
- 에탄올아민,
- 테트라메틸수산화암모늄, 및
- 디에틸렌 글리콜 헥실 에테르
를 특히 포함하는 수성 알칼리 세정 조성물 DF를 개시하고 있다.
그러나, 적용 특성은 개시되어 있지 않다.
국제 공개 특허 출원 WO 2006/127885 A1은 특히
- 아민 화합물 예컨대 에탄올아민 및 트리에틸렌디아민,
- 4차 암모늄 염, 및
- 착화제로서 폴리알킬렌 글리콜 알킬 에테르 예컨대 디에틸렌 글리콜 모노부틸 에테르
를 함유하는 수성 알칼리 CMP 후 세정 조성물을 개시하고 있다.
이 국제 공개 특허 출원의 페이지 19는 구체적으로, 특히
- 에탄올아민,
- 테트라메틸수산화암모늄, 및
- 디에틸렌 글리콜 헥실 에테르
를 함유하는 배합물 AM 및 AQ를 언급하고 있다.
그러나, 상세한 실험내용은 개시되어 있지 않다.
종래 수성 알칼리 세정 또는 스트립핑 조성물은 산소에 노출시 분해에 민감하고, 그에 따라 조성물의 색상의 암화가 초래되어, 그 결과 제작 공정 도구와 연관된 센서가 그 도구의 기능 및 신뢰성을 위태롭게 할 수 있는 잘못된 출력을 야기하게 된다. 부가적으로, 이러한 분해는 세정력 및/또는 스트립핑력 손실을 포함한다. 이는 장기간의 산소 노출의 경우 너무 광범위해져서 세정 또는 스트립핑 조성물은 더 이상 상당한 효능을 갖지 못한다.
상기 기술된 종래 기술은 이러한 문제들을 어떻게 해결할 수 있는지에 대한 어떠한 힌트도 제공하지 못한다. 구체적으로, 성분들 예컨대 시스테인, 에틸렌디아민, 에탄올아민 또는 폴리알킬렌 글리콜 에테르 등은 상기 기술된 국제 공개 특허 출원 WO 2006/081406 A1 또는 미국 특허 US 2006/0166847 A1에 의해 증명된 바와 같이 전적으로 불리하진 않더라도 그렇다고 장점을 제공하지는 않는 것으로 나타났다.
시스테인과 관련하여, 이 화합물은 당분야에서, 쉽게 산화되는 것으로 알려져 있다(예를 들면, 문헌 [Shayne C. Gad, Handbook of Pharmaceutical Biotechnology, John Wiley 및 Sons, 2007, page 328]을 참조한다). 또한, 미량의 중금속, 특히 철 및 구리는 시스테인을 분해시킬 수 있다((예를 들면, Roepp Online 2009, "L-cystein"). 결과적으로, 이 수성 알칼리 세정 조성물이 상기 기술된 문제들을 완화시킬 수 있다는 것을 누구도 예측하기 어려웠다.
상기 기술된 종래 기술은 시스테인의 유도체에 대해서는 전혀 언급하고 있지 않다. 따라서, 당분야의 숙련가는 유도체가 같거나 또는 다른 적용 특성을 나타내거나 또는 상기 기술된 문제를 완화시킬 수 있는지 여부에 대해서는 전혀 어떠한 힌트도 유추할 수 없다.
국제 공개 특허 출원 WO 2005/093031 A1은 CMP후 세정을 위한 개선된 산성 화학물을 개시하고 있다. 이러한 산성 세정액은 중성 내지 저 pH 범위이고 세정제 예컨대 시스테인 또는 살리실산 및 부식-억제 화합물 예컨대 시스테인을 포함한다. 그러나, 이러한 산성 화학물은 특히 착화제 및 산소 존재 하에서, 상당한 정도로 금속성 표면을 공격하는 경향이 있다. 따라서, 당분야의 숙련가는 시스테인 함유 알칼리 세정 조성물의 적절하지 않은 저안정성을 어떻게 증가시킬 수 있는지에 대해 이 국제 공개 특허로부터 아무것도 유추할 수가 없다.
본 발명의 목적은 특히 고순도 세정 조성물을 사용하는 표면 준비, 도금 전 세정, 엣칭 후 세정 및/또는 CMP 후 세정 단계를 포함하는 고정밀 방법을 필요로하는, 전기 장치, 특히 반도체 집적 회로(IC); 액정 패널; 유기 전계발광 패널; 인쇄 회로 기판; 마이크로머신; DNA 칩, 마이크로플랜트 및 자기 헤드; 보다 바람직하게는 LSI(large-scale integration) 또는 VLSI(very-large-scale integration)가 구비된 IC와, 광학 장치, 특히 광학 유리 예컨대 포토-마스크, 렌즈 및 프리즘; 무기 전기전도성막 예컨대 산화인듐주석(ITO); 광학 집적 회로; 광학 스위칭 소자; 광도파로; 광학 단결정 예컨대 광섬유 및 신틸레이트의 말단면; 고체 레이저 단결정; 블루 레이저 LED용 사파이어 기판; 반도체 단결정; 및 자기 디스크용 유리 기판을 제작하는데 유용한 기판을 처리하기 위한 신규 수성 알칼리 세정 조성물을 제공하는 것이다.
구체적으로, 신규 수성 알칼리 세정 조성물은 종래 조성물의 단점, 예컨대 산소 노출시 변색, 암화 및 분해 등을 더 이상 나타내지 않고 그에 따라 그 출력이 도구의 기능 및 신뢰성을 위협할 수 있는, 제작 공정 도구와 연관된 센서의 잘못된 출력을 야기하지 않고, 세정력 및/또는 스트립핑력을 손실시키지 않으면서 장기간 보관 이후에도 쉽게 제조, 보관, 전달, 취급 및 사용될 수 있다.
신규 수성 알칼리 세정 조성물은 특히 구리 상감법 또는 이중 상감법을 통해서, LSI 또는 VLSI를 구비한 IC의 제작 동안, 상기 언급한 세정 단계, 특히 반도체 웨이퍼의 CMP 후 세정을 수행하는데 특히 충분하게 적합해야 한다.
신규한 수성 알칼리 세정 조성물은 전기 및 광학 장치, 구체적으로 IC의 기능에 유해한 영향을 미치거나, 또는 그 의도하는 기능을 쓸모없게 만들 수 있는 잔류물 및 오염물을 기판, 특히 IC가 함유하지 않도록 보장하기 위해, 기판 표면 준비, 증착, 도금, 엣칭 및 CMP 동안 생성되는 모든 유형의 잔류물 및 오염물을 최고로 효율적으로 제거시켜야 한다. 구체적으로, 상감 구조에서 구리 금속피복이 거칠어지는 것을 방지해야 한다.
또한, 신규한 수성 알칼리 세정 조성물은 기판뿐만 아니라 다양한 공정에 사용되는 제작 도구로부터 그러한 잔류물 및 오염물을 최고로 효율적으로 제거시켜야 한다.
본 발명의 다른 목적은 전기 장치, 구체적으로, 반도체 집적 회로(IC); 액정 패널; 유기 전계발광 패널; 인쇄 회로 기판; 마이크로머신; DNA 칩; 마이크로플랜트 및 자기 헤드; 보다 바람직하게 LSI(large-scale integration) 또는 VLSI(very-large-scale integration)를 구비한 IC와, 광학 장치, 구체적으로, 광학 유리 예컨대 포토-마스크, 렌즈 및 프리즘; 무기 전기전도성막 예컨대 산화인듐주석(ITO); 광학 집적 회로; 광학 스위칭 소자; 광도파로; 광학 단결정 예컨대 광섬유 및 신틸레이트의 말단면; 고체 레이저 단결정; 블루 레이저 LED용 사파이어 기판; 반도체 단결정; 및 자기 디스크용 유리 기판을 제작하는데 유용한 기판을 처리하는 신규 방법을 제공하고, 이 신규 방법은 신규한 수성 알칼리 세정 조성물을 사용한다.
구체적으로, 전기 및 광학 장치, 구체적으로 전기 장치를 제작하는 신규 방법은, 표면 준비, 도금전 세정, 엣칭후 세정 및/또는 CMP 후 세정 단계, 보다 구체적으로, 엣칭 후 및/또는 CMP 후 세정 단계, 가장 구체적으로, 신규한 수성 알칼리 세정 조성물을 사용하는 CMP 후 세정 단계를 포함해야 한다.
전기 장치를 제작하기 위한 신규 방법은 특히 구리 상감법 및 이중 상감법의 도움으로, LSI 또는 VLSI 구비된 IC를 제작하는데 특히 충분하게 적합해야 한다. 구리 상감법 및 이중 상감법과 관련하여, 신규 방법은 구리 표면을 스크래칭, 엣칭 및 거칠게하는 것 없이, 기판 표면, 폴리싱 패드 및 다른 처리 도구로부터 CMP 단계 이후에, 오염물 및 잔류물 예컨대 구리, 구리 산화물, 구리-억제제 착체, 연마제 및 탄소로 이루어지거나 또는 이를 함유하는 입자들을 제거시켜야 한다.
따라서, (A) 1 이상의 2차 또는 3차 아미노 기 및 1 이상의 머캅토 기를 갖는 1 이상의 티오아미노산, 및 (B) 1 이상의 수산화4차암모늄
을 포함하는 신규한 수성 알칼리 세정 조성물을 발견하였다.
이하, 신규한 수성 알칼리 세정 조성물은 "본 발명의 조성물"이라고 한다.
또한, 전기 및 광학 장치를 제작하는데 유용한 기판을 처리하는 신규한 방법을 발견하였고, 이 방법은 1 이상의 처리 단계에서 1 이상의 본 발명의 조성물을 사용한다.
이하 전기 및 광학 장치를 제작하는데 유용한 기판을 처리하는 신규한 방법은 "본 발명의 방법"이라고 한다.
마지막으로 역시 중요하게, 전기 및 광학 장치를 제작하는데 유용한 기판의 처리를 위한 본 발명의 조성물의 신규 용도를 발견하였다.
상기 기술된 종래기술면에서, 본 발명의 기초가 되는 목적들이 본 발명의 조성물 및 본 발명의 방법을 통해 해결될 수 있다는 것은 당분야의 숙련가에게는 놀랍고도 예상치못한 것이다.
특히 놀라운것은, 본 발명의 조성물이 전기 장치, 구체적으로, 반도체 집적 회로(IC); 액정 패널; 유기 전계발광 패널; 인쇄 회로 기판; 마이크로머신; DNA 칩; 마이크로플랜트 및 자기 헤드; 보다 구체적으로 LSI(large-scale integration) 또는 VLSI(very-large-scale integration)를 구비한 IC와, 광학 장치, 구체적으로, 광학 유리 예컨대 포토-마스크, 렌즈 및 프리즘; 무기 전기전도성막 예컨대 산화인듐주석 (ITO); 광학 집적 회로; 광학 스위칭 소자; 광도파로; 광학 단결정 예컨대 광섬유 및 신틸레이트의 말단면; 고체 레이저 단결정; 블루 레이저 LED용 사파이어 기판; 반도체 단결정; 및 자기 디스크용 유리 기판을 제작하는데 유용한 기판을 처리하는데 탁월하게 적합하다는 것이다.
보다 더욱 놀라운 것은 본 발명의 조성물이 특히 표면 준비, 도금전 세정, 엣칭후 세정 및/또는 CMP 후 세정 단계를 포함하는 고정밀 제작 방법에 탁월하게 적합하다는 것이다.
본 발명의 조성물은 그자체로 종래 조성물의 단점, 예컨대 산소 노출시 변색, 암화 및 분해 등을 더 이상 나타내지 않아서 그 출력이 처리 도구의 기능 및 신뢰성을 위태롭게 하는, 제작 처리 도구와 연관된 센서의 잘못된 출력을 야기하지 않고, 세정력 및/또는 스트립핑력의 손실없이 장기간 보관 이후에도 손쉽게 제조, 보관, 수송, 취급 및 사용될 수 있다.
본 발명의 조성물은 상기 언급한 세정 단계, 구체적으로 반도체 웨이퍼의 CMP 후 세정, 및 구체적으로 구리 상감법 또는 이중 상강법에 의한, LSI 또는 VLSI 구비된 IC의 제작을 수행하는데 최고로 특히 적합화되었다.
본 발명의 조성물은 기판 표면 준비, 증착, 도금, 엣칭 및 CMP 동안 생성된 모든 종류의 잔류물 및 오염물을 최고로 효율적으로 제거하고, 그렇지 않으면 전기 및 광학 장치, 구체적으로 IC의 기능에 유해한 영향을 미칠수 있거나 또는 의도하는 기능을 쓸모없게 만들어버릴 수 있는 잔류물 및 오염물이 기판, 구체적으로 IC에 함유되지 않도록 보장한다. 구체적으로, 상감된 구조물에서 구리 금속피복의 스크래칭, 엣칭 및 거칠게 되는 것을 방지하였다.
또한, 본 발명의 조 성물은 기판뿐만 아니라 다양한 제작 공정에서 사용되는 처리 도구로부터 그러한 잔류물 및 오염물을 최고로 효율적으로 제거하였다.
가장 특히 놀라운 것은 본 발명의 방법이 전기 장치, 구체적으로, 반도체 집적 회로(IC); 액정 패널; 유기 전계발광 패널; 인쇄 회로 기판; 마이크로머신; DNA 칩; 마이크로플랜트 및 자기 헤드; 보다 바람직하게는 LSI(large-scale integration) 또는 VLSI(very-large-scale integration) 구비된 IC와; 광학 장치, 구체적으로, 광학 유리 예컨대 포토-마스크, 렌즈 및 프리즘; 무기 전기전도성막 예컨대 산화인듐주석(ITO); 광학 집적 회로; 광학 스위칭 소자; 광도파로; 광학 단결정 예컨대 광섬유 및 신틸레이트의 말단면; 고체 레이저 단결정; 블루 레이저 LED용 사파이어 기판; 반도체 단결정; 및 자기 디스크용 유리 기판을 제조하는데 최고로 탁월하게 적합하였다는 것이다.
구체적으로, 본 발명의 방법은 그 제작에 표면 준비, 도금전 세정, 엣칭후 세정 및/또는 CMP 후 세정 단계, 보다 구체적으로는 엣칭 후 및/또는 CMP 후 세정 단계, 가장 구체적으로는 CMP 후 세정 단계를 포함하는, 전기 및 광학 장치, 구체적으로는 전기 장치의 제작에 최고로 탁월하게 적합하였다.
본 발명의 방법은 구리 상감법 및 이중 상감법의 도움을 받는 LSI 또는 VLSI를 구비한 IC의 제작에 최고로 특별하게 충분히 적합하였다. 구리 상감법 및 이중 상감법과 관련하여, 본 발명의 방법은 구리 표면의 스크래칭, 엣칭 및 거칠화없이, 오염물 및 잔류물 예컨대 구리, 구리 산화물, 구리-억제제 착체, 연마제 및 탄소를 함유하거나 또는 그로 이루어진 입자를, CMP 단계이후에, 기판 표면, 폴리싱 패드 및 다른 처리 도구로부터 최고로 효과적으로 제거하였다.
광범위한 측면에서, 본 발명은 본 발명의 조성물에 관한 것이다.
본 발명의 조성물은 전기 및 광학 장치를 제작하는데 유용한 기판을 처리(processing)하기 위한 수성 알칼리 세정 조성물이다.
"수성"은 본 발명의 조성물이 물을 함유한다는 의미이다. 물 함량은 경쟁부터 조성물에 따라 광범위하게 다양할 수 있다.
"알칼리"는 본 발명의 조성물이 pH가 8?14, 바람직하게는 9?13, 가장 바람직하게는 8.5?12.5 범위라는 의미이다.
본 발명의 조성물은 제1 필수 성분으로서 1 이상, 바람직하게는 1개의 2차 또는 3차 아미노 기 및 1 이상, 바람직하게는 1개의 머캅토 기를 갖는 1 이상, 바람직하게는 1종의 티오아미노산 (A)를 포함한다. 티오아미노산 (A)는 합성 또는 천연 발생, 바람직하게는 천연 발생 아미노산으로부터 유도될 수 있다. 보다 바람직하게, 티오아미노산 (A)은 알파-아미노산이다. 보다 더 바람직하게, 티오아미노산 (A)의 머캅토 기는 감마-위치에 존재한다. 가장 바람직하게, 티오아미노산 (A)는 감마-위치에 머캅토 기를 갖는 알파-아미노산이다.
티오아미노산 (A)을 유도시킬 수 있는 합성 티오아미노산의 예들은 국제 공개 특허 출원 WO 02/22568, 페이지 13, 9번째줄 내지 페이지 16, 12째줄에서 확인할 수 있다.
가장 특히 바람직하게, 티오아미노산 (A)은 하기 화학식 (I)의 구조를 갖는다:
HS-[-C(-R1)(-R2)-]n-C[-N(-R3)(-R4)](-R5)-COOH (I)
상기 화학식 (I)에서, 지수 n은 1 내지 3의 정수, 바람직하게는 1 또는 2이다. 가장 바람직하게, n은 1이다.
화학식 (I)의 잔기 R1 및 R2는 서로 독립적으로 이하의 잔기로 이루어진 군에서 선택된다:
- 수소 원자;
- 직쇄 및 분지쇄, 포화 및 불포화된, 바람직하게 포화된, 치환 및 미치환된, 바람직하게는 미치환된 1?10개 탄소 원자를 갖는 지방족 잔기, 바람직하게 알킬 잔기, 구체적으로 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, tert-부틸, 펜틸, 1-메틸 부틸, 2-메틸 부틸, 3-메틸 부틸, 1-에틸 프로필, 1,2-디메틸 프로필, 2,2-디메틸 프로필, 헥실, 헵틸, 옥틸, 이소-옥틸, 노닐 또는 데실;
- 치환 및 미치환된, 바람직하게 미치환된, 포화 및 불포화된, 바람직하게 포화된 3?8개 탄소 원자를 갖는 시클로알킬 잔기, 구체적으로 시클로프로필, 시클로부틸, 시클로펜틸, 시클로헥실, 시클로헵틸, 시클로옥틸 및 비시클로[2.2.1]시클로헵탄 또는 노르카란에 의해 유도된 잔기들;
- 치환 및 미치환된, 바람직하게는 미치환된, 포화 및 불포화된, 바람직하게 포화된 알킬시클로알킬 잔기로서, 알킬 기가 1?4개 탄소 원자를 가지며, 시클로알킬 기는 3?8개 탄소 원자를 갖는 것인 알킬시클로알킬 잔기, 구체적으로 메틸-, 에틸-, 프로필-, 이소프로필- 또는 부틸-치환된 시클로프로판, 시클로부탄 또는 시클로헥산, 피난 또는 보난에서 유도된 잔기이고, 이러한 알킬시클로알킬 잔기는 알킬 기의 탄소 원자를 통해 또는 시클로알킬 기의 탄소 원자를 통해 화학식 (I)의 티오아미노산 (A)의 염기성 구조에 연결될 수 있는 것인 알킬시클로알킬 잔기;
- 치환 및 미치환된, 바람직하게는 미치환된 6?16개 탄소 원자를 갖는 아릴 잔기, 구체적으로 페닐 또는 나프틸, 또는 안트라센 또는 펜안트렌에서 유도된 잔기;
- 치환 및 미치환된, 바람직하게는 미치환된 알킬아릴 잔기로서, 알킬 기가 1?4개 탄소 원자를 가지며, 아릴 기는 6?16개 탄소 원자를 갖는 알킬아릴 잔기, 구체적으로 메틸-, 에틸-, 프로필-, 이소프로필- 또는 부틸-치환된 페닐 또는 나프틸, 또는 메틸-, 에틸-, 프로필-, 이소프로필- 또는 부틸-치환된 안트라센 또는 펜안트렌에서 유도된 잔기이고, 이러한 알킬아릴 잔기는 알킬 기의 탄소 원자를 통해 또는 아릴 기의 탄소 원자를 통해 화학식 (I)의 티오아미노산 (A)의 염기성 구조에 연결될 수 있는 것인 알킬아릴 잔기;
- 치환 및 미치환된, 바람직하게 미치환된 시클로알킬아릴 잔기로서, 시클로알킬 기는 3?8개 탄소 원자를 가지며, 아릴 기는 6?16개 탄소 원자를 갖는 잔기, 구체적으로 시클로프로필-, 시클로부틸-, 시클로펜틸-, 시클로헥실-치환된 페닐이고, 이러한 시클로알킬아릴 잔기는 시클로알킬 기의 탄소 원자를 통해 또는 아릴 기의 탄소 원자를 통해 화학식 (I)의 티오아미노산 (A)의 염기성 구조에 연결될 수 있는 것인 시클로알킬아릴 잔기;
- 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는, 치환 및 미치환, 바람직하게 미치환된 헤테로아릴 잔기, 구체적으로 퓨란, 잔텐, 티오펜, 피롤, 이미다졸, 트리아졸, 테트라졸, 피리딘, 피리다진, 피리미딘, 피라젠, 트리아진, 테트라진, 인돌, 퀴놀린, 이소퀴놀린, 푸린 또는 포스피닌에서 유도된 잔기;
- 치환 및 미치환된, 바람직하게 미치환된 알킬헤테로아릴 잔기로서, 알킬 기는 1?4개 탄소 원자를 가지며, 구체적으로 앞서 기술된 알킬 기이고, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 가지며, 구체적으로 앞서 기술된 헤테로아릴 기이며, 이러한 알킬헤테로아릴 잔기는 알킬 기의 탄소 원자를 통해 또는 헤테로아릴 기의 탄소 원자를 통해 티오아미노산 (A)의 염기성 구조에 연결될 수 있는 것인 알킬헤테로아릴 잔기;
- 치환 및 미치환된, 바람직하게 미치환된 시클로알킬헤테로아릴 잔기로서, 시클로알킬 기는 3?8개 탄소 원자를 가지며, 구체적으로 앞서 기술된 시클로알킬 기이고, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 가지며, 구체적으로 앞서 기술된 헤테로아릴 기인 시클로알킬헤테로아릴 잔기; 및
- 치환 및 미치환된, 바람직하게 미치환된 아릴헤테로아릴 잔기로서, 아릴 기는 6?16개 탄소 원자를 가지며, 구체적으로 앞서 기술된 아릴 기이고, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 가지며, 구체적으로 앞서 기술된 헤테로아릴 기인 아릴헤테로아릴 잔기.
화학식 (I)의 잔기 R3은 잔기 R1이거나, 또는 단 R1이 수소 원자가 아니면, 화학식 (II): -L1-R1의 잔기이고, 여기서 L1은 수산화4차암모늄 (B) 존재 하에서 안정한, 2가 연결기이다.
본 발명에서, "안정한"은 2가 연결기 L1 또는 다른 기가 4차 암모늄염 (B) 존재 하에 가수분해되지 않거나 또는 오직 매우 서서히 아주 적은 정도로만 가수분해되어 가수분해 생성물의 농도가 매우 낮아 그들이 본 발명의 조성물의 기능을 방해하지 않음을 의미한다.
바람직하게, 2가 연결기 L1
=N-, -C(O)-, -C(S)-, -C(O)-NR1-, -C(S)-NR1, -C(O)-NR1-NR1-, -C(S)-NR1-NR1-, -S(O)2-, 및 -P(O)2-로 이루어진 군에서 선택되고,
이러한 기는 질소 이중 결합, 탄소 원자, 황 원자 또는 인 원자를 통해 2차 또는 3차 아미노 기의 질소 원자에 결합되며, 식 중에서 잔기 R1은 위에서 정의된 의미를 갖는다.
화학식 (I)의 잔기 R4는 수소 원자를 제외한, 잔기 R1이거나, 또는 상기 기술된 바와 같은 화학식 (II)의 잔기이다.
다르게, 잔기 R1, R2, R3 및 R4는 상기 잔기들 중 2 이상 사이에 1 이상의 포화 또는 불포화된, 치환 또는 미치환된 고리를 형성하고, 상기 고리 또는 고리들은 3?6개 탄소 원자를 갖는다. 상기 고리 또는 고리들 중 1 이상에서, 1 또는 2개 탄소 원자는 산소, 황, 질소 및 인 원자, 구체적으로, 산소 및 질소 원자로 이루어진 군에서 선택되는 1개의 헤테로원자로 치환되거나 또는 어떠한 탄소 원자도 치환되지 않는다.
화학식 (I)의 잔기 R5는, 아미노 기 및 카르복실 기를 보유하는 알파-탄소 원자를 잔기 R1, R2, R3 또는 R4 중 하나에 연결시키거나 또는 잔기 R1, R2, R3 및 R4 중 2 이상에 의해 형성되는 고리에 또는 고리들 중 1 이상에 연결하는, 상기 언급된 의미로 수산화4차암모늄 (B) 존재 하에 안정한, 2가 연결기 L2 또는 공유 결합 또는 단일 잔기 R1이다.
다양한 잔기 R1 내지 R5 간의 그러한 고리의 예들은 아래와 같이 기호화할 수 있다:
R1-R2, R1-R3, R1-R4, R1-R5;
R2-R3, R2-R4, R2-R5;
R3-R4, R3-R5; 또는
R4-R5;
R1-R2-R3, R1-R2-R4, R1-R2-R5, R1-R3-R4, R1-R3-R5;
R2-R4-R3, R2-R4-R5, R2-R5-R3; 또는
R4-R4-R3.
바람직하게, 2가 연결기 L2
-O-, -C(O)-, -C(S)-, -C(O)-O-, -O-C(O)-O-, -O-C(S)-O-;
-NR1-, =N-, -N=N-, -NR1-C(O)-, -NR1-NR1-C(O)-, -NR1-NR1-C(S)-, -O-C(O)-NR1-, -O-C(S)-NR1-, -NR1-C(O)-NR1-, -NR1-C(S)-NR1-;
-S-, -S(O)-, -S(O)2-, -O-S(O)2-, 및 -NR1-S(O)2-; 가장 바람직하게, -C(O)-
로 이루어진 군에서 선택되고, 잔기 R1은 위에서 기술된 의미를 갖는다.
일반적으로, 상기 기술된 치환된 잔기 R1 내지 R5는, 그 치환체가 상기 언급된 의미에서 안정하고, 본 발명의 조성물의 또는 본 발명의 조성물 내에 침전물의 형성 및/또는 응집 및/또는 분해를 초래할 수 있는 임의의 원치않는 반응을 야기하지 않는 한 임의의 치환체를 함유할 수 있다. 바람직하게, 치환체는
-OR1, -C(O)-R1, -COOR1, -SO3R1, -P(O)2R1, -N(-R1)2,
-NR1-C(O)(-R1)2;
-F, -Cl, -CN 및 -NO2
로 이루어진 군에서 선택되고, 잔기 R1은 위에서 기술한 의미를 갖는다.
화학식 (I)의 티오아미노산 (A)은 등몰이거나 또는 비등몰일 수 있는 라세미체 또는 거울상이성질체 혼합물이거나, 또는 개별 거울상이성질체 도는 부분입체이성질체 중 하나일 수 있다.
보다 바람직하게, 상기 기술된 잔기 R1, R2 및 R5 중 1 이상은 수소 원자이다. 보다 더욱 바람직하게, 모든 잔기 R1, R2 및 R5는 수소 원자이다.
특히 바람직하게, 잔기 R3은 수소 원자이다.
따라서, 가장 바람직하게, 티오아미노산 (A)는 시스테인 및 호모시스테인, 구체적으로, 시스테인, 특히 L-시스테인에서 유도된다.
보다 더 바람직하게, 잔기 R4는 하기 화학식 (II-1)의 구조를 갖는다:
-C(O)-R1 (II-1)
상기 식에서, 잔기 R1은 직쇄 및 분지쇄, 포화된, 치환 및 미치환된 1?10개 탄소 원자를 갖는 지방족 잔기, 특히 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, tert-부틸, 펜틸, 1-메틸 부틸, 2-메틸 부틸, 3-메틸 부틸, 1-에틸 프로필, 1,2-디메틸 프로필, 2,2-디메틸 프로필, 헥실, 헵틸, 옥틸, 이소-옥틸, 노닐 또는 데실, 특히 메틸에서 선택된다.
따라서, 가장 특히 바람직하게 사용되는 화학식 (I)의 티오아미노산 (A)은 N-아세틸시스테인이다.
본 발명의 조성물은 제2 필수 성분으로서, 1 이상, 바람직하게는 1종의 수산화4차암모늄 (B)을 포함한다. 보다 바람직하게, 수산화4차암모늄 (B)은 하기 화학식 (III)의 구조를 갖는다:
N(-R1)4 + OH- (III).
화학식 (III)에서, 잔기 R1은 수소 원자를 제외하고 상기 정의된 의미를 가지는 한편, 모든 잔기 R1은 동일하거나 또는 2 이상의 잔기 R1은 서로 상이하고, 모든 잔기 R1은 단일 잔기이거나 또는 2 이상의 잔기 R1은 3?6개 탄소 원자를 갖는 포화 또는 불포화된, 치환 또는 미치환된 고리를 형성하며, 이때 1 또는 2개 탄소 원자는 산소, 황, 질소 및 인 원자의 군에서 선택되는 1개의 헤테로원자로 치환되거나 또는 어떠한 탄소 원자도 치환되지 않는다.
보다 바람직하게, 화학식 (II)의 잔기 R1은 1?10개, 구체적으로 1?4개 탄소 원자를 갖는 직쇄 및 분지쇄, 치환 및 미치환된, 포화된 지방족 잔기로 이루어진 군에서 선택된다.
화학식 (III)의 수산화4차암모늄 (B)이 오직 미치환되고 포화된 잔기 R1만을 함유하는 경우, 모든 잔기 R1은 바람직하게 동일하다.
화학식 (III)의 수산화4차암모늄 (B)이 치환되고 포화된 잔기 R1을 함유하는 경우, 잔기 R1 중 오직 하나만이 치환되는 것이 바람직하다.
특히 바람직하게, 화학식 (III)의 수산화4차암모늄 (B)은 메틸, 에틸, 프로필, 이소프로필, n-부틸, sec-부틸, 이소-부틸, tert-부틸, n-펜틸, 2-히드록시에틸, 2- 및 3-히드록시프로필 및/또는 4-히드록시-n-부틸 기, 구체적으로 메틸 및/또는 2-히드록시에틸 기를 함유한다.
가장 특히 바람직하게, 테트라메틸수산화암모늄 (TMAH) 및/또는 콜린, 특히 TMAH이 사용된다.
경우에 따라, 본 발명의 조성물은 필수 성분 (A) 및 (B)와 상이한 1 이상의 기능성 첨가제 (C)를 함유할 수 있다. 바람직하게, 기능성 첨가제 (C)는 부식 억제제, 염기, 유기 용매, 1 이상의 머캅토 기를 갖는 알콜, 착화제 또는 킬레이팅제, 막형성제, 계면활성제, pH-조정제, 및 무금속 실리케이트로 이루어진 군에서 선택된다.
그러한 기능성 첨가제 (C)는 예를 들면, 미국 특허 US 6,465,403 B1, 컬럼 7, 1째줄 내지 컬럼 8, 65째줄; US 6,200,947 B1, 컬럼 2, 29째줄 내지 40째줄, US 6,194,366 B1, 컬럼 3, 55째줄 내지 60째줄, US 6,492,308 B1, 컬럼 3, 1째줄 내지 9째줄, 및 US 6,767,476 B2, 컬럼 3, 45째줄 내지 67째줄, 및 미국 공개 특허 출원 US 2005/0181961 A1, 페이지 2, 단락 [0019]에 기술되어 있다.
보다 바람직하게, 본 발명의 조성물은 2 이상, 바람직하게는 2개의 1차 아미노 기를 갖는 지방족 및 지환족 아민, 1 이상, 바람직하게 1개의 히드록시 기를 갖는 지방족 및 지환족 아민, 및 1 이상, 바람직하게 1개 산 기, 구체적으로 1 이상, 바람직하게 1개 카르복실산 기 및 1 이상, 바람직하게 1개 히드록시 기를 갖는 방향족 화합물, 및 질소 함유 환형 화합물로 이루어진 군에서 선택되는 1 이상의 착화제 또는 킬레이팅제, 막형성제 및/또는 부식 억제제 (C)를 함유한다.
바람직하게, 2 이상의 1차 아미노 기를 갖는 지방족 아민 (C)은 에틸렌디아민, 프로필렌디아민, n-부틸렌디아민, 디에틸렌트리아민, 디프로필렌트리아민, 디-n-부틸렌트리아민, 트리에틸렌테트라아민, 트리프로필렌테트라아민, 및 트리-n-부틸렌테트라아민, 보다 바람직하게 에틸렌디아민, 디에틸렌트리아민 및 트리에틸렌테트라아민, 가장 바람직하게 에틸렌디아민 및 디에틸렌트리아민으로 이루어진 군에서 선택된다.
바람직하게, 지환족 아민 (C)은 1,2-디아미노 시클로헥산, 1,3-디아미노 시클로헥산 및 1,4-디아미노 시클로헥산으로 이루어진 군에서 선택된다.
바람직하게, 1 이상의 히드록시 기를 갖는 지방족 아민 (C)은 에탄올아민, 디에탄올아민 및 트리에탄올아민, 가장 바람직하게 에탄올아민으로 이루어진 군에서 선택된다.
바람직하게, 1 이상의 히드록시 기를 갖는 지환족 아민 (C)은 2-히드록시 시클로헥실아민, 3-히드록시 시클로헥실아민 및 4-히드록시 시클로헥실아민으로 이루어진 군에서 선택된다.
바람직하게, 1 이상, 바람직하게 1개 카르복실산 기 및 1 이상, 바람직하게 1개 히드록시 기를 갖는 방향족 화합물 (C)은 살리실산, 3-히드록시 프탈산 및 4-히드록시 프탈산, 2-히드록시 테레프탈산, 및 3-히드록시살리실산, 4-히드록시살리실산, 5-히드록시살리실산 및 6-히드록시살리실산, 가장 바람직하게 살리실산으로 이루어진 군에서 선택된다.
특히 바람직하게, 킬레이팅제 및/또는 부식 억제제 (C)는 에틸렌디아민, 디에틸렌트리아민, 트리에틸렌테트라아민, 에탄올아민 및 살리실산으로 이루어진 군에서 선택된다.
바람직하게, 질소 함유 환형 화합물 (C)은 이미다졸, 트리아졸, 벤조트리아졸, 벤즈이미다졸 및 벤조티아디아졸, 및 히드록시, 아미노, 이미노, 카르복시, 머캅토, 니트로 및 알킬 치환체를 갖는 그들의 유도체, 구체적으로 1,2,4-트리아졸로 이루어진 군에서 선택된다.
보다 더 바람직하게, 본 발명의 조성물은 습윤성 및 0℃ 이하의 융점을 갖는 1 이상, 바람직하게 1종의 유기 용매 (C)를 더 함유한다.
당분야에 공지된 바와 같이, 습윤성을 갖는 용매는 친수성 및 소수성 구조 성분을 포함하므로 그 용매는 고체 및 액체의 표면 장력을 낮출 수 있다.
일반적으로, 상기 언급한 특성을 갖는 임의의 유기 용매 (C)가, 상기 언급한 의미에서 안정하고, 본 발명의 조성물의 다른 성분 중 하나의 분해 및/또는 침전 및/또는 응집을 야기하지 않는 한, 사용될 수 있다.
바람직하게, 유기 용매 (C)는 폴리알킬렌 글리콜 모노 에테르로 이루어진 군에서 선택된다. 보다 바람직하게, 폴리알킬렌 글리콜 모노 에테르 (D)는 하기 화학식 (IV)의 구조를 갖는다:
HO-(-R6-O-)m-R1 (IV)
상기 식에서, 변수 및 지수는 하기 의미를 갖는다:
m은 2 내지 6의 정수, 바람직하게 2 내지 4, 보다 바람직하게 2 또는 3, 가장 바람직하게 2이다;
R1은 수소 원자를 제외하고, 상기 정의된 바와 같다;
R6은 2?4개, 바람직하게 2개 또는 3개, 가장 바람직하게, 2개 탄소 원자를 갖는 알킬렌 기이다.
보다 바람직하게, 화학식 (IV)의 폴리알킬렌 글리콜 모노 에테르 (C)는 디에틸렌 글리콜 모노메틸, 모노에틸, 모노프로필 및 모노-n-부틸 에테르, 가장 바람직하게 디에틸렌 글리콜 모노-n-부틸 에테르로 이루어진 군에서 선택된다.
보다 더 바람직하게, 계면활성제 (C)는 비이온성 계면활성제 (C)이다. 바람직하게, 비이온성 계면활성제 (C)는 아세틸렌계 알콜, 에톡시화 아세틸렌계 알콜, 플루오르화 알킬에스테르, 플루오르화 폴리옥시에틸렌 알카놀, 다가 알콜의 지방족 산 에스테르, 폴리옥시에틸렌 모노알킬 에테르, 폴리옥시에틸렌 디올, 폴리옥시에틸렌 에스테르, 실록산형 계면활성제 및 알킬렌 글리콜 모노알킬 에테르, 보다 바람직하게, 아세틸렌계 알콜, 가장 바람직하게 3,5 디메틸-1-헥신-3-올, 및 폴리옥시에틸렌 에스테르, 가장 바람직하게 폴리옥시에틸렌 솔비탄 모노라우레이트로 이루어진 군에서 선택된다.
보다 더 바람직하게, pH-조정제 (C)는 지방족 카르복실산, 바람직하게 포름산, 아세트산, 프로피온산, 락트산, 타르타르산 및 시트르산으로 이루어진 군에서 선택된다.
본 발명의 조성물은 필수 성분 (A) 및 (B), 및 선택 성분 (C)를 광범위하게 다양한 양으로 함유할 수 있다. 따라서, 본 발명의 조성물은 오직 소량의 물을 함유하는 고도로 농축된 용액일 수 있다.
바람직하게, 본 발명의 조성물은 희석되거나 또는 고도로 희석된 수성 조성물이며, 다시 말해, 이들은 50 중량%를 넘게, 보다 바람직하게 75 중량%를 넘게, 가장 바람직하게 90 중량%를 넘게 물을 함유하고, 각 중량%는 본 발명의 조성물의 전체 중량을 기준으로 한다.
보다 바람직하게, 본 발명의 조성물
- 0.1?20 중량%, 보다 바람직하게 0.1?15 중량%, 가장 바람직하게, 0.1?2 중량%의 성분 (A), 및
- 0.1?20 중량%, 보다 바람직하게 0.1?15 중량%, 가장 바람직하게, 0.1?2 중량%의 성분 (B)
를 함유한다. 중량%는 본 발명의 조성물의 전체 중량을 기준으로 한다.
선택적인 기능성 첨가제 또는 첨가제들 (C)의 양도 또한 광범위하게 다양할 수 있다. 바람직하게, 상기 언급된 분야에 공지된 통상의 양이 사용된다.
보다 바람직하게, 착화제 또는 킬레이팅화제, 막형성제 및/또는 부식 억제제 (C)는 0.1?10 중량%, 보다 더 바람직하게 0.1?9 중량%, 가장 바람직하게 0.1?8 중량%의 양으로 사용되고, 각 중량%는 본 발명의 조성물의 전체 중량을 기준으로 한다.
보다 바람직하게, 유기 용매 (C)는 성분 (D) 중 1?10 중량%, 보다 더 바람직하게 2?7.5 중량%, 가장 바람직하게 3?7.5 중량%의 양으로 사용되고, 각 중량%는 본 발명의 조성물의 전체 중량을 기준으로 한다.
보다 바람직하게, 계면활성제 (C)는 0.001?3 중량%, 보다 더 바람직하게 0.005?2.5 중량%, 가장 바람직하게 0.005?2 중량%의 양으로 사용되고, 각 중량%는 본 발명의 조성물의 전체 중량을 기준으로 한다.
보다 바람직하게, pH-조정제는 0.01?3 중량%, 보다 더 바람직하게 0.01?2.5 중량%, 가장 바람직하게 0.01?2 중량%의 양으로 사용되고, 각 중량%는 본 발명의 조성물의 전체 중량을 기준으로 한다.
본 발명의 조성물은 통상적인, 표준 혼합 공정에 의해 제조될 수 있고 혼합 장치 예컨대 교반 용기, 인라인 용해기, 고전단 임펠러, 초음파 혼합기, 호모게나이저 노즐 또는 역류 혼합기가 원하는 양으로 조성물의 성분 혼합을 수행하는데 사용될 수 있다.
본 발명의 조성물은 본 발명의 방법에 탁월하게 적합하다.
또한, 본 발명의 조성물은, 모든 종류의 처리 도구 예컨대 폴리싱 패드, 센서, 노즐, 파이프 및/또는 사용된 장치의 구조적 특징부를 세정하는데 또한 탁월하게 적합하며, 이러한 도구들은 전기 또는 광학 장치를 제작하는데 사용되는 다른 처리 액체와 접촉된다.
그러나, 본 발명의 방법의 주요 목적은 전기 장치, 구체적으로, 반도체 집적 회로(IC); 액정 패널; 유기 전계발광 패널; 인쇄 회로 기판; 마이크로머신; DNA 칩; 마이크로플랜트 및 자기 헤드; 보다 바람직하게 LSI(large-scale integration) 또는 VLSI(very-large-scale integration)가 구비된 IC와, 광학 장치, 구체적으로, 광학 유리 예컨대 포토-마스크, 렌즈 및 프리즘; 무기 전기전도성막 예컨대 산화인듐주석(ITO); 광학 집적 회로; 광학 스위칭 소자; 광도파로; 광학 단결정 예컨대 광섬유 및 신틸레이트의 말단면; 고체 레이저 단결정; 블루 레이저 LED용 사파이어 기판; 반도체 단결정; 및 자기 디스크용 유리 기판을 제작하는데 유용한 기판의 처리가다.
특히 중요한 것은 본 발명의 방법이 1 이상의 처리 단계에서 1 이상의 본 발명의 조성물을 사용한다는 것이다.
바람직하게, 본 발명의 방법은 표면 준비, 도금전 세정, 엣칭후 세정 및/또는 CMP 후 세정 단계, 구체적으로 CMP 후 세정 단계를 포함한다.
본 발명의 방법은 LSI 또는 VLSI를 구비한 IC를 제작하는데 유용한 기판의 처리, 구체적으로 BEOL(back end of the line) 처리에 특히 매우 적합하다.
본 발명의 방법은 구체적으로 구리 상감법 또는 이중 상감 공정에 의해, LSI 또는 VLSI 구비된 IC를 제작시 반도체 웨이퍼의 CMP 후 세정에 특히 매우 적합하다.
당분야에 공지된 바와 같이, CMP에 전형적인 장비는 폴리싱 패드로 덮힌 회전 플래튼으로 구성된다. 웨이퍼는 그 윗면이 아래로 향하여 폴리싱패드와 접하여 캐리어 또는 척 상에 고정된다. 캐리어는 웨이퍼를 수평 위치로 고정시킨다. 이러한 폴리싱 및 홀딩 장치의 특정 배열은 하드-플래튼(hard-platen) 디자인이라고 알려져 있다. 캐리어는 폴리싱되지 않은 웨이퍼의 표면과 캐리어의 고정 표면 사이에 위치되는 캐리어 패드를 보유할 수 있다. 이러한 패드는 웨이퍼용 쿠션으로서 작동될 수 있다.
캐리어 아래, 보다 큰 직경의 플래튼이 또한 대체로 수평으로 위치되고 폴리싱되는 웨이퍼에 평행하게 표면이 존재한다. 그 폴리싱 패드는 평탄화 공정 동안 웨이퍼 표면과 접촉된다. 본 발명의 CMP 공정 동안, CMP 제 (A), 구체적으로, 본 발명의 CMP 제가 연속 스트림으로서 또는 점적 방식으로 폴리싱 패드 상에 적용된다.
캐리어와 플래튼 둘 모두는 캐리어와 플래튼으로부터 직각으로 연장된 그들 개별 샤프트 주변을 회전하게 된다. 회전 캐리어 샤프트는 회전 플래튼에 대해 제자리에서 고정된 채로 남아있거나 또는 플래튼에 대해 수평으로 진동할 수 있다. 필수적이진 않지만, 대체로 캐리어의 회전 방향은 플래튼의 회전 방향과 동일하다. 캐리어 및 플래튼에 대한 회전 속도는 대체로, 필수적이지는 않지만, 다른값으로 설정된다.
통상, 플래튼의 온도는 10?70℃의 온도로 설정된다.
보다 구체적으로는 국제 공개 특허 출원 WO 2004/063301 A1, 구체적으로 페이지 16, 단락 [0036] 내지 페이지 18, 단락 [0040]과 도 1을 참조한다.
CMP 단계 후, 반도체 웨이퍼의 표면은 기판 표면으로부터 원치않는 오염물 및 잔류물을 세정하는데 충분한 온도 및 시간 동안 본 발명의 조성물과 접촉된다. 경우에 따라, 기판은 본 발명의 조성물 및 오염물 및 잔류물을 제거하기 위해 린싱되고(rinse) 임의의 과도한 용매 또는 린싱제를 제거하기 위해 건조된다.
바람직하게, 본 발명의 방법은 기판을 조성물에 노출시키기 위해 배쓰 또는 스프레이 도포법을 사용한다. 배쓰 또는 스프레이 세정 시간은 대체로 1분 내지 30분, 바람직하게 5분 내지 20분이다. 배쓰 또는 스프레이 세정 온도는 대체로 10℃?90℃, 바람직하게 20℃?50℃이다. 그러나, 메가소닉스(megasonics) 및 초음파, 바람직하게 메가소닉스 세정법이 또한 적용될 수 있다.
필요하다면, 린싱 시간은 대체로 실온에서, 10초 내지 5분, 바람직하게 실온에서 30초 내지 2분이다. 바람직하게, 탈이온수가 기판을 린싱하는데 사용된다.
필요하다면, 기판의 건조가 공기 증발, 가열, 스피닝 또는 가압 기체의 임의 조합을 이용해 수행될 수 있다. 바람직한 건조법은 여과된 불활성 기류, 예컨대 질소 하에서, 기판이 건조될 때까지의 기간 동안, 스피닝하는 것이다.
실시예
실시예 1 및 2, 및 비교실험예 C1
N-아세틸시스테인 함유(실시예 1 및 2) 및 N-아세틸시스테인 무함유(비교실험예 C1) 수성 알칼리 세정 조성물의 제조
실시예 1 및 2의 수성 알칼리 세정 조성물, 및 비교실험예 C1의 수성 알칼리 세정 조성물은 그들 성분을 혼합하고 얻어진 혼합물을 균질화시켜 제조하였다. 표 1에 그 조성을 요약하였다.
수성 알칼리 세정 조성물의 조성(잔량: 물)
실시예 TMAHa )/중량% EDAb )/중량% DEGBEc )/중량% N-아세틸시스테인/ 중량%
1 1.5 1 5 1.6
2 1.5 1 5 1.6
C1 1.5 1 5 -
a) 테트라메틸수산화암모늄;
b) 에틸렌디아민;
c) 디에틸렌 글리콜 모노부틸 에테르
실시예 1 및 2의 조성물은 산소에 장기간 노출 시에도 안정하였다.
실시예 3 및 4, 및 비교실험예 C2
실시예 1 및 2의 수성 알칼리 조성물의 엣칭율(실시예 3 및 4), 및 비교실험예 C1의 엣칭율(비교실험예 C2)
실시예 1의 조성물을 실시예 3에 사용하였고; 실시예 2의 조성물을 실시예 4에 사용하였으며; 비교실험예 C1의 조성물을 비교실험예 C2에 사용하였다.
엣칭율은 6-포인트 프로브(Napson) 방법으로 측정하고 원자력 현미경(AFM)으로 확인하였다.
이러한 목적을 위해, 무전해 구리 침착(ECD) 웨이퍼 조작을 실온에서 1시간(4-포인트 프로브 측정법) 또는 1분(AFM 측정법)간 다양한 알칼리 세정 조성물에 함침시켰다. 그 이후, ECD 웨이퍼를 조성물로부터 꺼내고, 물로 린싱하고 실온에 질소 스트림에서 건조하였다. 실시예 3 및 4의 ECD 웨이퍼는 엣칭되지 않았고, 그들 표면 거칠기에서 0.1 nm 범위의 오직 매우 작은 변화가 보인 반면, 비교실험예 C1의 ECD 웨이퍼는 17.9 Å/분(1.79 nm/분)의 엣칭율과 그들 표면 거칠기의 높은 증가를 보였다.
실시예 5 및 6, 및 비교실험예 C3
탈이온수를 사용한 세정(비교실험예 C3)과 비교한 실시예 1 및 2의 수성 알칼리 세정 조성물의 세정(실시예 5 및 6) 효율
실시예 1의 조성물을 실시예 5에 사용하였고; 실시예 2의 조성물을 실시예 6에 사용하였다. 탈이온수를 비교실험예 C3에 사용하였다.
수성 알칼리 세정 조성물의 세정 효율을 다음과 같이 테스트하였다. ECD 구리 웨이퍼 조각을 레이저 광 산란법으로 측정시 평균 1차 입자 직경이 30 nm인 실리카 입자를 함유하는 CMP제로 오염시켰다. 그 이후, 오염된 ECD 구리 웨이퍼 조각을 각각 1분간, 실시예 1 또는 2의 조성물과, 비교 목적의 탈이온수로 처리하였다. 이후, 처리된 ECD 구리 웨이퍼 조각의 표면을 주사 전자 현미경(SEM)을 통해 입자 잔류물에 대해 검토하였다. 실시예 1 및 2의 조성물로 처리된 ECD 구리 웨이퍼 조각의 표면은 실리카 입자가 없는 반면, 탈이온수로 처리된 ECD 구리 웨이퍼 조각의 표면은 여전히 상당량의 실리카 입자가 덮혀있었다.
실시예 7 내지 10
N-아세틸시스테인 함유 수성 알칼리 세정 조성물의 제조
실시예 7 내지 10의 수성 알칼리 세정 조성물은 그 성분들을 혼합하고 얻어진 혼합물을 균질화시켜 제조하였다. 표 2에 그 조성을 요약하였다.
수성 알칼리 세정 조성물의 조성(잔량: 물)
실시예 TMAHa )/중량% DETAb )/중량% N-아세틸시스테인/ 중량% 계면활성제 막형성제e) pH-조정제f)
7 10 7 8 0.2c) 2 1.5
8 0.5 0.35 0.4 0.01c) 0.1 0.07
9 10 7 8 0.2d) 2 1.5
10 0.5 0.35 0.4 0.01d) 0.1 0.07
a) 테트라메틸수산화암모늄;
b) 디에틸렌트리아민;
c) 3, 5-디메틸-1-헥신-3-올;
d) 폴리옥시에틸렌 솔비탄 라우레이트;
e) 1,2,4-트리아졸;
f) 시트르산
실시예 7 및 9의 농축된 조성물은 산소에 잔기간 노출시에도 안정하였다. 이후, 이들은 최고로 유리하게 제조, 보관, 취급 및 운송될 수 있었다. 따라서, 농축된 조성물을 사용전에 쉽게 희석할 수 있는 사용자에게 최고로 경제적으로 전달하는 것이 가능하였다.
실시예 8 및 10의 희석된 조성물도 산소에 장기간 노출시에 유사하게 안정하였고 구리 표면의 세정 동안 매우 적은 표면 거칠기 변화만 보이면서 특히 높은 세정 효율을 보였다.
디에틸렌트리아민 대신 에틸렌디아민을 함유하는 조성물은 동일하게 유리한 특성 및 효과를 보였다.

Claims (48)

  1. (A) 1 이상의 2차 또는 3차 아미노 기 및 1 이상의 머캅토 기를 갖는 1 이상의 티오아미노산, 및
    (B) 1 이상의 수산화4차암모늄
    을 포함하는 수성 알칼리 세정 조성물.
  2. 제1항에 있어서, 티오아미노산 (A)은 알파-아미노산인 세정 조성물.
  3. 제2항에 있어서, 티오아미노산 (A)은 하기 화학식 (I)을 갖는 것인 세정 조성물:
    HS-[-C(-R1)(-R2)-]n-C[-N(-R3)(-R4)](-R5)-COOH (I)
    상기 식에서, 지수 및 변수는 다음의 정의를 갖는다:
    n은 1 내지 3의 정수이고;
    R1 및 R2
    - 수소 원자;
    - 직쇄 및 분지쇄; 포화 및 불포화된, 치환 및 미치환된, 1?10개 탄소 원자를 갖는 지방족 잔기;
    - 치환 및 미치환된, 포화 및 불포화된, 3?8개 탄소 원자를 갖는 시클로알킬 잔기;
    - 치환 및 미치환, 포화 및 불포화된 알킬시클로알킬 잔기로서, 알킬 기는 1?4개 탄소 원자를 가지며, 시클로알킬 기는 3?8개 탄소 원자를 갖는 것인 알킬시클로알킬 잔기;
    - 치환 및 미치환된, 6?16개 탄소 원자를 갖는 아릴 잔기;
    - 치환 및 미치환된 알킬아릴 잔기로서, 알킬 기는 1?4개 탄소 원자를 가지며, 아릴 기는 6?16개 탄소 원자를 갖는 것인 알킬아릴 잔기;
    - 치환 및 미치환된 시클로알킬아릴 잔기로서, 시클로알킬 기는 3?8개 탄소 원자를 가지며, 아릴 기는 6?16개 탄소 원자를 갖는 것인 시클로알킬아릴 잔기;
    - 치환 및 미치환된, 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 헤테로아릴 잔기;
    - 치환 및 미치환된 알킬헤테로아릴 잔기로서, 알킬 기는 1?4개 탄소 원자를 가지며, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 것인 알킬헤테로아릴 잔기;
    - 치환 및 미치환된 시클로알킬헤테로아릴 잔기로서, 시클로알킬 기 3?8개 탄소 원자를 가지며, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 것인 시클로알킬헤테로아릴 잔기;
    - 치환 및 미치환된 아릴헤테로아릴 잔기로서, 아릴 기는 6?16개 탄소 원자를 가지며, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 것인 아릴헤테로아릴 잔기
    로 이루어진 군에서 서로 독립적으로 선택되고;
    R3은 잔기 R1이거나, 또는 단 R1이 수소 원자가 아니면, 하기 화학식 II의 잔기(-L1-R1)(이 식에서, L1은 수산화4차암모늄(B) 존재 하에서 안정한 2가 연결기임)이고;
    R4는 수소를 제외한, 잔기 R1이거나, 또는 화학식 II의 잔기이거나;
    또는 다르게
    R1, R2, R3 및 R4는 상기 잔기들 중 2 이상 간에 1 이상의 포화 또는 불포화된, 치환 또는 미치환된 고리를 형성하고, 상기 고리 또는 고리들은 3?6개 탄소 원자를 가지며, 그러한 고리에서 또는 고리들 중 1 이상에서 1 또는 2개 탄소 원자가 산소, 황, 질소 및 인 원자로 이루어진 군에서 선택되는 1개의 헤테로원자로 치환되거나 또는 어떠한 탄소 원자도 치환되지 않고;
    R5는 아미노 기 및 카르복실 기를 보유하는 알파-탄소 원자를 잔기 R1, R2, R3 또는 R4 중 하나에 연결시키거나, 또는 잔기 R1, R2, R3 및 R4 중 2 이상에 의해 형성된 고리에 또는 고리들 중 1 이상에 연결하는, 수산화4차암모늄 (B) 존재하에서 안정한, 2가 연결기 L2 또는 공유 결합 또는 단일 잔기 R1이다.
  4. 제3항에 있어서, 2가 연결기 L1
    =N-, -C(O)-, -C(S)-, -C(O)-NR1-, -C(S)-NR1, -C(O)-NR1-NR1-, -C(S)-NR1-NR1-, -S(O)2-, 및 -P(O)2-로 이루어진 군에서 선택되고,
    기는 질소 이중 결합, 탄소 원자, 황 원자 또는 인 원자를 통해 2차 또는 3차 아미노 기의 질소 원자에 결합되며, 잔기 R1은 위에 정의된 의미를 갖는 것인 세정 조성물.
  5. 제4항에 있어서, 2가 연결 L1 기는 -C(O)-인 세정 조성물.
  6. 제3항에 있어서, 2가 연결기 L2
    O-, -C(O)-, -C(S)-, -C(O)-O-, -O-C(O)-O-, -O-C(S)-O-;
    -NR1-, =N-, -N=N-, -NR1-C(O)-, -NR1-NR1-C(O)-, -NR1-NR1-C(S)-, -O-C(O)-NR1-, -O-C(S)-NR1-, -NR1-C(O)-NR1-, -NR1-C(S)-NR1-;
    -S-, -S(O)-, -S(O)2-, -O-S(O)2-, 및 -NR1-S(O)2-
    로 이루어진 군에서 선택되고, 잔기 R1은 위에서 정의된 의미를 갖는 것인 세정 조성물.
  7. 제3항에 있어서, 화학식 (I)의 티오아미노산 (A)은 라세미체 또는 거울상이성질체 혼합물, 또는 개별 거울상이성질체 또는 부분입체이성질체 중 하나인 세정 조성물.
  8. 제3항에 있어서, 잔기 R1, R2 및 R5 중 1 이상은 수소 원자인 세정 조성물.
  9. 제8항에 있어서, 티오아미노산 (A)의 모든 잔기 R1, R2 및 R5는 수소 원자인 세정 조성물.
  10. 제8항에 있어서, 잔기 R3은 수소 원자인 세정 조성물.
  11. 제10항에 있어서, 잔기 R4는 하기 화학식 (II-1)의 구조를 갖는 것인 세정 조성물:
    -C(O)-R1 (II-1)
    상기 식에서, 잔기 R1은 직쇄 및 분지쇄, 포화된, 치환 및 미치환된, 1?10개 탄소 원자를 갖는 지방족 잔기에서 선택된다.
  12. 제11항에 있어서, 잔기 R1은 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, tert-부틸, 펜틸, 1-메틸 부틸, 2-메틸 부틸, 3-메틸 부틸, 1-에틸 프로필, 1,2-디메틸 프로필, 2,2-디메틸 프로필, 헥실, 헵틸, 옥틸, 이소-옥틸, 노닐 또는 데실에서 선택되는 것인 세정 조성물.
  13. 제12항에 있어서, 잔기 R1은 메틸인 세정 조성물.
  14. 제13항에 있어서, 화학식 (I)의 티오아미노산 (A)은 N-아세틸시스테인인 세정 조성물.
  15. 제1항에 있어서, 수산화4차암모늄 (B)은 하기 화학식 (III)의 구조를 갖는 것인 세정 조성물:
    N(-R1)4 + OH- (III)
    상기 식에서, 잔기 R1은 수소 원자를 제외하고 위에서 정의된 의미를 가지는 한편, 모든 잔기 R1은 동일하거나 또는 2 이상의 잔기 R1은 서로 상이하고, 모든 잔기 R1은 단일 잔기이거나 또는 2 이상의 잔기 R1은 3?6개 탄소 원자를 갖는 포화 또는 불포화된, 치환 또는 미치환된 고리를 형성하며, 이때 1 또는 2개 탄소 원자가 산소, 황, 질소 및 인 원자의 군에서 선택된 1개의 헤테로원자로 치환되거나 또는 어떠한 탄소 원자도 치환되지 않는다.
  16. 제15항에 있어서, 화학식 (III)의 잔기 R1은 메틸, 에틸, 프로필, 이소프로필, n-부틸, sec-부틸, 이소-부틸, tert-부틸, n-펜틸, 2-히드록시에틸, 2-히드록시프로필 및 3-히드록시프로필 및 4-히드록시-n-부틸로 이루어진 군에서 선택되는 것인 세정 조성물.
  17. 제16항에 있어서, 화학식 (III)의 잔기 R1은 메틸인 세정 조성물.
  18. 제1항에 있어서, 성분 (A) 및 (B)와 다른 1 이상의 기능성 첨가제 (C)를 더 포함하는 것인 세정 조성물.
  19. 제18항에 있어서, 기능성 첨가제 (C)는 착화제 또는 킬레이팅제, 부식 억제제, 막형성제, 염기, 유기 용매, 1 이상의 머캅토 기를 갖는 알콜, 계면활성제, pH-조정제 및 무금속 실리케이트로 이루어진 군에서 선택되는 것인 세정 조성물.
  20. 제19항에 있어서, 착화제 또는 킬레이팅제, 막형성제 및/또는 부식 억제제 (C)는 2 이상의 1차 아미노 기를 갖는 지방족 및 지환족 아민, 1 이상의 히드록시 기를 갖는 지방족 및 지환족 아민, 1 이상의 산 기 및 1 이상의 히드록시 기를 갖는 방향족 화합물, 및 질소 함유 환형 화합물로 이루어진 군에서 선택되고; 계면활성제 (C)는 비이온성 계면활성제로 이루어진 군에서 선택되며; pH-조정제는 지방족 카르복실산으로 이루어진 군에서 선택되고; 유기 용매 (C)는 습윤성 및 0℃ 이하의 융점을 갖는 유기 용매로 이루어진 군에서 선택되는 것인 세정 조성물.
  21. 제1항에 있어서,
    - 0.1?20 중량%의 성분 (A), 및
    - 0.1?20 중량%의 성분 (B)
    를 포함하고, 중량%는 수성 알칼리 세정 조성물의 전체 중량을 기준으로 하는 것인 세정 조성물.
  22. 제19항 또는 제20항에 있어서,
    - 0.1?20 중량%의 성분 (A);
    - 0.1?20 중량%의 성분 (B); 및
    - 0.001?3 중량%의 계면활성제 (C)
    를 포함하고, 중량%는 수성 알칼리 세정 조성물의 전체 중량을 기준으로 하는 것인 세정 조성물.
  23. 전기 및 광학 장치의 제작에 유용한 기판을 처리(processing)하는 방법으로서,
    (A) 1 이상의 2차 또는 3차 아미노 기 및 1 이상의 머캅토 기를 갖는 1 이상의 티오아미노산, 및
    (B) 1 이상의 수산화4차암모늄
    을 포함하는 1 이상의 수성 알칼리 세정 조성물과 기판 표면을 1회 이상 접촉시키는 처리 단계를 포함하는 것인 처리 방법.
  24. 제23항에 있어서, 티오아미노산 (A)은 알파-아미노산인 처리 방법.
  25. 제24항에 있어서, 티오아미노산 (A)은 하기 화학식 (I)의 구조를 갖는 것인 처리 방법:
    HS-[-C(-R1)(-R2)-]n-C[-N(-R3)(-R4)](-R5)-COOH (I)
    상기 식에서, 지수 및 변수는 다음의 정의를 갖는다:
    n은 1 내지 3의 정수이고;
    R1 및 R2
    - 수소 원자;
    - 직쇄 및 분지쇄, 포화 및 불포화된, 치환 및 미치환된, 1?10개 탄소 원자를 갖는 지방족 잔기;
    - 치환 및 미치환된, 포화 및 불포화된, 3?8개 탄소 원자를 갖는 시클로알킬 잔기;
    - 치환 및 미치환, 포화 및 불포화된 알킬시클로알킬 잔기로서, 알킬 기는 1?4개 탄소 원자를 가지며, 시클로알킬 기는 3?8개 탄소 원자를 갖는 것인 알킬시클로알킬 잔기;
    - 치환 및 미치환된, 6?16개 탄소 원자를 갖는 아릴 잔기;
    - 치환 및 미치환된 알킬아릴 잔기로서, 알킬 기는 1?4개 탄소 원자를 가지며, 아릴 기는 6?16개 탄소 원자를 갖는 것인 알킬아릴 잔기;
    - 치환 및 미치환된 시클로알킬아릴 잔기로서, 시클로알킬 기는 3?8개 탄소 원자를 가지며, 아릴 기는 6?16개 탄소 원자를 갖는 것인 시클로알킬아릴 잔기;
    - 치환 및 미치환된, 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 헤테로아릴 잔기;
    - 치환 및 미치환된 알킬헤테로아릴 잔기로서, 알킬 기는 1?4개 탄소 원자를 가지며, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 것인 알킬헤테로아릴 잔기;
    - 치환 및 미치환된 시클로알킬헤테로아릴 잔기로서, 시클로알킬 기 3?8개 탄소 원자를 가지며, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 것인 시클로알킬헤테로아릴 잔기;
    - 치환 및 미치환된 아릴헤테로아릴 잔기로서, 아릴 기는 6?16개 탄소 원자를 가지며, 헤테로아릴 기는 산소, 황, 질소 및 원자로 이루어진 군에서 선택되는 1 이상의 헤테로원자를 갖는 것인 아릴헤테로아릴 잔기
    로 이루어진 군에서 서로 독립적으로 선택되고;
    R3은 잔기 R1이거나, 또는 단 R1이 수소 원자가 아니면, 하기 화학식 II의 잔기(-L1-R1)(이 식에서 L1은 수산화4차암모늄(B) 존재 하에서 안정한 2가 연결기임)이고;
    R4는 수소 원자를 제외한, 잔기 R1이거나, 또는 화학식 II의 잔기이거나;
    또는 다르게
    R1, R2, R3 및 R4는 상기 잔기들 중 2 이상 간에 1 이상의 포화 또는 불포화된, 치환 또는 미치환된 고리를 형성하고, 상기 고리 또는 고리들은 3?6개 탄소 원자를 가지며, 그러한 고리에서 또는 고리들 중 1 이상에서 1 또는 2개 탄소 원자가 산소, 황, 질소 및 인 원자로 이루어진 군에서 선택되는 1개의 헤테로원자로 치환되거나 또는 어떠한 탄소 원자도 치환되지 않고;
    R5는 아미노 기 및 카르복실 기를 보유하는 알파-탄소 원자를 잔기 R1, R2, R3 또는 R4 중 하나에 연결시키거나, 또는 잔기 R1, R2, R3 및 R4 중 2 이상에 의해 형성된 고리에 또는 고리들 중 1 이상에 연결하는, 수산화4차암모늄(B) 존재하에서 안정한, 2가 연결기 L2 또는 공유 결합 또는 단일 잔기 R1이다.
  26. 제25항에 있어서, 2가 연결기 L1
    =N-, -C(O)-, -C(S)-, -C(O)-NR1-, -C(S)-NR1, -C(O)-NR1-NR1-, -C(S)-NR1-NR1-, -S(O)2-, 및 -P(O)2-로 이루어진 군에서 선택되고,
    기는 질소 이중 결합, 탄소 원자, 황 원자 또는 인 원자를 통해 2차 또는 3차 아미노 기의 질소 원자에 결합되며, 잔기 R1은 위에서 정의된 의미를 갖는 것인 처리 방법.
  27. 제26항에 있어서, 2가 연결 L1 기는 -C(O)-인 처리 방법.
  28. 제25항에 있어서, 2가 연결기 L2
    O-, -C(O)-, -C(S)-, -C(O)-O-, -O-C(O)-O-, -O-C(S)-O-;
    -NR1-, =N-, -N=N-, -NR1-C(O)-, -NR1-NR1-C(O)-, -NR1-NR1-C(S)-, -O-C(O)-NR1-, -O-C(S)-NR1-, -NR1-C(O)-NR1-, -NR1-C(S)-NR1-;
    -S-, -S(O)-, -S(O)2-, -O-S(O)2-, 및 -NR1-S(O)2-
    로 이루어진 군에서 선택되고, 잔기 R1은 위에서 기술된 의미를 갖는 것인 처리 방법.
  29. 제25항에 있어서, 화학식 (I)의 티오아미노산 (A)은 라세미체 또는 거울상이성질체 혼합물, 또는 개별 거울상이성질체 또는 부분입체이성질체 중 하나인 처리 방법.
  30. 제25항에 있어서, 잔기 R1, R2 및 R5 중 1 이상은 수소 원자인 처리 방법.
  31. 제30항에 있어서, 티오아미노산 (A)의 모든 잔기 R1, R2 및 R5는 수소 원자인 처리 방법.
  32. 제31항에 있어서, 잔기 R3은 수소 원자인 처리 방법.
  33. 제32항에 있어서, 잔기 R4는 하기 화학식 (II-1)의 구조를 갖는 것인 처리 방법:
    -C(O)-R1 (II-1)
    잔기 R1은 직쇄 및 분지쇄, 포화된, 치환 및 미치환된, 1?10개 탄소 원자를 갖는 지방족 잔기에서 선택된다.
  34. 제33항에 있어서, 잔기 R1은 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, sec-부틸, tert-부틸, 펜틸, 1-메틸 부틸, 2-메틸 부틸, 3-메틸 부틸, 1-에틸 프로필, 1,2-디메틸 프로필, 2,2-디메틸 프로필, 헥실, 헵틸, 옥틸, 이소-옥틸, 노닐 또는 데실에서 선택되는 것인 처리 방법.
  35. 제34항에 있어서, 잔기 R1은 메틸인 처리 방법.
  36. 제35항에 있어서, 화학식 (I)의 티오아미노산 (A)은 N-아세틸시스테인인 처리 방법.
  37. 제23항에 있어서, 수산화4차암모늄 (B)은 하기 화학식 (III)의 구조를 갖는 것인 처리 방법:
    N(-R1)4 + OH- (III)
    상기 식에서, 잔기 R1은 수소 원자를 제외하고, 상기 정의된 의미를 가지는 한편, 모든 잔기 R1은 동일하거나 또는 2 이상의 잔기 R1은 서로 상이하고 모든 잔기 R1은 단일 잔기이거나 또는 2 이상의 잔기 R1은 3?6개 탄소 원자를 갖는 포화 또는 불포화, 치환 또는 미치환된 고리를 형성하며, 이때 1 또는 2개 탄소 원자가 산소, 황, 질소 및 인 원자의 군에서 선택된 1개의 헤테로원자로 치환되거나 또는 어떠한 탄소 원자도 치환되지 않는다.
  38. 제37항에 있어서, 화학식 (III)의 잔기 R1은 메틸, 에틸, 프로필, 이소프로필, n-부틸, sec-부틸, 이소-부틸, tert-부틸, n-펜틸, 2-히드록시에틸, 2- 및 3-히드록시프로필 및 4-히드록시-n-부틸로 이루어진 군에서 선택되는 것인 처리 방법.
  39. 제38항에 있어서, 화학식 (III)의 잔기 R1은 메틸인 처리 방법.
  40. 제23항에 있어서, 성분 (A) 및 (B)와 다른 1 이상의 기능성 첨가제 (C)를 더 포함하는 것인 처리 방법.
  41. 제40항에 있어서, 기능성 첨가제 (C)는 착화제 또는 킬레이팅제, 부식 억제제, 막형성제, 염기, 유기 용매, 1 이상의 머캅토 기를 갖는 알콜, 계면활성제, pH-조정제 및 무금속 실리케이트로 이루어진 군에서 선택되는 것인 처리 방법.
  42. 제41항에 있어서, 착화제 또는 킬레이팅제, 막형성제 및/또는 부식 억제제 (C)는 2 이상의 1차 아미노 기를 갖는 지방족 및 지환족 아민, 1 이상의 히드록시 기를 갖는 지방족 및 지환족 아민, 1 이상의 산 기 및 1 이상의 히드록시 기를 갖는 방향족 화합물, 및 질소 함유 환형 화합물로 이루어진 군에서 선택되고; 계면활성제 (C)는 비이온성 계면활성제로 이루어진 군에서 선택되며; pH-조정제는 지방족 카르복실산으로 이루어진 군에서 선택되고; 유기 용매 (C)는 습윤성 및 0℃ 이하의 융점을 갖는 유기 용매로 이루어진 군에서 선택되는 것인 처리 방법.
  43. 제23항에 있어서, 수성 알칼리 세정 조성물은
    - 0.1?20 중량%의 성분 (A), 및
    - 0.1?20 중량%의 성분 (B)
    을 포함하고, 중량%는 수성 알칼리 세정 조성물의 전체 중량을 기준으로 하는 것인 처리 방법.
  44. 제41항 또는 제42항에 있어서, 수성 알칼리 세정 조성물은
    - 0.1?20 중량%의 성분 (A);
    - 0.1?20 중량%의 성분 (B); 및
    - 0.001?3 중량%의 계면활성제 (C)
    를 포함하고, 중량%는 수성 알칼리 세정 조성물의 전체 중량을 기준으로 하는 것인 처리 방법.
  45. 제23항에 있어서, 처리 단계는 1 이상의 표면 준비 단계, 도금(plaiting) 전 세정 단계, 엣칭 후 세정 단계 또는 화학 폴리싱 후 세정 단계인 처리 방법.
  46. 제45항에 있어서, 전기 장치는 반도체 집적 회로(IC); 액정 패널; 유기 전계발광 패널; 인쇄 회로 기판; 마이크로머신; DNA 칩; 마이크로플랜트 및 자기 헤드이고; 광학 장치는 광학 유리; 무기 전기전도성막; 광학 집적 회로; 광학 스위칭 소자; 광도파로; 광학 단결정; 고체 레이저 단결정; 블루 레이저 LED용 사파이어 기판; 반도체 단결정; 및 자기 디스크용 유리 기판인 처리 방법.
  47. 전기 및 광학 장치 제작에 유용한 기판의 처리를 위한, 제1항 내지 제22항 중 어느 한 항에 따른 수성 알칼리 세정 조성물의 용도.
  48. 제47항에 있어서, 기판의 표면 준비, 도금 전 세정, 엣칭 후 세정 및 화학 기계적 폴리싱 후 세정을 위한 것인 용도.
KR1020127002570A 2009-06-30 2010-06-24 수성 알칼리 세정 조성물 및 그 사용 방법 KR101751553B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US22169309P 2009-06-30 2009-06-30
US61/221,693 2009-06-30
PCT/EP2010/058959 WO2011000758A1 (en) 2009-06-30 2010-06-24 Aqueous alkaline cleaning compositions and methods of their use

Publications (2)

Publication Number Publication Date
KR20120104149A true KR20120104149A (ko) 2012-09-20
KR101751553B1 KR101751553B1 (ko) 2017-06-27

Family

ID=42556828

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127002570A KR101751553B1 (ko) 2009-06-30 2010-06-24 수성 알칼리 세정 조성물 및 그 사용 방법

Country Status (5)

Country Link
US (1) US8969275B2 (ko)
EP (1) EP2449076B1 (ko)
KR (1) KR101751553B1 (ko)
TW (1) TWI488963B (ko)
WO (1) WO2011000758A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012011020A2 (en) * 2010-07-19 2012-01-26 Basf Se Aqueous alkaline cleaning compositions and methods of their use
US9184057B2 (en) 2011-03-18 2015-11-10 Basf Se Method for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices having patterned material layers with line-space dimensions of 50 nm and less
EP3385363B1 (en) 2012-02-06 2022-03-16 Basf Se A post chemical-mechanical-polishing (post-cmp) cleaning composition comprising a specific sulfur-containing compound
CN103521474B (zh) * 2013-08-20 2015-07-22 曾锡强 一种以抛代洗的蓝宝石衬底材料表面洁净方法
CN105637078B (zh) * 2013-08-26 2019-01-01 宝洁公司 包含具有低熔点的烷氧基化聚亚烷基亚胺的组合物
JP7049051B2 (ja) * 2016-05-30 2022-04-06 株式会社Jcu レジスト残渣除去剤及びこれを利用した導体パターン形成方法並びに基板製造方法
CN106700944B (zh) * 2016-12-09 2018-08-21 北京国瑞升科技股份有限公司 一种合成碱、a向蓝宝石抛光液及其制备方法
WO2020136562A1 (en) * 2018-12-26 2020-07-02 3M Innovative Properties Company Removal of electroluminescenct materials from substrates

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1479597A (en) * 1973-09-24 1977-07-13 Smith & Nephew Pharma Stabilized aqueous catecholamine solutions
US5330458A (en) 1991-06-13 1994-07-19 The Procter & Gamble Company Absorbent article with elastic feature having a portion mechanically prestrained
US6592776B1 (en) 1997-07-28 2003-07-15 Cabot Microelectronics Corporation Polishing composition for metal CMP
ATE436043T1 (de) 1998-05-18 2009-07-15 Mallinckrodt Baker Inc Alkalische, silikat enthaltende reinigungslösungen für mikroelektronische substrate
KR20000053521A (ko) 1999-01-20 2000-08-25 고사이 아끼오 금속 부식 방지제 및 세척액
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
NZ525143A (en) 2000-09-14 2005-12-23 Gruenenthal Chemie Beta-thio-amino acids
JP2003013266A (ja) * 2001-06-28 2003-01-15 Wako Pure Chem Ind Ltd 基板洗浄剤
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
TWI362415B (en) * 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
US7498295B2 (en) 2004-02-12 2009-03-03 Air Liquide Electronics U.S. Lp Alkaline chemistry for post-CMP cleaning comprising tetra alkyl ammonium hydroxide
US7435712B2 (en) 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
US7087564B2 (en) 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
SG158920A1 (en) 2005-01-27 2010-02-26 Advanced Tech Materials Compositions for processing of semiconductor substrates
US7923423B2 (en) * 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
SG162725A1 (en) 2005-05-26 2010-07-29 Advanced Tech Materials Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20070225186A1 (en) 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
CN101410503A (zh) 2006-03-27 2009-04-15 乔治洛德方法研究和开发液化空气有限公司 用于后cmp清洗工艺的改良碱性溶液
KR100807234B1 (ko) * 2006-11-16 2008-02-28 삼성전자주식회사 포토레지스트 제거방법 및 반도체 소자의 제조 방법
EP2255024A2 (en) 2008-01-24 2010-12-01 Basf Se Electroless deposition of barrier layers
JP5404772B2 (ja) 2008-04-28 2014-02-05 ビーエーエスエフ ソシエタス・ヨーロピア ツイン重合によって得られるLow−k誘電体
WO2009150021A2 (en) 2008-05-26 2009-12-17 Basf Se Method of making porous materials and porous materials prepared thereof
WO2010127941A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
WO2011000694A1 (en) 2009-06-30 2011-01-06 Basf Se Aqueous alkaline cleaning compositions and methods of their use

Also Published As

Publication number Publication date
KR101751553B1 (ko) 2017-06-27
US20120094886A1 (en) 2012-04-19
TW201107465A (en) 2011-03-01
EP2449076A1 (en) 2012-05-09
EP2449076B1 (en) 2016-09-21
US8969275B2 (en) 2015-03-03
WO2011000758A1 (en) 2011-01-06
TWI488963B (zh) 2015-06-21

Similar Documents

Publication Publication Date Title
US8927476B2 (en) Aqueous alkaline cleaning compositions and methods of their use
KR101751553B1 (ko) 수성 알칼리 세정 조성물 및 그 사용 방법
JP6886469B2 (ja) 化学機械研磨後の洗浄組成物
KR20160008478A (ko) 구리 부식 억제 시스템
JP6949846B2 (ja) 化学機械研磨後の洗浄組成物
JP6932147B2 (ja) 化学機械研磨後洗浄のための組成物
WO2011000694A1 (en) Aqueous alkaline cleaning compositions and methods of their use
JP2012044118A (ja) 半導体デバイス用基板の洗浄液及び洗浄方法
CN114854507B (zh) 一种酸性离子液体及包含其的清洗剂和半导体基板清洗方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant